aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue6/testsuite.sh
blob: 008fd86a2d019f90fd14d6e3ec782d176274b003 (plain)
1
2
3
4
5
6
7
8
#!/bin/sh

topdir=../..
. $topdir/testenv.sh

synth 'vector.vhdl -e vector'

clean