aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/issues')
-rwxr-xr-xtestsuite/issues/issue11/testsuite.sh1
-rwxr-xr-xtestsuite/issues/issue4/testsuite.sh1
-rwxr-xr-xtestsuite/issues/issue6/testsuite.sh1
-rwxr-xr-xtestsuite/issues/issue7/testsuite.sh1
4 files changed, 4 insertions, 0 deletions
diff --git a/testsuite/issues/issue11/testsuite.sh b/testsuite/issues/issue11/testsuite.sh
index 03b7cb6..730b75b 100755
--- a/testsuite/issues/issue11/testsuite.sh
+++ b/testsuite/issues/issue11/testsuite.sh
@@ -8,3 +8,4 @@ for f in or xor nor nand xnor; do
done
clean
+echo OK
diff --git a/testsuite/issues/issue4/testsuite.sh b/testsuite/issues/issue4/testsuite.sh
index b1107b0..69bee45 100755
--- a/testsuite/issues/issue4/testsuite.sh
+++ b/testsuite/issues/issue4/testsuite.sh
@@ -8,3 +8,4 @@ for f in no_vector counter8 vector; do
done
clean
+echo OK
diff --git a/testsuite/issues/issue6/testsuite.sh b/testsuite/issues/issue6/testsuite.sh
index 008fd86..3ce03d9 100755
--- a/testsuite/issues/issue6/testsuite.sh
+++ b/testsuite/issues/issue6/testsuite.sh
@@ -6,3 +6,4 @@ topdir=../..
synth 'vector.vhdl -e vector'
clean
+echo OK
diff --git a/testsuite/issues/issue7/testsuite.sh b/testsuite/issues/issue7/testsuite.sh
index 2ca1ccb..47debdb 100755
--- a/testsuite/issues/issue7/testsuite.sh
+++ b/testsuite/issues/issue7/testsuite.sh
@@ -19,3 +19,4 @@ run_yosys -q -p '
clean
rm -f *.v
+echo OK