aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pr63
diff options
context:
space:
mode:
Diffstat (limited to 'testsuite/pr63')
-rwxr-xr-xtestsuite/pr63/testsuite.sh9
-rw-r--r--testsuite/pr63/vector.vhdl14
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/pr63/testsuite.sh b/testsuite/pr63/testsuite.sh
new file mode 100755
index 0000000..7be5528
--- /dev/null
+++ b/testsuite/pr63/testsuite.sh
@@ -0,0 +1,9 @@
+#!/bin/sh
+
+. ../testenv.sh
+
+run_yosys -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"
+
+grep -q 1111000000000000000000000000000000000000000000000000000000010000 vector.il || exit 1
+
+clean
diff --git a/testsuite/pr63/vector.vhdl b/testsuite/pr63/vector.vhdl
new file mode 100644
index 0000000..568d3f3
--- /dev/null
+++ b/testsuite/pr63/vector.vhdl
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity vector is
+ port (
+ u : out signed(63 downto 0)
+ );
+end entity vector;
+
+architecture synth of vector is
+begin
+ u <= -signed'(x"0ffffffffffffff0");
+end synth;