aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pr63/vector.vhdl
blob: 568d3f3b26ede082238275403a56cc93a06ab419 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity vector is
    port (
        u : out signed(63 downto 0)
        );
end entity vector;

architecture synth of vector is
begin
    u <= -signed'(x"0ffffffffffffff0");
end synth;