aboutsummaryrefslogtreecommitdiffstats
path: root/examples/icestick/fixed1.vhdl
blob: b1bbf4be4681a7ceb7c3380a8a5d8f65ca4d50c1 (plain)
1
2
3
4
architecture fixed1 of leds is
begin
  (led1, led2, led3, led4, led5) <= std_logic_vector'("00101");
end fixed1;