aboutsummaryrefslogtreecommitdiffstats
path: root/ice40hx8k/leds.vhdl
blob: 557585b7ce3ac3ce3d9a8ee62d5aee304b183a5c (plain)
1
2
3
4
5
6
7
8
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity leds is
  port (clk : in std_logic;
        led1, led2, led3, led4, led5, led6, led7, led8 : out std_logic);
end leds;