aboutsummaryrefslogtreecommitdiffstats
path: root/icestick/leds.vhdl
blob: 95aa5cf50027ef479bd026b9e074bba993c3f54c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

--  Led positions
--
--  I         D3
--  r
--  D     D2  D5  D4
--  A
--            D1
--
entity leds is
  port (clk : in std_logic;
        led1, led2, led3, led4, led5 : out std_logic);
end leds;