aboutsummaryrefslogtreecommitdiffstats
path: root/icestick/rotate1.vhdl
blob: 34c7afd7f56f547eeab72771acc714f656b389c0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
architecture rotate1 of leds is
  signal clk_4hz: std_logic;
begin
  process (clk)
    --  3_000_000 is 0x2dc6c0
    variable counter : unsigned (23 downto 0);
  begin
    if rising_edge(clk) then
      if counter = 2_999_999 then
        counter := x"000000";
        clk_4hz <= '1';
      else
        counter := counter + 1;
        clk_4hz <= '0';
      end if;
    end if;
  end process;

  process (clk)
    variable count : unsigned (1 downto 0);
  begin
    if rising_edge(clk) and clk_4hz = '1' then
      count := count + 1;
      if count = 0 then
        led1 <= '1';
        led2 <= '0';
        led3 <= '0';
        led4 <= '0';
        led5 <= '1';
      elsif count = 1 then
        led1 <= '0';
        led2 <= '1';
        led3 <= '0';
        led4 <= '0';
        led5 <= '0';
      elsif count = 2 then
        led1 <= '0';
        led2 <= '0';
        led3 <= '1';
        led4 <= '0';
        led5 <= '1';
      else
        led1 <= '0';
        led2 <= '0';
        led3 <= '0';
        led4 <= '1';
        led5 <= '0';
      end if;
    end if;
  end process;
end rotate1;