aboutsummaryrefslogtreecommitdiffstats
path: root/icestick/uart/syn/constraints/uart.pcf
blob: e3e5016ee8efa84f7fc8374419c475403be4b005 (plain)
1
2
3
4
5
6