aboutsummaryrefslogtreecommitdiffstats
path: root/icestick/uart/syn/synth.sh
blob: 884f1b659ec18d3aeed957b5942786244ff18150 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
set -e

ROOT="$(pwd)/.."

rm -rf build
mkdir -p build
cd build

ghdl -a "$ROOT"/hdl/uart_rx.vhd
ghdl -a "$ROOT"/hdl/uart_tx.vhd
ghdl -a "$ROOT"/hdl/uart_top.vhd
yosys -m ghdl -p 'ghdl uart_top; synth_ice40 -json uart_top.json'
nextpnr-ice40 --hx1k --json uart_top.json --pcf ../constraints/uart.pcf --asc uart_top.asc --pcf-allow-unconstrained
icepack uart_top.asc uart_top.bin
iceprog uart_top.bin