aboutsummaryrefslogtreecommitdiffstats
path: root/icezum/pushbutton/pushbutton.vhdl
blob: 8ad516e6f0e6759db8c604a1192f6b55fda30248 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity pushbutton is
  port (sw1 : in std_logic;
        led0, led7 : out std_logic);
end pushbutton;

architecture synth of pushbutton is
begin
  led0 <= sw1;
  led7 <= not sw1;
end synth;