aboutsummaryrefslogtreecommitdiffstats
path: root/openocd/ecp5-versa.cfg
blob: 2e62bcf4ee0536a86ba9605b9e788f78712bc740 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
# this supports ECP5 Evaluation Board

interface ftdi
# ftdi_device_desc "Lattice ECP5 Evaluation Board"
ftdi_vid_pid 0x0403 0x6010
# channel 1 does not have any functionality
ftdi_channel 0
# just TCK TDI TDO TMS, no reset
ftdi_layout_init 0xfff8 0xfffb
reset_config none

# default speed
adapter_khz 5000