aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/examples/blackbox/blackbox1.vhdl
blob: fed6d0be7a61e9250f7b918c821d553b3efd62ae (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
library ieee;
use ieee.std_logic_1164.all;

entity blackbox1 is
  port (a, b : std_logic;
        o : out std_logic);
end blackbox1;

architecture behav of blackbox1 is
  component my_blackbox is
    port (a, b : std_logic;
          o : out std_logic);
  end component;
begin
  inst: my_blackbox
    port map (a => a, b => b, o => o);
end behav;