blob: f9f8ed532833a353d8469d8141080e12df738851 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
|
library ieee;
use ieee.std_logic_1164.all;
entity test is
port(
clk : in std_logic
);
end entity test;
architecture behaviour of test is
begin
clk <= '1';
end architecture behaviour;
|