aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issue4/novector.vhdl
blob: a3c2c4658d412e85afff6dfc5b187619c785f1b8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity no_vector is
  port (led0: out std_logic);
end no_vector;

architecture synth of no_vector is

signal nv : std_logic;

begin
  nv <= '1';
  led0 <= nv;
end synth;