blob: 939f28ab3ac2882fd1f2eb5651e144fff666e44b (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
|
#!/bin/sh
. ../testenv.sh
analyze ref.vhdl
run_yosys -q -p "ghdl vector ref; write_verilog ref.v"
analyze vector.vhdl
run_yosys -q -p "ghdl vector synth; write_verilog vector.v"
run_yosys -p '
read_verilog ref.v
rename vector ref
read_verilog vector.v
equiv_make ref vector equiv
hierarchy -top equiv
equiv_simple
equiv_status -assert'
clean
rm -f *.v
|