aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue11/test_xor.vhdl
blob: b856745e0deaf39a411da608122d691a0bbe2cee (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity test_xor is port (
    sel0, sel1: in std_logic;
    c: out std_logic);
end test_xor;

architecture synth of test_xor is
begin

    c <= sel1 xor sel0;

end synth;