aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue61/vector.vhdl
blob: 34274bea5a050761e23800788567150f8607b101 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity vector is
  port (v: out signed(63 downto 0);
        u: out unsigned(63 downto 0));
end vector;

architecture synth of vector is
  signal v1 : signed (63 downto 0);
  signal u1 : unsigned (63 downto 0);

begin
  v1 <= x"0ffffffffffffff0";
  v <= v1+(-1);
  u1 <= x"00ffffffffffff00";
--  u <= u1 + (-6); -- +4294967290;
  u <= u1 + 6;
end synth;