blob: 936a38d5caa9213b47789b25106a52874a882964 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
|
library ieee;
use ieee.std_logic_1164.all;
entity demux is port (
j : in integer range 0 to 3;
k : in std_logic;
l : in std_logic;
y : out std_logic_vector(1 to 5));
end demux;
architecture beh of demux is
function to_slv(C:integer; B:std_logic; E:std_logic) return std_logic_vector is
variable ret : std_logic_vector(1 to 5) := (others => '0');
begin
ret(C+1) := E;
ret(5) := B;
return ret;
end to_slv;
begin
y <= to_slv(j, k, l);
end beh;
|