aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue7/ref.vhdl
blob: 63dc2259cbfdac5a0f135bc32fea5d37a1707650 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity vector is
  port (led0, led1, led2, led3, led4, led5, led6, led7: out std_logic);
end vector;

architecture ref of vector is
  signal v : std_logic_vector(7 downto 0);
begin
  -- It works ok
  (led7, led6, led5, led4, led3, led2, led1, led0) <= std_logic_vector'("10101010");
end;