aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue7/vector.vhdl
blob: 3ab2e2447b7c99a8eadce0d68582a717351c8e20 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
architecture synth of vector is

signal v : std_logic_vector(7 downto 0);

begin

  -- It works ok
  --(led7, led6, led5, led4, led3, led2, led1, led0) <= std_logic_vector'("10101010");

  -- It is assigned in reverse order (led7 should be MSB, but it is assigned
  -- the lsb. led0 should be the lsb, but is assigned as the MSB)
  v <= std_logic_vector'("10101010");
  led7 <= v(7);
  led6 <= v(6);
  led5 <= v(5);
  led4 <= v(4);
  led3 <= v(3);
  led2 <= v(2);
  led1 <= v(1);
  led0 <= v(0);

end synth;

architecture ok of vector is
  signal v : std_logic_vector(7 downto 0);
begin
  -- It works ok
  (led7, led6, led5, led4, led3, led2, led1, led0) <= std_logic_vector'("10101010");
end ok;