aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/issue73/testsuite.sh
blob: b2485edf730a627938b1d441fd45517bde7c17d8 (plain)
1
2
3
4
5
6
7
8
9
#!/bin/sh

topdir=../..
. $topdir/testenv.sh

run_yosys -q -p "ghdl cell1.vhdl -e cell1; ghdl cell2.vhdl -e cell2"

clean
echo OK