aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/pr64/testsuite.sh
blob: 007318462661ae34fc4e35bfbf583daa1fe6d92c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
#!/bin/sh

topdir=../..
. $topdir/testenv.sh

run_yosys -q -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"

grep -q 11111111111011110000000000100000000000001101111111110000000000000001000011111111111011110000000000000001000000000000000000000000 vector.il || exit 1
grep -q 00000000000000000000000000001111111111111110111111110000000100000001000011111111111011110000000000000001000000000000000000000000 vector.il || exit 1

clean

rm vector.il
echo OK