aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/issues/pr66/testsuite.sh
blob: 6d8bc7eaf4f01df1146525797142f3eba4a93e6e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
#!/bin/sh

topdir=../..
. $topdir/testenv.sh

run_yosys -q -p "ghdl vector.vhdl -e vector; opt; dump -o vector.il"

grep -q 'connect \\v 63' vector.il || exit 1

clean
rm  vector.il
echo OK