aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/pr66/vector.vhdl
blob: 3eb995167915225f47d6cf3aa55f904ef0d00a97 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity vector is
    port (v: out integer
          );
end vector;

architecture synth of vector is

begin
    v <= to_integer(unsigned'(x"7fffffff")) mod 64;
end synth;