aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/test-icestick/testsuite.sh
blob: f7cd7ec75d39f46097879b6cb590c29f89d502f6 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
#!/bin/sh

. ../testenv.sh

src=../../icestick

# spin2

for f in fixed1 blink multi1 multi2 spin1 rotate1 rotate2 rotate3 rotate4; do
 synth "$src/leds.vhdl $src/${f}.vhdl -e leds"
done

UART_SRC=$src/uart/hdl
synth "$UART_SRC/uart_rx.vhd $UART_SRC/uart_tx.vhd $UART_SRC/uart_top.vhd -e uart_top"

clean