aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/test-icestick/testsuite.sh
blob: 995c372917d63948e7abe727eb598b6c1f1f4d96 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
#!/bin/sh

topdir=..
. $topdir/testenv.sh

src=../../icestick

# spin2

for f in fixed1 blink multi1 multi2 spin1 rotate1 rotate2 rotate3 rotate4; do
 synth "$src/leds.vhdl $src/${f}.vhdl -e leds"
done

UART_SRC=$src/uart/hdl
synth "$UART_SRC/uart_rx.vhd $UART_SRC/uart_tx.vhd $UART_SRC/uart_top.vhd -e uart_top"

clean