aboutsummaryrefslogtreecommitdiffstats
path: root/.github/ISSUE_TEMPLATE
diff options
context:
space:
mode:
author1138-4EB <1138-4EB@users.noreply.github.com>2019-09-25 06:51:31 +0200
committertgingold <tgingold@users.noreply.github.com>2019-09-25 06:51:31 +0200
commitce6232cf23bf794e5b8df88a5e7c0eed5408b3e0 (patch)
tree55f03f3ae36fb35302e26118d03d07b4271694b0 /.github/ISSUE_TEMPLATE
parent4ec17bb58394a6c03c8a4ac938e4a674a5f33e79 (diff)
downloadghdl-ce6232cf23bf794e5b8df88a5e7c0eed5408b3e0.tar.gz
ghdl-ce6232cf23bf794e5b8df88a5e7c0eed5408b3e0.tar.bz2
ghdl-ce6232cf23bf794e5b8df88a5e7c0eed5408b3e0.zip
update bug report template (#942)
Diffstat (limited to '.github/ISSUE_TEMPLATE')
-rw-r--r--.github/ISSUE_TEMPLATE/bug_report.md52
1 files changed, 24 insertions, 28 deletions
diff --git a/.github/ISSUE_TEMPLATE/bug_report.md b/.github/ISSUE_TEMPLATE/bug_report.md
index 1e5471e8c..86366a49f 100644
--- a/.github/ISSUE_TEMPLATE/bug_report.md
+++ b/.github/ISSUE_TEMPLATE/bug_report.md
@@ -9,23 +9,10 @@ A clear and concise description of what the issue is about.
**Expected behaviour**
What you expected to happen, and what is happening instead.
-**Context**
-Please paste the `GHDL Bug occurred` log block here. Also, provide the following information:
-
-- OS:
-- Origin:
- - [ ] Package manager. Repo:
- - [ ] Released binaries. Tarball:
- - [ ] Built from sources. Commit SHA:
-
-**Additional context**
-Add any other context about the problem here. If applicable, add screenshots to help explain your problem.
-
**How to reproduce?**
-Tell us how to reproduce this issue. Please provide a Minimal Working Example (MWE), that is compatible with [issue-runner](https://github.com/1138-4EB/issue-runner). With sample code it's easier to reproduce the bug and it's much faster to fix it. For example:
+Tell us how to reproduce this issue. Please provide a Minimal Working Example (MWE). With sample code it's easier to reproduce the bug and it's much faster to fix it. For example:
-```
-#>> ent.vhd
+```vhd :file: ent.vhd
entity ent is
end entity;
@@ -36,25 +23,34 @@ begin
wait;
end process;
end;
+```
-#>> sim.sh
+```sh :image: ghdl/ghdl:buster-mcode
ghdl -a ent.vhd
ghdl --elab-run ent
+```
-#>> run.sh
-docker run --rm -tv /$(pwd):/src:z -w //src ghdl/ghdl:buster-mcode sh -c ./sim.sh
+> NOTE: `:file:` and `:image:` identifiers are specific to [issue-runner](https://github.com/1138-4EB/issue-runner). We suggest to use these, since it allows continuous integration workflows to automatically test the MWE. Using `ghdl/ghdl:*` docker images to run the MWEs ensures that the latest available GHDL is used.
-#>> end
-```
+> NOTE: Large files can be uploaded one-by-one or in a tarball/zipfile.
-Note that `run.sh` is used to execute `sim.sh` inside a docker container. Please, put your commands in `sim.sh` and just copy `run.sh` from the example. Using `ghdl/ghdl:*` docker images to run the MWEs ensures that the latest available GHDL is used.
+**Context**
+Please, provide the following information:
-**Files**
-A list of relevant files for this issue. Large files can be uploaded one-by-one or in a tarball/zipfile. See [1138-4EB/issue-runner#parser](https://github.com/1138-4EB/issue-runner#parser).
+- OS:
+- Origin:
+ - [ ] Package manager: `version`
+ - [ ] Released binaries: `tarball_url`
+ - [ ] Built from sources: `commit SHA`
-**Checklist**
-Before submitting your issue, please review the following checklist:
+If a `GHDL Bug occurred` block is shown in the log, please paste it here:
-- [ ] Add `GHDL Bug occurred` log block
-- [ ] Add a MWE
-- [ ] Try the latest version
+```
+******************** GHDL Bug occurred ***************************
+Please report this bug on https://github.com/ghdl/ghdl/issues
+...
+******************************************************************
+```
+
+**Additional context**
+Add any other context about the problem here. If applicable, add screenshots to help explain your problem. \ No newline at end of file