aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2018-12-05 20:53:54 +0100
committerTristan Gingold <tgingold@free.fr>2018-12-05 20:53:54 +0100
commit0612f8baeb5f0e7a6dec729691a291ba0ce631c4 (patch)
tree4f9e2e7dd2cac56db7caec2089c813ba945f66f7
parent8d517a183b1081c8abfa3e25f88c39bd4f5a173f (diff)
downloadghdl-0612f8baeb5f0e7a6dec729691a291ba0ce631c4.tar.gz
ghdl-0612f8baeb5f0e7a6dec729691a291ba0ce631c4.tar.bz2
ghdl-0612f8baeb5f0e7a6dec729691a291ba0ce631c4.zip
Add testcase for #646
-rw-r--r--testsuite/gna/issue646/repro.vhdl15
-rwxr-xr-xtestsuite/gna/issue646/testsuite.sh12
2 files changed, 27 insertions, 0 deletions
diff --git a/testsuite/gna/issue646/repro.vhdl b/testsuite/gna/issue646/repro.vhdl
new file mode 100644
index 000000000..658cd664f
--- /dev/null
+++ b/testsuite/gna/issue646/repro.vhdl
@@ -0,0 +1,15 @@
+entity repro is
+end entity;
+
+architecture tb of repro is
+
+ type tb_cfg_t is record
+ value : string;
+ end record tb_cfg_t;
+
+ constant tb_cfg: tb_cfg_t := ( value => "hello" );
+begin
+ assert tb_cfg.value > "a";
+end tb;
+
+
diff --git a/testsuite/gna/issue646/testsuite.sh b/testsuite/gna/issue646/testsuite.sh
new file mode 100755
index 000000000..ca326e2f6
--- /dev/null
+++ b/testsuite/gna/issue646/testsuite.sh
@@ -0,0 +1,12 @@
+#! /bin/sh
+
+#exit 0
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze repro.vhdl
+elab_simulate repro
+
+clean
+
+echo "Test successful"