diff options
author | Tristan Gingold <tgingold@free.fr> | 2021-04-15 18:51:02 +0200 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2021-04-15 18:51:02 +0200 |
commit | 12d6069e3b48a6ce129914e728d88789d1d8f5a1 (patch) | |
tree | e66ba0a21bca278bec481e492eb5493c513f9f62 | |
parent | 6b0499f64d11dfdd15b19de35fbf3ad28fe9ccef (diff) | |
download | ghdl-12d6069e3b48a6ce129914e728d88789d1d8f5a1.tar.gz ghdl-12d6069e3b48a6ce129914e728d88789d1d8f5a1.tar.bz2 ghdl-12d6069e3b48a6ce129914e728d88789d1d8f5a1.zip |
testsuite/gna: add a test for #1724
-rw-r--r-- | testsuite/gna/issue1724/const_test_vunit2.vhdl | 10 | ||||
-rwxr-xr-x | testsuite/gna/issue1724/testsuite.sh | 1 |
2 files changed, 11 insertions, 0 deletions
diff --git a/testsuite/gna/issue1724/const_test_vunit2.vhdl b/testsuite/gna/issue1724/const_test_vunit2.vhdl new file mode 100644 index 000000000..de08d5938 --- /dev/null +++ b/testsuite/gna/issue1724/const_test_vunit2.vhdl @@ -0,0 +1,10 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +vunit const_test_vunit2 (const_test(rtl)) +{ + constant depth : positive := 2**addr_width; + type my_arr is array (natural range <>) of std_logic; + subtype my_word is my_arr(7 downto 0); +} diff --git a/testsuite/gna/issue1724/testsuite.sh b/testsuite/gna/issue1724/testsuite.sh index 8da89781d..5c97aabe9 100755 --- a/testsuite/gna/issue1724/testsuite.sh +++ b/testsuite/gna/issue1724/testsuite.sh @@ -3,6 +3,7 @@ . ../../testenv.sh $GHDL -s --std=08 const_test.vhdl const_test_vunit.vhdl +$GHDL -s --std=08 const_test.vhdl const_test_vunit2.vhdl clean |