aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-09-06 09:18:58 +0200
committerTristan Gingold <tgingold@free.fr>2020-09-06 09:18:58 +0200
commit1c899f2eb56f3b0b99ff1d12fd6fb475d5654c2f (patch)
tree6744eb01c704765417940f96f30644a1c791344b
parentfb82975d18b8bd0539fc51ce5c84df6953d1cbd9 (diff)
downloadghdl-1c899f2eb56f3b0b99ff1d12fd6fb475d5654c2f.tar.gz
ghdl-1c899f2eb56f3b0b99ff1d12fd6fb475d5654c2f.tar.bz2
ghdl-1c899f2eb56f3b0b99ff1d12fd6fb475d5654c2f.zip
testsuite/gna: add a reproducer for #1456
-rw-r--r--testsuite/gna/issue1456/test.vhdl10
-rwxr-xr-xtestsuite/gna/issue1456/testsuite.sh9
2 files changed, 19 insertions, 0 deletions
diff --git a/testsuite/gna/issue1456/test.vhdl b/testsuite/gna/issue1456/test.vhdl
new file mode 100644
index 000000000..90cf3963c
--- /dev/null
+++ b/testsuite/gna/issue1456/test.vhdl
@@ -0,0 +1,10 @@
+package test_package is
+
+ subtype Range1 is natural range 27 downto 0;
+ subtype Range2 is natural range Range1'high(0) downto 0;
+
+end test_package;
+
+package body test_package is
+
+end test_package;
diff --git a/testsuite/gna/issue1456/testsuite.sh b/testsuite/gna/issue1456/testsuite.sh
new file mode 100755
index 000000000..8e20eb85f
--- /dev/null
+++ b/testsuite/gna/issue1456/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure test.vhdl
+
+clean
+
+echo "Test successful"