aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-01-19 19:55:08 +0100
committerTristan Gingold <tgingold@free.fr>2016-01-19 19:55:08 +0100
commit2fae5481006fafb916675c528a7ee230be89ec0b (patch)
tree30507addbd9f63007a0157d5e9dcf3c2f3801f3f
parent1bf78d65ef9e4ebbcbd6c45b4ad42d464620246d (diff)
downloadghdl-2fae5481006fafb916675c528a7ee230be89ec0b.tar.gz
ghdl-2fae5481006fafb916675c528a7ee230be89ec0b.tar.bz2
ghdl-2fae5481006fafb916675c528a7ee230be89ec0b.zip
Add reproducer for bug24324.
-rw-r--r--testsuite/gna/bug24324/tb_thingy1.vhdl21
-rwxr-xr-xtestsuite/gna/bug24324/testsuite.sh9
2 files changed, 30 insertions, 0 deletions
diff --git a/testsuite/gna/bug24324/tb_thingy1.vhdl b/testsuite/gna/bug24324/tb_thingy1.vhdl
new file mode 100644
index 000000000..1a63a9eba
--- /dev/null
+++ b/testsuite/gna/bug24324/tb_thingy1.vhdl
@@ -0,0 +1,21 @@
+entity tb_thingy is
+end tb_thingy;
+
+architecture tb of tb_thingy is
+ component thingy is
+ port (
+ x_x : in bit;
+ y_y : out bit
+ );
+ end component;
+ signal stimuli : bit;
+ signal response : bit;
+begin
+
+ dut : thingy
+ port map (
+ x-x => stimuli, -- <== spelling error
+ y_y => response
+ );
+
+end tb;
diff --git a/testsuite/gna/bug24324/testsuite.sh b/testsuite/gna/bug24324/testsuite.sh
new file mode 100755
index 000000000..689a4f06b
--- /dev/null
+++ b/testsuite/gna/bug24324/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze_failure tb_thingy1.vhdl
+
+clean
+
+echo "Test successful"