aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2013-12-31 19:01:02 +0100
committerTristan Gingold <tgingold@free.fr>2013-12-31 19:01:02 +0100
commit2fe0a5359e1bdf6dfdab20bea121db8f4e54ffe9 (patch)
tree157d64a590676f8072ae923f8416a683f9680d99
parentac09ce13531d11929f927ad5f79f4a219bee81f1 (diff)
downloadghdl-2fe0a5359e1bdf6dfdab20bea121db8f4e54ffe9.tar.gz
ghdl-2fe0a5359e1bdf6dfdab20bea121db8f4e54ffe9.tar.bz2
ghdl-2fe0a5359e1bdf6dfdab20bea121db8f4e54ffe9.zip
Add bug21274
-rw-r--r--testsuite/gna/bug21274/21274.vhd14
-rw-r--r--testsuite/gna/bug21274/testsuite.sh9
2 files changed, 23 insertions, 0 deletions
diff --git a/testsuite/gna/bug21274/21274.vhd b/testsuite/gna/bug21274/21274.vhd
new file mode 100644
index 000000000..cf4c5aaff
--- /dev/null
+++ b/testsuite/gna/bug21274/21274.vhd
@@ -0,0 +1,14 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity e is
+ generic(SIZE: INTEGER := 8);
+end entity e;
+
+architecture a of e is
+ signal bufreg: STD_LOGIC_VECTOR((2 * SIZE - 1) downto 0);
+ alias ADreg1 is bufreg((2 * SIZE - 1) downto SIZE);
+ alias ADreg2: std_logic_vector((2 * SIZE - 1) downto SIZE) is bufreg((2 * SIZE - 1) downto SIZE);
+
+begin
+end architecture;
diff --git a/testsuite/gna/bug21274/testsuite.sh b/testsuite/gna/bug21274/testsuite.sh
new file mode 100644
index 000000000..165c80c9a
--- /dev/null
+++ b/testsuite/gna/bug21274/testsuite.sh
@@ -0,0 +1,9 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze 21274.vhd
+
+clean
+
+echo "Test successful"