aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorOndrej Ille <ondrej.ille@gmail.com>2021-03-20 17:26:51 +0100
committertgingold <tgingold@users.noreply.github.com>2021-03-22 08:26:02 +0100
commit4895ba09c84b53873d229ff395ff2d1cc47695db (patch)
tree218b2ee6dec3bd7b17506225bcc5ce983cb4bc32
parentc5df00481cca6bd2e9c9279d97ac78152562f496 (diff)
downloadghdl-4895ba09c84b53873d229ff395ff2d1cc47695db.tar.gz
ghdl-4895ba09c84b53873d229ff395ff2d1cc47695db.tar.bz2
ghdl-4895ba09c84b53873d229ff395ff2d1cc47695db.zip
testsuite: Add gna for 1690.
-rw-r--r--testsuite/gna/issue1690/testsuite.sh11
-rw-r--r--testsuite/gna/issue1690/top.vhd48
2 files changed, 59 insertions, 0 deletions
diff --git a/testsuite/gna/issue1690/testsuite.sh b/testsuite/gna/issue1690/testsuite.sh
new file mode 100644
index 000000000..cf12c78ea
--- /dev/null
+++ b/testsuite/gna/issue1690/testsuite.sh
@@ -0,0 +1,11 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS='-Wpsl-uncovered --std=08 -fpsl'
+analyze top.vhd
+elab_simulate tb_top
+
+clean
+
+echo "Test successful"
diff --git a/testsuite/gna/issue1690/top.vhd b/testsuite/gna/issue1690/top.vhd
new file mode 100644
index 000000000..ccd70a96f
--- /dev/null
+++ b/testsuite/gna/issue1690/top.vhd
@@ -0,0 +1,48 @@
+
+Library ieee;
+use ieee.std_logic_1164.all;
+
+entity tb_top is
+end entity;
+
+
+architecture tb of tb_top is
+
+ signal a,b,c : std_logic;
+ signal clk_sys : std_logic;
+
+begin
+
+ gen_clock_proc : process
+ begin
+ clk_sys <= '1';
+ wait for 5 ns;
+ clk_sys <= '0';
+ wait for 5 ns;
+ end process;
+
+ test_proc : process
+ begin
+ a <= '0';
+ b <= '0';
+ c <= '0';
+ wait until rising_edge(clk_sys);
+ a <= '1';
+ wait until rising_edge(clk_sys);
+ a <= '0';
+ b <= '1';
+ wait until rising_edge(clk_sys);
+ b <= '0';
+ c <= '1';
+ wait until rising_edge(clk_sys);
+
+ std.env.finish;
+ end process;
+
+
+ -- psl default clock is rising_edge(clk_sys);
+
+ -- psl cov_simult_a_b_c : cover {a = '1' and b = '1' and c = '1'};
+
+end architecture tb;
+