aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2019-05-05 20:57:21 +0200
committerTristan Gingold <tgingold@free.fr>2019-05-05 20:57:21 +0200
commit6535ea096a13d8f03547f7d5e5018c0dea46252d (patch)
tree845f866bd86148474c48802d2a185019e7a60fb0
parent7892c6e7945d5e4b46ddde4f18debe1c06bd3e12 (diff)
downloadghdl-6535ea096a13d8f03547f7d5e5018c0dea46252d.tar.gz
ghdl-6535ea096a13d8f03547f7d5e5018c0dea46252d.tar.bz2
ghdl-6535ea096a13d8f03547f7d5e5018c0dea46252d.zip
vhdl: rename iirs to vhdl.nodes
-rw-r--r--src/ghdldrv/ghdlcomp.ads2
-rw-r--r--src/ghdldrv/ghdldrv.adb2
-rw-r--r--src/ghdldrv/ghdllocal.ads2
-rw-r--r--src/ghdldrv/ghdlprint.ads2
-rw-r--r--src/ghdldrv/ghdlrun.adb4
-rw-r--r--src/ghdldrv/ghdlsimul.ads2
-rw-r--r--src/ghdldrv/ghdlxml.adb2
-rw-r--r--src/libraries.ads2
-rw-r--r--src/synth/synth-context.ads2
-rw-r--r--src/synth/synth-decls.ads2
-rw-r--r--src/synth/synth-expr.ads2
-rw-r--r--src/synth/synth-source.ads2
-rw-r--r--src/synth/synth-stmts.ads2
-rw-r--r--src/synth/synth-types.ads2
-rw-r--r--src/synth/synth-values.ads2
-rw-r--r--src/synth/synthesis.ads2
-rw-r--r--src/vhdl/Makefile11
-rw-r--r--src/vhdl/errorout.ads2
-rw-r--r--src/vhdl/iir_chain_handling.ads2
-rw-r--r--src/vhdl/iir_chains.ads2
-rw-r--r--src/vhdl/iirs_utils.adb2
-rw-r--r--src/vhdl/iirs_utils.ads2
-rw-r--r--src/vhdl/iirs_walk.ads2
-rw-r--r--src/vhdl/nodes_meta.ads2
-rw-r--r--src/vhdl/nodes_meta.ads.in2
-rw-r--r--src/vhdl/simulate/simul-annotations.ads2
-rw-r--r--src/vhdl/simulate/simul-debugger.ads2
-rw-r--r--src/vhdl/simulate/simul-elaboration.ads2
-rw-r--r--src/vhdl/simulate/simul-environments.ads2
-rw-r--r--src/vhdl/simulate/simul-execution.ads2
-rw-r--r--src/vhdl/simulate/simul-file_operation.ads2
-rw-r--r--src/vhdl/simulate/simul-grt_interface.adb2
-rw-r--r--src/vhdl/simulate/simul-simulation.ads2
-rw-r--r--src/vhdl/translate/ortho_front.adb2
-rw-r--r--src/vhdl/translate/trans.ads2
-rw-r--r--src/vhdl/translate/trans_analyzes.ads2
-rw-r--r--src/vhdl/translate/trans_be.ads2
-rw-r--r--src/vhdl/translate/translation.ads2
-rw-r--r--src/vhdl/vhdl-back_end.ads2
-rw-r--r--src/vhdl/vhdl-canon.ads2
-rw-r--r--src/vhdl/vhdl-canon_psl.ads2
-rw-r--r--src/vhdl/vhdl-configuration.ads2
-rw-r--r--src/vhdl/vhdl-disp_tree.ads2
-rw-r--r--src/vhdl/vhdl-disp_vhdl.ads2
-rw-r--r--src/vhdl/vhdl-elocations.ads2
-rw-r--r--src/vhdl/vhdl-elocations_meta.ads2
-rw-r--r--src/vhdl/vhdl-elocations_meta.ads.in2
-rw-r--r--src/vhdl/vhdl-evaluation.ads2
-rw-r--r--src/vhdl/vhdl-ieee.ads2
-rw-r--r--src/vhdl/vhdl-nodes.adb (renamed from src/vhdl/iirs.adb)4
-rw-r--r--src/vhdl/vhdl-nodes.adb.in (renamed from src/vhdl/iirs.adb.in)4
-rw-r--r--src/vhdl/vhdl-nodes.ads (renamed from src/vhdl/iirs.ads)4
-rw-r--r--src/vhdl/vhdl-nodes_gc.adb2
-rw-r--r--src/vhdl/vhdl-nodes_gc.ads2
-rw-r--r--src/vhdl/vhdl-parse.ads2
-rw-r--r--src/vhdl/vhdl-parse_psl.adb26
-rw-r--r--src/vhdl/vhdl-post_sems.ads2
-rw-r--r--src/vhdl/vhdl-sem.ads2
-rw-r--r--src/vhdl/vhdl-sem_assocs.ads2
-rw-r--r--src/vhdl/vhdl-sem_decls.ads2
-rw-r--r--src/vhdl/vhdl-sem_expr.adb6
-rw-r--r--src/vhdl/vhdl-sem_expr.ads2
-rw-r--r--src/vhdl/vhdl-sem_inst.adb2
-rw-r--r--src/vhdl/vhdl-sem_inst.ads2
-rw-r--r--src/vhdl/vhdl-sem_lib.ads2
-rw-r--r--src/vhdl/vhdl-sem_names.ads2
-rw-r--r--src/vhdl/vhdl-sem_psl.ads2
-rw-r--r--src/vhdl/vhdl-sem_scopes.ads2
-rw-r--r--src/vhdl/vhdl-sem_specs.ads2
-rw-r--r--src/vhdl/vhdl-sem_stmts.ads2
-rw-r--r--src/vhdl/vhdl-sem_types.ads2
-rw-r--r--src/vhdl/vhdl-sem_utils.ads2
-rw-r--r--src/vhdl/vhdl-std_package.ads2
-rw-r--r--src/vhdl/xrefs.adb2
-rw-r--r--src/vhdl/xrefs.ads2
-rwxr-xr-xsrc/xtools/pnodes.py2
76 files changed, 100 insertions, 97 deletions
diff --git a/src/ghdldrv/ghdlcomp.ads b/src/ghdldrv/ghdlcomp.ads
index 0e265a7c2..d20a480a2 100644
--- a/src/ghdldrv/ghdlcomp.ads
+++ b/src/ghdldrv/ghdlcomp.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with GNAT.OS_Lib; use GNAT.OS_Lib;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Ghdlcomp is
-- This procedure is called at start of commands which call
diff --git a/src/ghdldrv/ghdldrv.adb b/src/ghdldrv/ghdldrv.adb
index 0d14c8035..d8e9534fc 100644
--- a/src/ghdldrv/ghdldrv.adb
+++ b/src/ghdldrv/ghdldrv.adb
@@ -25,7 +25,7 @@ with Libraries;
with Name_Table; use Name_Table;
with Vhdl.Std_Package;
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Files_Map;
with Vhdl.Configuration;
with Default_Paths;
diff --git a/src/ghdldrv/ghdllocal.ads b/src/ghdldrv/ghdllocal.ads
index 2a7b4ef92..0a903a129 100644
--- a/src/ghdldrv/ghdllocal.ads
+++ b/src/ghdldrv/ghdllocal.ads
@@ -17,7 +17,7 @@
-- 02111-1307, USA.
with GNAT.OS_Lib; use GNAT.OS_Lib;
with Ghdlmain; use Ghdlmain;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Ghdllocal is
-- Init procedure for the functionnal interface.
diff --git a/src/ghdldrv/ghdlprint.ads b/src/ghdldrv/ghdlprint.ads
index 18cf6d081..4d6f95248 100644
--- a/src/ghdldrv/ghdlprint.ads
+++ b/src/ghdldrv/ghdlprint.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Ghdlprint is
procedure Analyze_Design_File_Units (File : Iir_Design_File);
diff --git a/src/ghdldrv/ghdlrun.adb b/src/ghdldrv/ghdlrun.adb
index efb0c2d4d..9ae929efe 100644
--- a/src/ghdldrv/ghdlrun.adb
+++ b/src/ghdldrv/ghdlrun.adb
@@ -31,7 +31,7 @@ with GNAT.OS_Lib; use GNAT.OS_Lib;
with Ortho_Jit;
with Ortho_Nodes; use Ortho_Nodes;
with Trans_Decls;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Vhdl.Std_Package;
with Flags;
with Errorout; use Errorout;
@@ -758,7 +758,7 @@ package body Ghdlrun is
Translation.Finalize;
Lists.Initialize;
Str_Table.Initialize;
- Iirs.Initialize;
+ Vhdl.Nodes.Initialize;
Files_Map.Initialize;
Name_Table.Finalize;
diff --git a/src/ghdldrv/ghdlsimul.ads b/src/ghdldrv/ghdlsimul.ads
index 3423b1152..6726697f3 100644
--- a/src/ghdldrv/ghdlsimul.ads
+++ b/src/ghdldrv/ghdlsimul.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Ghdlsimul is
procedure Register_Commands;
diff --git a/src/ghdldrv/ghdlxml.adb b/src/ghdldrv/ghdlxml.adb
index 34dab448c..c855ad748 100644
--- a/src/ghdldrv/ghdlxml.adb
+++ b/src/ghdldrv/ghdlxml.adb
@@ -25,7 +25,7 @@ with Vhdl.Disp_Tree; use Vhdl.Disp_Tree;
with Ghdlprint; use Ghdlprint;
with Libraries;
with Errorout; use Errorout;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Vhdl.Sem_Lib; use Vhdl.Sem_Lib;
with Ghdlmain; use Ghdlmain;
with Ghdllocal; use Ghdllocal;
diff --git a/src/libraries.ads b/src/libraries.ads
index d179ff3cf..900cb67cf 100644
--- a/src/libraries.ads
+++ b/src/libraries.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Std_Names;
package Libraries is
diff --git a/src/synth/synth-context.ads b/src/synth/synth-context.ads
index b99179bd5..57a2e412d 100644
--- a/src/synth/synth-context.ads
+++ b/src/synth/synth-context.ads
@@ -23,7 +23,7 @@ with Synth.Values; use Synth.Values;
with Simul.Environments; use Simul.Environments;
with Netlists; use Netlists;
with Netlists.Builders;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Synth.Context is
type Instance_Map_Array is array (Block_Instance_Id range <>)
diff --git a/src/synth/synth-decls.ads b/src/synth/synth-decls.ads
index 119f8bd07..619737607 100644
--- a/src/synth/synth-decls.ads
+++ b/src/synth/synth-decls.ads
@@ -18,7 +18,7 @@
-- Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston,
-- MA 02110-1301, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Synth.Values; use Synth.Values;
package Synth.Decls is
diff --git a/src/synth/synth-expr.ads b/src/synth/synth-expr.ads
index a80e1d7db..baf1f9000 100644
--- a/src/synth/synth-expr.ads
+++ b/src/synth/synth-expr.ads
@@ -21,7 +21,7 @@
with Types; use Types;
with Simul.Environments; use Simul.Environments;
with Synth.Values; use Synth.Values;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Synth.Expr is
function Is_Const (Val : Value_Acc) return Boolean;
diff --git a/src/synth/synth-source.ads b/src/synth/synth-source.ads
index d6504d268..2dd081e84 100644
--- a/src/synth/synth-source.ads
+++ b/src/synth/synth-source.ads
@@ -18,7 +18,7 @@
-- Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston,
-- MA 02110-1301, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Synth.Source is
subtype Syn_Src is Iir;
diff --git a/src/synth/synth-stmts.ads b/src/synth/synth-stmts.ads
index dd314e167..f96117bd6 100644
--- a/src/synth/synth-stmts.ads
+++ b/src/synth/synth-stmts.ads
@@ -18,7 +18,7 @@
-- Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston,
-- MA 02110-1301, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Synth.Values; use Synth.Values;
package Synth.Stmts is
diff --git a/src/synth/synth-types.ads b/src/synth/synth-types.ads
index 934edbb53..b07e22e9e 100644
--- a/src/synth/synth-types.ads
+++ b/src/synth/synth-types.ads
@@ -20,7 +20,7 @@
with Netlists; use Netlists;
with Synth.Values; use Synth.Values;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Synth.Types is
-- All known enumeration type that are translated to a single bit.
diff --git a/src/synth/synth-values.ads b/src/synth/synth-values.ads
index 8bf58a9bc..100af6dbb 100644
--- a/src/synth/synth-values.ads
+++ b/src/synth/synth-values.ads
@@ -22,7 +22,7 @@ with Types; use Types;
with Netlists; use Netlists;
with Synth.Environment; use Synth.Environment;
with Simul.Environments; use Simul.Environments;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Synth.Values is
-- Values is how signals and variables are decomposed. This is similar to
diff --git a/src/synth/synthesis.ads b/src/synth/synthesis.ads
index e1abbfb67..cac933572 100644
--- a/src/synth/synthesis.ads
+++ b/src/synth/synthesis.ads
@@ -18,7 +18,7 @@
-- Foundation, Inc., 51 Franklin Street - Fifth Floor, Boston,
-- MA 02110-1301, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Netlists; use Netlists;
package Synthesis is
diff --git a/src/vhdl/Makefile b/src/vhdl/Makefile
index dc13ff90f..43c288a11 100644
--- a/src/vhdl/Makefile
+++ b/src/vhdl/Makefile
@@ -23,23 +23,24 @@
PNODES=../xtools/pnodes.py
PNODESPY=python/pnodespy.py
-DEPS=iirs.ads iirs.adb.in $(PNODES)
+DEPS=vhdl-nodes.ads vhdl-nodes.adb.in $(PNODES)
-GEN_FILES=iirs.adb nodes_meta.ads nodes_meta.adb \
+GEN_FILES=vhdl-nodes.adb nodes_meta.ads nodes_meta.adb \
vhdl-elocations.adb vhdl-elocations_meta.ads vhdl-elocations_meta.adb \
python/libghdl/iirs.py python/libghdl/nodes_meta.py \
python/libghdl/std_names.py python/libghdl/tokens.py \
python/libghdl/elocations.py python/libghdl/errorout.py
-NODES_FLAGS=--field-file=iirs.adb.in
+NODES_FLAGS=--node-file=vhdl-nodes.ads --field-file=vhdl-nodes.adb.in \
+ --template-file=vhdl-nodes.adb.in --kind-file=vhdl-nodes.ads
ELOCATIONS_FLAGS=--node-file=vhdl-elocations.ads \
- --field-file=vhdl-elocations.adb.in \
+ --field-file=vhdl-elocations.adb.in --kind-file=vhdl-nodes.ads \
--template-file=vhdl-elocations.adb.in --meta-basename=vhdl-elocations_meta
all: $(GEN_FILES)
-iirs.adb: iirs.adb.in $(DEPS)
+vhdl-nodes.adb: vhdl-nodes.adb.in $(DEPS)
$(RM) $@
$(PNODES) $(NODES_FLAGS) body > $@
chmod -w $@
diff --git a/src/vhdl/errorout.ads b/src/vhdl/errorout.ads
index 0df0e6fbc..8da60ee15 100644
--- a/src/vhdl/errorout.ads
+++ b/src/vhdl/errorout.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Vhdl.Tokens;
package Errorout is
diff --git a/src/vhdl/iir_chain_handling.ads b/src/vhdl/iir_chain_handling.ads
index 3865e9b65..ea17ac98a 100644
--- a/src/vhdl/iir_chain_handling.ads
+++ b/src/vhdl/iir_chain_handling.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
-- The generic package Chain_Handling can be used to build or modify
-- chains.
diff --git a/src/vhdl/iir_chains.ads b/src/vhdl/iir_chains.ads
index fc9da1136..7533ff7f1 100644
--- a/src/vhdl/iir_chains.ads
+++ b/src/vhdl/iir_chains.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Iir_Chain_Handling;
pragma Elaborate_All (Iir_Chain_Handling);
with Nodes_Meta;
diff --git a/src/vhdl/iirs_utils.adb b/src/vhdl/iirs_utils.adb
index 89b9d46ec..960a85c40 100644
--- a/src/vhdl/iirs_utils.adb
+++ b/src/vhdl/iirs_utils.adb
@@ -746,7 +746,7 @@ package body Iirs_Utils is
-- Get identifier of NODE as a string.
function Image_Identifier (Node : Iir) return String is
begin
- return Name_Table.Image (Iirs.Get_Identifier (Node));
+ return Name_Table.Image (Vhdl.Nodes.Get_Identifier (Node));
end Image_Identifier;
function Image_String_Lit (Str : Iir) return String is
diff --git a/src/vhdl/iirs_utils.ads b/src/vhdl/iirs_utils.ads
index d70096f09..acee1bc64 100644
--- a/src/vhdl/iirs_utils.ads
+++ b/src/vhdl/iirs_utils.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Iirs_Utils is
-- Transform the current token into an iir literal.
diff --git a/src/vhdl/iirs_walk.ads b/src/vhdl/iirs_walk.ads
index c00aa955d..ca0a9e88f 100644
--- a/src/vhdl/iirs_walk.ads
+++ b/src/vhdl/iirs_walk.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Iirs_Walk is
type Walk_Status is
diff --git a/src/vhdl/nodes_meta.ads b/src/vhdl/nodes_meta.ads
index e3a0113ca..a5d308043 100644
--- a/src/vhdl/nodes_meta.ads
+++ b/src/vhdl/nodes_meta.ads
@@ -17,7 +17,7 @@
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Vhdl.Tokens; use Vhdl.Tokens;
package Nodes_Meta is
diff --git a/src/vhdl/nodes_meta.ads.in b/src/vhdl/nodes_meta.ads.in
index d48c9ec07..2f8b435d9 100644
--- a/src/vhdl/nodes_meta.ads.in
+++ b/src/vhdl/nodes_meta.ads.in
@@ -17,7 +17,7 @@
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Vhdl.Tokens; use Vhdl.Tokens;
package Nodes_Meta is
diff --git a/src/vhdl/simulate/simul-annotations.ads b/src/vhdl/simulate/simul-annotations.ads
index caed38cbb..36a158f1e 100644
--- a/src/vhdl/simulate/simul-annotations.ads
+++ b/src/vhdl/simulate/simul-annotations.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Simul.Environments; use Simul.Environments;
package Simul.Annotations is
diff --git a/src/vhdl/simulate/simul-debugger.ads b/src/vhdl/simulate/simul-debugger.ads
index f2aabd536..21d96df90 100644
--- a/src/vhdl/simulate/simul-debugger.ads
+++ b/src/vhdl/simulate/simul-debugger.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Simul.Environments; use Simul.Environments;
with Grt.Types;
diff --git a/src/vhdl/simulate/simul-elaboration.ads b/src/vhdl/simulate/simul-elaboration.ads
index e4af1f21c..22a912596 100644
--- a/src/vhdl/simulate/simul-elaboration.ads
+++ b/src/vhdl/simulate/simul-elaboration.ads
@@ -18,7 +18,7 @@
with Tables;
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Simul.Environments; use Simul.Environments;
with Grt.Types; use Grt.Types;
diff --git a/src/vhdl/simulate/simul-environments.ads b/src/vhdl/simulate/simul-environments.ads
index 845c4fafc..ec3a5f9e3 100644
--- a/src/vhdl/simulate/simul-environments.ads
+++ b/src/vhdl/simulate/simul-environments.ads
@@ -18,7 +18,7 @@
with Ada.Unchecked_Deallocation;
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Grt.Types; use Grt.Types;
with Grt.Signals; use Grt.Signals;
with Grt.Files;
diff --git a/src/vhdl/simulate/simul-execution.ads b/src/vhdl/simulate/simul-execution.ads
index f85970048..ade4ac085 100644
--- a/src/vhdl/simulate/simul-execution.ads
+++ b/src/vhdl/simulate/simul-execution.ads
@@ -17,7 +17,7 @@
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Simul.Environments; use Simul.Environments;
with Simul.Elaboration; use Simul.Elaboration;
with Areapools; use Areapools;
diff --git a/src/vhdl/simulate/simul-file_operation.ads b/src/vhdl/simulate/simul-file_operation.ads
index 5844cea77..04944961f 100644
--- a/src/vhdl/simulate/simul-file_operation.ads
+++ b/src/vhdl/simulate/simul-file_operation.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Simul.Environments; use Simul.Environments;
with Grt.Files; use Grt.Files;
with Grt.Types; use Grt.Types;
diff --git a/src/vhdl/simulate/simul-grt_interface.adb b/src/vhdl/simulate/simul-grt_interface.adb
index a8ff0a056..40069e908 100644
--- a/src/vhdl/simulate/simul-grt_interface.adb
+++ b/src/vhdl/simulate/simul-grt_interface.adb
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Types; use Types;
package body Simul.Grt_Interface is
diff --git a/src/vhdl/simulate/simul-simulation.ads b/src/vhdl/simulate/simul-simulation.ads
index 5ab01a6ff..0f976dae8 100644
--- a/src/vhdl/simulate/simul-simulation.ads
+++ b/src/vhdl/simulate/simul-simulation.ads
@@ -18,7 +18,7 @@
with System;
with Grt.Types; use Grt.Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Simul.Environments; use Simul.Environments;
package Simul.Simulation is
diff --git a/src/vhdl/translate/ortho_front.adb b/src/vhdl/translate/ortho_front.adb
index 2ce7a34a4..9a0afe2e5 100644
--- a/src/vhdl/translate/ortho_front.adb
+++ b/src/vhdl/translate/ortho_front.adb
@@ -22,7 +22,7 @@ with Types; use Types;
with Name_Table;
with Hash;
with Interning;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Libraries;
with Iirs_Utils; use Iirs_Utils;
with Vhdl.Std_Package;
diff --git a/src/vhdl/translate/trans.ads b/src/vhdl/translate/trans.ads
index bceaf986d..487e5dba9 100644
--- a/src/vhdl/translate/trans.ads
+++ b/src/vhdl/translate/trans.ads
@@ -19,7 +19,7 @@ with Ada.Unchecked_Deallocation;
with Interfaces; use Interfaces;
with Ortho_Nodes; use Ortho_Nodes;
with Ortho_Ident; use Ortho_Ident;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Types; use Types;
package Trans is
diff --git a/src/vhdl/translate/trans_analyzes.ads b/src/vhdl/translate/trans_analyzes.ads
index ecebb7597..3b021bc54 100644
--- a/src/vhdl/translate/trans_analyzes.ads
+++ b/src/vhdl/translate/trans_analyzes.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Trans_Analyzes is
-- Extract a list of drivers from PROC.
diff --git a/src/vhdl/translate/trans_be.ads b/src/vhdl/translate/trans_be.ads
index 95cf04c1a..ff0026fb8 100644
--- a/src/vhdl/translate/trans_be.ads
+++ b/src/vhdl/translate/trans_be.ads
@@ -15,7 +15,7 @@
-- along with GCC; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Translation;
package Trans_Be is
diff --git a/src/vhdl/translate/translation.ads b/src/vhdl/translate/translation.ads
index ca8877ad7..73c92c347 100644
--- a/src/vhdl/translate/translation.ads
+++ b/src/vhdl/translate/translation.ads
@@ -15,7 +15,7 @@
-- along with GCC; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Ortho_Nodes;
package Translation is
diff --git a/src/vhdl/vhdl-back_end.ads b/src/vhdl/vhdl-back_end.ads
index ea62ae80b..6aa351e7b 100644
--- a/src/vhdl/vhdl-back_end.ads
+++ b/src/vhdl/vhdl-back_end.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Back_End is
-- Back-end options.
diff --git a/src/vhdl/vhdl-canon.ads b/src/vhdl/vhdl-canon.ads
index ca32f5744..9b79a19a0 100644
--- a/src/vhdl/vhdl-canon.ads
+++ b/src/vhdl/vhdl-canon.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Canon is
-- If true, a label will be added to unlabelled concurrent statements.
diff --git a/src/vhdl/vhdl-canon_psl.ads b/src/vhdl/vhdl-canon_psl.ads
index 03e740acc..d5805d480 100644
--- a/src/vhdl/vhdl-canon_psl.ads
+++ b/src/vhdl/vhdl-canon_psl.ads
@@ -17,7 +17,7 @@
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Canon_PSL is
-- Version of Canon.Canon_Extract_Sensitivity for PSL nodes.
diff --git a/src/vhdl/vhdl-configuration.ads b/src/vhdl/vhdl-configuration.ads
index 96d9851ed..d38b90366 100644
--- a/src/vhdl/vhdl-configuration.ads
+++ b/src/vhdl/vhdl-configuration.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Tables;
package Vhdl.Configuration is
diff --git a/src/vhdl/vhdl-disp_tree.ads b/src/vhdl/vhdl-disp_tree.ads
index 72aca5827..d2828b1bc 100644
--- a/src/vhdl/vhdl-disp_tree.ads
+++ b/src/vhdl/vhdl-disp_tree.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Vhdl.Tokens; use Vhdl.Tokens;
package Vhdl.Disp_Tree is
diff --git a/src/vhdl/vhdl-disp_vhdl.ads b/src/vhdl/vhdl-disp_vhdl.ads
index cdf5528ba..b29a1e736 100644
--- a/src/vhdl/vhdl-disp_vhdl.ads
+++ b/src/vhdl/vhdl-disp_vhdl.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Disp_Vhdl is
-- General procedure to display a node.
diff --git a/src/vhdl/vhdl-elocations.ads b/src/vhdl/vhdl-elocations.ads
index 8772aec1b..14c33999f 100644
--- a/src/vhdl/vhdl-elocations.ads
+++ b/src/vhdl/vhdl-elocations.ads
@@ -17,7 +17,7 @@
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Elocations is
diff --git a/src/vhdl/vhdl-elocations_meta.ads b/src/vhdl/vhdl-elocations_meta.ads
index ad389daca..41cd354f4 100644
--- a/src/vhdl/vhdl-elocations_meta.ads
+++ b/src/vhdl/vhdl-elocations_meta.ads
@@ -17,7 +17,7 @@
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Elocations_Meta is
-- The enumeration of all fields defined in iirs.
diff --git a/src/vhdl/vhdl-elocations_meta.ads.in b/src/vhdl/vhdl-elocations_meta.ads.in
index dfbce3296..63ed26599 100644
--- a/src/vhdl/vhdl-elocations_meta.ads.in
+++ b/src/vhdl/vhdl-elocations_meta.ads.in
@@ -17,7 +17,7 @@
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Elocations_Meta is
-- The enumeration of all fields defined in iirs.
diff --git a/src/vhdl/vhdl-evaluation.ads b/src/vhdl/vhdl-evaluation.ads
index 12b8c778e..61c290ae1 100644
--- a/src/vhdl/vhdl-evaluation.ads
+++ b/src/vhdl/vhdl-evaluation.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Evaluation is
diff --git a/src/vhdl/vhdl-ieee.ads b/src/vhdl/vhdl-ieee.ads
index 53a094ea7..87b9933a0 100644
--- a/src/vhdl/vhdl-ieee.ads
+++ b/src/vhdl/vhdl-ieee.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Ieee is
-- Skip constant string declaration for a copyright, if present.
diff --git a/src/vhdl/iirs.adb b/src/vhdl/vhdl-nodes.adb
index 4d800e1dd..82c99b95c 100644
--- a/src/vhdl/iirs.adb
+++ b/src/vhdl/vhdl-nodes.adb
@@ -23,7 +23,7 @@ with Lists; use Lists;
with Nodes_Meta; use Nodes_Meta;
with Vhdl.Nodes_Priv; use Vhdl.Nodes_Priv;
-package body Iirs is
+package body Vhdl.Nodes is
-- A simple type that needs only 2 bits.
type Bit2_Type is range 0 .. 2 ** 2 - 1;
@@ -6566,4 +6566,4 @@ package body Iirs is
Set_Flag1 (N, Flag);
end Set_PSL_EOS_Flag;
-end Iirs;
+end Vhdl.Nodes;
diff --git a/src/vhdl/iirs.adb.in b/src/vhdl/vhdl-nodes.adb.in
index dc0d9547b..0dee1df81 100644
--- a/src/vhdl/iirs.adb.in
+++ b/src/vhdl/vhdl-nodes.adb.in
@@ -23,7 +23,7 @@ with Lists; use Lists;
with Nodes_Meta; use Nodes_Meta;
with Vhdl.Nodes_Priv; use Vhdl.Nodes_Priv;
-package body Iirs is
+package body Vhdl.Nodes is
-- A simple type that needs only 2 bits.
type Bit2_Type is range 0 .. 2 ** 2 - 1;
@@ -945,4 +945,4 @@ package body Iirs is
(Source => PSL_NFA, Target => Iir);
-- Subprograms
-end Iirs;
+end Vhdl.Nodes;
diff --git a/src/vhdl/iirs.ads b/src/vhdl/vhdl-nodes.ads
index df5391e79..7ffa117d6 100644
--- a/src/vhdl/iirs.ads
+++ b/src/vhdl/vhdl-nodes.ads
@@ -22,7 +22,7 @@ with Vhdl.Nodes_Priv;
with Lists;
with Flists;
-package Iirs is
+package Vhdl.Nodes is
-- This package defines the semantic tree and functions to handle it.
-- The tree is roughly based on IIR (Internal Intermediate Representation),
-- [AIRE/CE Advanced Intermediate Representation with Extensibility,
@@ -7546,4 +7546,4 @@ package Iirs is
function Get_PSL_EOS_Flag (N : Iir) return Boolean;
procedure Set_PSL_EOS_Flag (N : Iir; Flag : Boolean);
-end Iirs;
+end Vhdl.Nodes;
diff --git a/src/vhdl/vhdl-nodes_gc.adb b/src/vhdl/vhdl-nodes_gc.adb
index d20f2a89e..1dc54f4bd 100644
--- a/src/vhdl/vhdl-nodes_gc.adb
+++ b/src/vhdl/vhdl-nodes_gc.adb
@@ -376,7 +376,7 @@ package body Vhdl.Nodes_GC is
is
use Vhdl.Std_Package;
begin
- Markers := new Marker_Array'(Null_Iir .. Iirs.Get_Last_Node => False);
+ Markers := new Marker_Array'(Null_Iir .. Nodes.Get_Last_Node => False);
Has_Error := False;
diff --git a/src/vhdl/vhdl-nodes_gc.ads b/src/vhdl/vhdl-nodes_gc.ads
index 258ab7ab9..77fa67f0c 100644
--- a/src/vhdl/vhdl-nodes_gc.ads
+++ b/src/vhdl/vhdl-nodes_gc.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Nodes_GC is
Flag_Disp_Multiref : Boolean := True;
diff --git a/src/vhdl/vhdl-parse.ads b/src/vhdl/vhdl-parse.ads
index c399fc475..4e7b54a12 100644
--- a/src/vhdl/vhdl-parse.ads
+++ b/src/vhdl/vhdl-parse.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Parse is
-- If True, create nodes for parenthesis expressions.
diff --git a/src/vhdl/vhdl-parse_psl.adb b/src/vhdl/vhdl-parse_psl.adb
index f20094231..3c44b7213 100644
--- a/src/vhdl/vhdl-parse_psl.adb
+++ b/src/vhdl/vhdl-parse_psl.adb
@@ -18,13 +18,15 @@
with Errorout; use Errorout;
with PSL.Nodes; use PSL.Nodes;
-with Iirs;
+with Vhdl.Nodes;
with Vhdl.Scanner; use Vhdl.Scanner;
with PSL.Errors; use PSL.Errors;
with PSL.Priorities; use PSL.Priorities;
with Vhdl.Parse;
package body Vhdl.Parse_Psl is
+ subtype Vhdl_Node is Vhdl.Nodes.Iir;
+
procedure Error_Msg_Parse (Msg: String) is
begin
Report_Msg (Msgid_Error, Errorout.Parse, No_Location, Msg);
@@ -72,12 +74,12 @@ package body Vhdl.Parse_Psl is
end if;
end Parse_Count;
- function Psl_To_Vhdl (N : Node) return Iirs.Iir;
+ function Psl_To_Vhdl (N : Node) return Vhdl_Node;
- function Binary_Psl_Operator_To_Vhdl (N : Node; Kind : Iirs.Iir_Kind)
- return Iirs.Iir
+ function Binary_Psl_Operator_To_Vhdl (N : Node; Kind : Vhdl.Nodes.Iir_Kind)
+ return Vhdl_Node
is
- use Iirs;
+ use Vhdl.Nodes;
Res : Iir;
begin
Res := Create_Iir (Kind);
@@ -87,14 +89,14 @@ package body Vhdl.Parse_Psl is
return Res;
end Binary_Psl_Operator_To_Vhdl;
- function Psl_To_Vhdl (N : Node) return Iirs.Iir
+ function Psl_To_Vhdl (N : Node) return Vhdl_Node
is
- use Iirs;
+ use Vhdl.Nodes;
Res : Iir;
begin
case Get_Kind (N) is
when N_HDL_Expr =>
- Res := Iirs.Iir (Get_HDL_Node (N));
+ Res := Vhdl_Node (Get_HDL_Node (N));
when N_And_Prop =>
Res := Binary_Psl_Operator_To_Vhdl (N, Iir_Kind_And_Operator);
when N_Or_Prop =>
@@ -109,9 +111,9 @@ package body Vhdl.Parse_Psl is
return Res;
end Psl_To_Vhdl;
- function Vhdl_To_Psl (N : Iirs.Iir) return Node
+ function Vhdl_To_Psl (N : Vhdl_Node) return Node
is
- use Iirs;
+ use Vhdl.Nodes;
Res : Node;
begin
Res := Create_Node_Loc (N_HDL_Expr);
@@ -129,7 +131,7 @@ package body Vhdl.Parse_Psl is
function Parse_Unary_Boolean (Full_Hdl_Expr : Boolean) return Node
is
use Parse;
- use Iirs;
+ use Vhdl.Nodes;
Left, Expr : Iir;
Op : Iir_Kind;
begin
@@ -444,7 +446,7 @@ package body Vhdl.Parse_Psl is
if Get_Kind (Res) = N_HDL_Expr then
declare
- N : Iirs.Iir;
+ N : Vhdl_Node;
begin
N := Psl_To_Vhdl (Res);
N := Parse.Parse_Binary_Expression (N, Parse.Prio_Expression);
diff --git a/src/vhdl/vhdl-post_sems.ads b/src/vhdl/vhdl-post_sems.ads
index 09effa2c0..8f8c93740 100644
--- a/src/vhdl/vhdl-post_sems.ads
+++ b/src/vhdl/vhdl-post_sems.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Post_Sems is
-- Do post analyze checks, such as VITAL checks.
diff --git a/src/vhdl/vhdl-sem.ads b/src/vhdl/vhdl-sem.ads
index c6bb46bd8..ab1056899 100644
--- a/src/vhdl/vhdl-sem.ads
+++ b/src/vhdl/vhdl-sem.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Types; use Types;
package Vhdl.Sem is
diff --git a/src/vhdl/vhdl-sem_assocs.ads b/src/vhdl/vhdl-sem_assocs.ads
index 632956c3d..1e66ad02b 100644
--- a/src/vhdl/vhdl-sem_assocs.ads
+++ b/src/vhdl/vhdl-sem_assocs.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Vhdl.Sem_Expr; use Vhdl.Sem_Expr;
package Vhdl.Sem_Assocs is
diff --git a/src/vhdl/vhdl-sem_decls.ads b/src/vhdl/vhdl-sem_decls.ads
index 99609b5e3..f22cd8791 100644
--- a/src/vhdl/vhdl-sem_decls.ads
+++ b/src/vhdl/vhdl-sem_decls.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Sem_Decls is
-- Analyze an interface chain.
diff --git a/src/vhdl/vhdl-sem_expr.adb b/src/vhdl/vhdl-sem_expr.adb
index 09316fa11..5fa584758 100644
--- a/src/vhdl/vhdl-sem_expr.adb
+++ b/src/vhdl/vhdl-sem_expr.adb
@@ -3464,8 +3464,8 @@ package body Vhdl.Sem_Expr is
| Iir_Kind_Choice_By_Expression =>
Is_Positional := False;
Choice_Staticness :=
- Iirs.Min (Choice_Staticness,
- Get_Choice_Staticness (Choice));
+ Nodes.Min (Choice_Staticness,
+ Get_Choice_Staticness (Choice));
-- FIXME: not true for range.
Len := Len + 1;
when Iir_Kind_Choice_By_None =>
@@ -3490,7 +3490,7 @@ package body Vhdl.Sem_Expr is
Len := Len + Natural
(Eval_Discrete_Type_Length (Expr_Index));
when Globally | None =>
- Len_Staticness := Iirs.Min
+ Len_Staticness := Nodes.Min
(Len_Staticness, Index_Staticness);
when Unknown =>
-- Must have been caught by Is_Error.
diff --git a/src/vhdl/vhdl-sem_expr.ads b/src/vhdl/vhdl-sem_expr.ads
index 4e96aa5be..c58a26e86 100644
--- a/src/vhdl/vhdl-sem_expr.ads
+++ b/src/vhdl/vhdl-sem_expr.ads
@@ -18,7 +18,7 @@
with Ada.Unchecked_Deallocation;
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Sem_Expr is
-- Set semantic to EXPR.
diff --git a/src/vhdl/vhdl-sem_inst.adb b/src/vhdl/vhdl-sem_inst.adb
index 8550e0650..699b5b3e8 100644
--- a/src/vhdl/vhdl-sem_inst.adb
+++ b/src/vhdl/vhdl-sem_inst.adb
@@ -49,7 +49,7 @@ package body Vhdl.Sem_Inst is
procedure Expand_Origin_Table
is
use Vhdl.Nodes_Priv;
- Last : constant Iir := Iirs.Get_Last_Node;
+ Last : constant Iir := Nodes.Get_Last_Node;
El : constant Iir := Origin_Table.Last;
begin
if El < Last then
diff --git a/src/vhdl/vhdl-sem_inst.ads b/src/vhdl/vhdl-sem_inst.ads
index 48d72b770..8ec8aa195 100644
--- a/src/vhdl/vhdl-sem_inst.ads
+++ b/src/vhdl/vhdl-sem_inst.ads
@@ -14,7 +14,7 @@
-- package to its duplicated node. Links from instantiated declaration to
-- the original declaration are also stored in that table.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Sem_Inst is
-- Return the origin of node N, the node from which N was instantiated.
diff --git a/src/vhdl/vhdl-sem_lib.ads b/src/vhdl/vhdl-sem_lib.ads
index 812279b90..544359bf3 100644
--- a/src/vhdl/vhdl-sem_lib.ads
+++ b/src/vhdl/vhdl-sem_lib.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Sem_Lib is
-- Start the analyse a file (ie load and parse it).
diff --git a/src/vhdl/vhdl-sem_names.ads b/src/vhdl/vhdl-sem_names.ads
index d5ed1a462..49ccd2ca7 100644
--- a/src/vhdl/vhdl-sem_names.ads
+++ b/src/vhdl/vhdl-sem_names.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Sem_Names is
-- In VHDL, most of name notations are ambiguous:
diff --git a/src/vhdl/vhdl-sem_psl.ads b/src/vhdl/vhdl-sem_psl.ads
index 396927f4d..36a20a81c 100644
--- a/src/vhdl/vhdl-sem_psl.ads
+++ b/src/vhdl/vhdl-sem_psl.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Sem_Psl is
procedure Sem_Psl_Declaration (Stmt : Iir);
diff --git a/src/vhdl/vhdl-sem_scopes.ads b/src/vhdl/vhdl-sem_scopes.ads
index 93aad18cd..762cccfa9 100644
--- a/src/vhdl/vhdl-sem_scopes.ads
+++ b/src/vhdl/vhdl-sem_scopes.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Types; use Types;
package Vhdl.Sem_Scopes is
diff --git a/src/vhdl/vhdl-sem_specs.ads b/src/vhdl/vhdl-sem_specs.ads
index 5c4fef962..d626a9bfb 100644
--- a/src/vhdl/vhdl-sem_specs.ads
+++ b/src/vhdl/vhdl-sem_specs.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
with Vhdl.Tokens;
package Vhdl.Sem_Specs is
diff --git a/src/vhdl/vhdl-sem_stmts.ads b/src/vhdl/vhdl-sem_stmts.ads
index 81c7806aa..526af45ec 100644
--- a/src/vhdl/vhdl-sem_stmts.ads
+++ b/src/vhdl/vhdl-sem_stmts.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Sem_Stmts is
-- Analyze declarations and concurrent statements of BLK, which is
diff --git a/src/vhdl/vhdl-sem_types.ads b/src/vhdl/vhdl-sem_types.ads
index 33648b870..6ba20166c 100644
--- a/src/vhdl/vhdl-sem_types.ads
+++ b/src/vhdl/vhdl-sem_types.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Sem_Types is
-- Analyze of types (LRM93 3 / LRM08 5)
diff --git a/src/vhdl/vhdl-sem_utils.ads b/src/vhdl/vhdl-sem_utils.ads
index b7fc8082e..726342cdf 100644
--- a/src/vhdl/vhdl-sem_utils.ads
+++ b/src/vhdl/vhdl-sem_utils.ads
@@ -15,7 +15,7 @@
-- along with GHDL; see the file COPYING. If not, write to the Free
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Sem_Utils is
-- Compute and set the hash profile of a subprogram or enumeration clause.
diff --git a/src/vhdl/vhdl-std_package.ads b/src/vhdl/vhdl-std_package.ads
index f20364e10..b851eeae1 100644
--- a/src/vhdl/vhdl-std_package.ads
+++ b/src/vhdl/vhdl-std_package.ads
@@ -17,7 +17,7 @@
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Vhdl.Std_Package is
diff --git a/src/vhdl/xrefs.adb b/src/vhdl/xrefs.adb
index d59b34f60..0c510892b 100644
--- a/src/vhdl/xrefs.adb
+++ b/src/vhdl/xrefs.adb
@@ -219,7 +219,7 @@ package body Xrefs is
-- Note: nodes of std_standard have the same location. FIXME ?
N1 := Get_Xref_Node (Op1);
N2 := Get_Xref_Node (Op2);
- if Iirs."/=" (N1, N2) then
+ if Vhdl.Nodes."/=" (N1, N2) then
return Vhdl.Nodes_Priv."<" (N1, N2);
end if;
diff --git a/src/vhdl/xrefs.ads b/src/vhdl/xrefs.ads
index c89470e9b..766d123c1 100644
--- a/src/vhdl/xrefs.ads
+++ b/src/vhdl/xrefs.ads
@@ -16,7 +16,7 @@
-- Software Foundation, 59 Temple Place - Suite 330, Boston, MA
-- 02111-1307, USA.
with Types; use Types;
-with Iirs; use Iirs;
+with Vhdl.Nodes; use Vhdl.Nodes;
package Xrefs is
type Xref_Kind is
diff --git a/src/xtools/pnodes.py b/src/xtools/pnodes.py
index 82c1a69b2..f573cc417 100755
--- a/src/xtools/pnodes.py
+++ b/src/xtools/pnodes.py
@@ -885,7 +885,7 @@ def main():
global formats, fields, nodes, kinds, kinds_ranges, funcs
global type_name, prefix_name, template_file, node_type, meta_base_file
- global prefix_range_name, flag_keep_order
+ global prefix_range_name, flag_keep_order, kind_file
type_name = args.kind_type
prefix_name = args.kind_prefix