aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-01-26 20:02:32 +0100
committerTristan Gingold <tgingold@free.fr>2016-01-26 20:02:32 +0100
commit7574b55c8f65dc477e8aec66979de47c854ecae4 (patch)
tree26b68847948ddbfe9ce4338111168eaf1d1cda2b
parente1af0a762a6df2e2630b504e782740ba77e323af (diff)
downloadghdl-7574b55c8f65dc477e8aec66979de47c854ecae4.tar.gz
ghdl-7574b55c8f65dc477e8aec66979de47c854ecae4.tar.bz2
ghdl-7574b55c8f65dc477e8aec66979de47c854ecae4.zip
Add testcase for previous patch.
-rw-r--r--testsuite/gna/bug037/repro.vhdl14
-rwxr-xr-xtestsuite/gna/bug037/testsuite.sh10
2 files changed, 24 insertions, 0 deletions
diff --git a/testsuite/gna/bug037/repro.vhdl b/testsuite/gna/bug037/repro.vhdl
new file mode 100644
index 000000000..49e80ddc9
--- /dev/null
+++ b/testsuite/gna/bug037/repro.vhdl
@@ -0,0 +1,14 @@
+entity repro is
+end;
+
+architecture behav of repro is
+ subtype byte is bit_vector (7 downto 0);
+ type byte_array is array (1 to 10, boolean, 'a' to 'c') of byte;
+
+ signal s : byte_array;
+begin
+ process
+ begin
+ wait;
+ end process;
+end behav;
diff --git a/testsuite/gna/bug037/testsuite.sh b/testsuite/gna/bug037/testsuite.sh
new file mode 100755
index 000000000..ea3e4df33
--- /dev/null
+++ b/testsuite/gna/bug037/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro.vhdl
+elab_simulate repro --dump-rti
+
+clean
+
+echo "Test successful"