aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-10-07 18:37:31 +0200
committerTristan Gingold <tgingold@free.fr>2020-10-07 18:50:10 +0200
commit7d519d803e7481bf1007b7177629776f99149f65 (patch)
treec66bb070786c8842186dc0593c1e08b94b3ab2f8
parentfce4a3afbd3495ec70af9182ef5c63e61901e179 (diff)
downloadghdl-7d519d803e7481bf1007b7177629776f99149f65.tar.gz
ghdl-7d519d803e7481bf1007b7177629776f99149f65.tar.bz2
ghdl-7d519d803e7481bf1007b7177629776f99149f65.zip
testsuite/gna: add a test for previous commit.
-rw-r--r--testsuite/gna/bug0100/attr2.vhdl9
-rwxr-xr-xtestsuite/gna/bug0100/testsuite.sh1
2 files changed, 10 insertions, 0 deletions
diff --git a/testsuite/gna/bug0100/attr2.vhdl b/testsuite/gna/bug0100/attr2.vhdl
new file mode 100644
index 000000000..719100aa0
--- /dev/null
+++ b/testsuite/gna/bug0100/attr2.vhdl
@@ -0,0 +1,9 @@
+entity attr2 is
+end attr2;
+
+architecture behav of attr2 is
+ attribute my_attr : boolean;
+ signal sig : bit;
+ attribute my_attr of sig;
+begin
+end behav;
diff --git a/testsuite/gna/bug0100/testsuite.sh b/testsuite/gna/bug0100/testsuite.sh
index fce617008..db51469d8 100755
--- a/testsuite/gna/bug0100/testsuite.sh
+++ b/testsuite/gna/bug0100/testsuite.sh
@@ -21,6 +21,7 @@ analyze_failure proctarg.vhdl
analyze_failure libparen.vhdl
analyze_failure --force-analysis badrng.vhdl
analyze_failure --force-analysis attr.vhdl
+analyze_failure --force-analysis attr2.vhdl
if analyze_failure --force-analysis notype1.vhdl 2>&1 | grep -q "indexed name"; then
: