aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2020-10-29 07:59:07 +0100
committerTristan Gingold <tgingold@free.fr>2020-10-29 07:59:33 +0100
commit9207342e0b89ba07c123eb5e20a360035ad5ebb0 (patch)
tree8ea9ed627392570b53539973282a57b793335e43
parent997d378b6357a202ce9457f664f1e258aaeed6df (diff)
downloadghdl-9207342e0b89ba07c123eb5e20a360035ad5ebb0.tar.gz
ghdl-9207342e0b89ba07c123eb5e20a360035ad5ebb0.tar.bz2
ghdl-9207342e0b89ba07c123eb5e20a360035ad5ebb0.zip
testsuite/gna: add testcase for #1500
-rw-r--r--testsuite/gna/issue1500/test.vhdl25
-rwxr-xr-xtestsuite/gna/issue1500/testsuite.sh10
2 files changed, 35 insertions, 0 deletions
diff --git a/testsuite/gna/issue1500/test.vhdl b/testsuite/gna/issue1500/test.vhdl
new file mode 100644
index 000000000..a2baa3538
--- /dev/null
+++ b/testsuite/gna/issue1500/test.vhdl
@@ -0,0 +1,25 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+
+entity test is
+end test;
+
+architecture test of test is
+
+ subtype byte is unsigned (7 downto 0);
+ type arr_t is array (0 to 1) of byte;
+ signal arr: arr_t;
+
+begin
+
+ process is
+ begin
+ arr <= (B"11111111" others => B"00000000");
+ -- ^^^^ no comma
+ wait for 1 ns;
+ report to_string(arr(0)); -- reports 00000000
+ wait;
+ end process;
+
+end test;
diff --git a/testsuite/gna/issue1500/testsuite.sh b/testsuite/gna/issue1500/testsuite.sh
new file mode 100755
index 000000000..84ddbddba
--- /dev/null
+++ b/testsuite/gna/issue1500/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+export GHDL_STD_FLAGS=--std=08
+analyze_failure test.vhdl
+
+clean
+
+echo "Test successful"