aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2021-10-03 21:03:56 +0200
committerTristan Gingold <tgingold@free.fr>2021-10-03 21:03:56 +0200
commitbd7df2697ac927ff200c1d3af4035cb8572d0dcf (patch)
tree3ea0cafc146fa175485af53e7ce794a0c731639b
parent169f89c649dbeb97ef90e8405fdaad8b85651490 (diff)
downloadghdl-bd7df2697ac927ff200c1d3af4035cb8572d0dcf.tar.gz
ghdl-bd7df2697ac927ff200c1d3af4035cb8572d0dcf.tar.bz2
ghdl-bd7df2697ac927ff200c1d3af4035cb8572d0dcf.zip
testsuite/gna: add a test for #1386
-rw-r--r--testsuite/gna/issue1386/example.vhdl12
-rwxr-xr-xtestsuite/gna/issue1386/testsuite.sh10
2 files changed, 22 insertions, 0 deletions
diff --git a/testsuite/gna/issue1386/example.vhdl b/testsuite/gna/issue1386/example.vhdl
new file mode 100644
index 000000000..a95942e64
--- /dev/null
+++ b/testsuite/gna/issue1386/example.vhdl
@@ -0,0 +1,12 @@
+library ieee;
+use ieee.std_logic_1164.all;
+
+entity example is
+ generic (
+ PARAMETER : std_logic_vector);
+end example;
+
+architecture behavioral of example is
+begin
+ assert PARAMETER = x"9" severity failure;
+end behavioral;
diff --git a/testsuite/gna/issue1386/testsuite.sh b/testsuite/gna/issue1386/testsuite.sh
new file mode 100755
index 000000000..517ef1b15
--- /dev/null
+++ b/testsuite/gna/issue1386/testsuite.sh
@@ -0,0 +1,10 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze example.vhdl
+elab_simulate example -gparameter=1001
+
+clean
+
+echo "Test successful"