aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2015-09-02 18:22:06 +0200
committerTristan Gingold <tgingold@free.fr>2015-09-02 18:22:06 +0200
commite1a8d8789fcac1d46d381dc2f7803f7c0a0ed970 (patch)
tree1038dbc2b501d90cacd900c4cb605d3d4780e1d7
parenta779d6b3b5f10cbee6690ae4ff7aa43b65caf5e3 (diff)
downloadghdl-e1a8d8789fcac1d46d381dc2f7803f7c0a0ed970.tar.gz
ghdl-e1a8d8789fcac1d46d381dc2f7803f7c0a0ed970.tar.bz2
ghdl-e1a8d8789fcac1d46d381dc2f7803f7c0a0ed970.zip
Add bug018
-rw-r--r--testsuite/gna/bug018/repro.vhdl9
-rw-r--r--testsuite/gna/bug018/repro1.vhdl9
-rwxr-xr-xtestsuite/gna/bug018/testsuite.sh13
3 files changed, 31 insertions, 0 deletions
diff --git a/testsuite/gna/bug018/repro.vhdl b/testsuite/gna/bug018/repro.vhdl
new file mode 100644
index 000000000..c2f2fcf9c
--- /dev/null
+++ b/testsuite/gna/bug018/repro.vhdl
@@ -0,0 +1,9 @@
+ENTITY repro_ent IS
+port( S : string := "abcdef");
+END repro_ent;
+
+ARCHITECTURE repro_arch OF repro_ent IS
+ constant C : string := "abcdef";
+BEGIN
+ assert S = C;
+END repro_arch;
diff --git a/testsuite/gna/bug018/repro1.vhdl b/testsuite/gna/bug018/repro1.vhdl
new file mode 100644
index 000000000..05fa363b7
--- /dev/null
+++ b/testsuite/gna/bug018/repro1.vhdl
@@ -0,0 +1,9 @@
+ENTITY repro1_ent IS
+port( S : inout string := "abcdef");
+END repro1_ent;
+
+ARCHITECTURE repro1_arch OF repro1_ent IS
+ constant C : string := "abcdef";
+BEGIN
+ assert S = C;
+END repro1_arch;
diff --git a/testsuite/gna/bug018/testsuite.sh b/testsuite/gna/bug018/testsuite.sh
new file mode 100755
index 000000000..7b46eefde
--- /dev/null
+++ b/testsuite/gna/bug018/testsuite.sh
@@ -0,0 +1,13 @@
+#! /bin/sh
+
+. ../../testenv.sh
+
+analyze repro.vhdl
+elab_simulate repro_ent
+
+#analyze repro1.vhdl
+#elab_simulate repro1_ent
+
+clean
+
+echo "Test successful"