diff options
author | Tristan Gingold <tgingold@free.fr> | 2017-01-18 05:28:50 +0100 |
---|---|---|
committer | Tristan Gingold <tgingold@free.fr> | 2017-01-18 05:29:08 +0100 |
commit | e62ffe5b5463c91ca274b04dcc8c2c950c57fef2 (patch) | |
tree | b9dd40dc756134922531831b3dca052ea13851a3 | |
parent | c92e5035e8b469d43040e345b0158c0705a5f562 (diff) | |
download | ghdl-e62ffe5b5463c91ca274b04dcc8c2c950c57fef2.tar.gz ghdl-e62ffe5b5463c91ca274b04dcc8c2c950c57fef2.tar.bz2 ghdl-e62ffe5b5463c91ca274b04dcc8c2c950c57fef2.zip |
Add testcase for #259
-rw-r--r-- | testsuite/gna/issue259/testcase_ce.vhdl | 29 | ||||
-rwxr-xr-x | testsuite/gna/issue259/testsuite.sh | 11 |
2 files changed, 40 insertions, 0 deletions
diff --git a/testsuite/gna/issue259/testcase_ce.vhdl b/testsuite/gna/issue259/testcase_ce.vhdl new file mode 100644 index 000000000..67caf02ca --- /dev/null +++ b/testsuite/gna/issue259/testcase_ce.vhdl @@ -0,0 +1,29 @@ +library IEEE; +use IEEE.std_logic_1164.all; + + +entity Testcase_CE is + +port ( + CLK : in std_logic +); +end Testcase_CE; + +architecture RTL of Testcase_CE is + + signal y : std_logic; + signal x : std_logic; + +begin + +process (CLK) + +begin + if CLK'event and CLK='1' then + + x <= y when false else '0'; + + end if; +end process; + +end RTL; diff --git a/testsuite/gna/issue259/testsuite.sh b/testsuite/gna/issue259/testsuite.sh new file mode 100755 index 000000000..660861196 --- /dev/null +++ b/testsuite/gna/issue259/testsuite.sh @@ -0,0 +1,11 @@ +#! /bin/sh + +. ../../testenv.sh + +export GHDL_STD_FLAGS=--std=08 +analyze testcase_ce.vhdl +elab_simulate testcase_ce + +clean + +echo "Test successful" |