aboutsummaryrefslogtreecommitdiffstats
path: root/Makefile.in
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2016-07-20 06:56:18 +0200
committerTristan Gingold <tgingold@free.fr>2016-07-20 06:56:18 +0200
commitd1dee38b9433ee248da270abf661139b8c617413 (patch)
tree2e9d3fa5339376d8af0ad8fa79e7c58b78b2093e /Makefile.in
parent207e6dc071b5f336909072096c3a760e6595e5c7 (diff)
downloadghdl-d1dee38b9433ee248da270abf661139b8c617413.tar.gz
ghdl-d1dee38b9433ee248da270abf661139b8c617413.tar.bz2
ghdl-d1dee38b9433ee248da270abf661139b8c617413.zip
build and vpi: adjust for windows.
Diffstat (limited to 'Makefile.in')
-rw-r--r--Makefile.in2
1 files changed, 1 insertions, 1 deletions
diff --git a/Makefile.in b/Makefile.in
index 0aff825a1..04886c8d8 100644
--- a/Makefile.in
+++ b/Makefile.in
@@ -323,7 +323,7 @@ ifeq "$(backend)" "gcc"
STD_GHDL_FLAGS=
else
# Specify ghdl1 path, as it is spawned by ghdl.
- STD_GHDL_FLAGS=--GHDL1=$(PWD)/ghdl1-$(backend)
+ STD_GHDL_FLAGS=--GHDL1=$(PWD)/ghdl1-$(backend)$(EXEEXT)
endif
$(LIB93_DIR)/std/std_standard.o: $(GHDL)