aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
author1138-4EB <1138-4EB@users.noreply.github.com>2017-02-19 18:49:32 +0100
committertgingold <tgingold@users.noreply.github.com>2017-12-10 12:02:05 +0100
commitbb37f17aef2e69655c61510c4896dd8cb303c993 (patch)
treec9d4b97c8d7197f40e8f5909beea2b4b5fa459f2 /README.md
parent87a5d4a2ea5172b4ba485d208092ecb88dce75cb (diff)
downloadghdl-bb37f17aef2e69655c61510c4896dd8cb303c993.tar.gz
ghdl-bb37f17aef2e69655c61510c4896dd8cb303c993.tar.bz2
ghdl-bb37f17aef2e69655c61510c4896dd8cb303c993.zip
Move split ols txts to doc/oldmds. Add shields to README. Change target of license shield on RTD.
Diffstat (limited to 'README.md')
-rw-r--r--README.md14
1 files changed, 12 insertions, 2 deletions
diff --git a/README.md b/README.md
index 38d491467..6446e8e98 100644
--- a/README.md
+++ b/README.md
@@ -1,7 +1,17 @@
# GHDL
-[![Join the chat at https://gitter.im/ghdl1/Lobby](https://badges.gitter.im/ghdl1/Lobby.svg)](https://gitter.im/ghdl1/Lobby?utm_source=badge&utm_medium=badge&utm_campaign=pr-badge&utm_content=badge)
-
+[![Join the chat at https://gitter.im/ghdl1/Lobby](https://badges.gitter.im/ghdl1/Lobby.svg)](https://gitter.im/ghdl1/Lobby?utm_source=badge&utm_medium=badge&utm_campaign=pr-badge&utm_content=badge)
+
+Build status:
+ - [![Linux containers at Travis-CI](https://travis-ci.org/tgingold/ghdl.svg?branch=master)](https://travis-ci.org/tgingold/ghdl)
+ - [![Windows VMs at AppVeyor](https://ci.appveyor.com/api/projects/status/r5dtv6amsppigpsp/branch/release?svg=true)](https://ci.appveyor.com/project/Paebbels/poc/branch/release)
+Distribution:
+ - ![Latest tag](https://img.shields.io/github/tag/tgingold/ghdl.svg?style=flat)
+ - [![Latest release](https://img.shields.io/github/release/tgingold/ghdl.svg?style=flat)](https://github.com/tgingold/ghdl/releases)
+ - ![GNU General Public License 2](https://img.shields.io/github/license/tgingold/ghdl.svg?style=flat)
+
+---
+
This directory contains the sources of GHDL, the VHDL simulator.
GHDL is not an interpreter: it generates machine code from your design,