diff options
author | gritbub <38131016+gritbub@users.noreply.github.com> | 2018-05-18 17:26:51 -0500 |
---|---|---|
committer | gritbub <38131016+gritbub@users.noreply.github.com> | 2018-06-01 14:18:09 -0500 |
commit | 8122c18944b69305060b03fad5b5a46ca59c0975 (patch) | |
tree | 5bd329ebfcdc411b35372fba2873269acea2c1d7 /doc/building | |
parent | e135fe7fbe1a79256f6f4ab2c5a5cd1666166197 (diff) | |
download | ghdl-8122c18944b69305060b03fad5b5a46ca59c0975.tar.gz ghdl-8122c18944b69305060b03fad5b5a46ca59c0975.tar.bz2 ghdl-8122c18944b69305060b03fad5b5a46ca59c0975.zip |
Rephrase documentation - typos, grammatical nuance, and links
Diffstat (limited to 'doc/building')
-rw-r--r-- | doc/building/Building.rst | 6 | ||||
-rw-r--r-- | doc/building/Directories.rst | 22 | ||||
-rw-r--r-- | doc/building/PrecompileVendorPrimitives.rst | 90 | ||||
-rw-r--r-- | doc/building/gcc/GNULinux-GNAT.rst | 14 | ||||
-rw-r--r-- | doc/building/gcc/index.rst | 2 | ||||
-rw-r--r-- | doc/building/llvm/GNULinux-GNAT.rst | 2 | ||||
-rw-r--r-- | doc/building/llvm/Windows-MinGW-GNAT.rst | 4 | ||||
-rw-r--r-- | doc/building/mcode/GNULinux-GNAT.rst | 4 | ||||
-rw-r--r-- | doc/building/mcode/Windows-MinGW-GNAT.rst | 4 | ||||
-rw-r--r-- | doc/building/mcode/index.rst | 4 |
10 files changed, 72 insertions, 80 deletions
diff --git a/doc/building/Building.rst b/doc/building/Building.rst index 0add10fe3..5cc5bd29d 100644 --- a/doc/building/Building.rst +++ b/doc/building/Building.rst @@ -51,14 +51,14 @@ Here is a short comparison, so that you can choose the one you want to use: be replaced with direct execution of the binary. See section :ref:`USING:QuickStart`. After making your choice, you can jump to the corresponding section. -However, we suggest you to read :ref:`BUILD:dir_structure` before, so that you -know where the content is placed and which temporal files are expected to be +However, we suggest you to read :ref:`BUILD:dir_structure` first, so that you +know where the content will be placed and which files are expected to be created. .. HINT:: Since GHDL is written in `Ada`, independently of the code generator you use, the `GNU Ada compiler`, `GNAT GPL`, is required, 2014 (or later) for ``x86`` - (32 or 64 bits). `GNAT GPL` can be downloaded anonymously from `libre.adacore.com <http://libre.adacore.com/tools/gnat-gpl-edition/>`_. + (32 or 64 bit). `GNAT GPL` can be downloaded anonymously from `libre.adacore.com <http://libre.adacore.com/tools/gnat-gpl-edition/>`_. Then, untar and run the doinstall script. Alternatively, most GNU/Linux provide a package named ``gcc-ada`` or ``gcc-gnat``. diff --git a/doc/building/Directories.rst b/doc/building/Directories.rst index 94fcf49d5..6b3c105f0 100644 --- a/doc/building/Directories.rst +++ b/doc/building/Directories.rst @@ -6,21 +6,21 @@ Directory Structure * ``src``: sources of GHDL, all of them in Ada. * ``libraries``: mostly third party libraries such as, `ieee`, `mentor`, - `std`, `synopsys` and `vital`. Except a few shell and `Python` scripts, all + `std`, `synopsys` and `vital`. Except for a few shell and `Python` scripts, all the content is written in VHDL. * Vendors like Altera, Lattice and Xilinx have their own simulation libraries, - especially for FPGA primitives, soft and hard macros. These libraries can - not be shipped with GHDL, but we offer prepared compile scripts to + especially for FPGA primitives, soft and hard macros. These libraries cannot + be shipped with GHDL, but we offer prepared compile scripts to pre-compile the vendor libraries, if the vendor tool is present on the computer. These are located in ``libraries/vendor``. See :ref:`GETTING:PrecompVendor` for information on how to use them. -* ``dist``: scripts and auxiliar files to build GHDL in different +* ``dist``: scripts and auxiliary files to build GHDL in different environments: - * ``gcc``: header and configuration files to build GHDL with GCC (all the + * ``gcc``: header and configuration files to build GHDL with GCC (all platforms). * ``linux``: build and test script written in shell, and other auxiliary files used to i) launch docker containers and ii) automate multiple builds @@ -32,19 +32,19 @@ Directory Structure * ``appveyor``: * ``doc``: `Markdown` and `reStructuredText` sources and auxiliary files to - build the documentation with `Sphinx <http://www.sphinx-doc.org>`_. Indeed, - `Read the docs <http://readthedocs.org>`_ (RTD) is used to automatically build + build the documentation with `Sphinx <http://www.sphinx-doc.org>`_. In fact, + `Read the Docs <http://readthedocs.org>`_ (RTD) is used to automatically build and deploy this site and/or PDF you are reading. -* ``testsuite``: see section :ref:`test_suites`. +* ``testsuite``: files used for testing. * `.yml` configuration files for CI environments (``readthedocs``, - ``travis`` and ``appveyor``) and `ignore` files for source control + ``travis``, and ``appveyor``) and `ignore` files for source control management tools (``git`` and ``.hg``). * Files for building GHDL: ``configure`` and ``Makefile.in``. -* Auxiliar files for development: ``.gdbinit`` and ``ghdl.gpr.in`` +* Auxiliary files for development: ``.gdbinit`` and ``ghdl.gpr.in`` (GNAT project file). -* Text files: ``COPYING.md``, ``NEWS.md`` and ``README.md``. +* Text files: ``COPYING.md``, ``NEWS.md``, and ``README.md``. diff --git a/doc/building/PrecompileVendorPrimitives.rst b/doc/building/PrecompileVendorPrimitives.rst index 1a3171a2d..d1f03d47b 100644 --- a/doc/building/PrecompileVendorPrimitives.rst +++ b/doc/building/PrecompileVendorPrimitives.rst @@ -4,7 +4,7 @@ Precompile Vendor Primitives ############################ Vendors like Altera, Lattice and Xilinx have their own simulation libraries, -especially for FPGA primitives, soft and hard macros. These libraries can not +especially for FPGA primitives, soft and hard macros. These libraries cannot be shipped with *GHDL*, but we offer prepared compile scripts to pre-compile the vendor libraries, if the vendor tool is present on the computer. There are also popular simulation and verification libraries like OSVVM [#f1]_ or @@ -29,7 +29,7 @@ Supported Vendors Libraries * `stratixiv`, `stratixiv_pcie_hip` * `stratixv`, `stratixv_pcie_hip` * `fiftyfivenm`, `twentynm` - + * Lattice (3.6 or later): * `ec` @@ -56,8 +56,8 @@ Supported Simulation and Verification Libraries * OSVVM (for VHDL-2008) - * osvvm - + * osvvm + * UVVM (for VHDL-2008) * uvvm-utilities @@ -77,9 +77,9 @@ Script Configuration ==================== The vendor library compile scripts need to know where the used / latest vendor -tool chain is installed. Therefore, the script implement a default installation -directory search as well as environment variable checks. If a vendor tool could -not be detected or the script choses the wrong vendor library source directory, +tool chain is installed. Therefore, the scripts implement a default installation +directory search as well as environment variable checks. If a vendor tool cannot +be detected or the script chooses the wrong vendor library source directory, then it's possible to provide the path via `--source` or `-Source`. The generated output is stored relative to the current working directory. The @@ -91,7 +91,7 @@ To compile all source files with GHDL, the simulator executable is searched in environment variable `GHDL` or by passing the parameter `--ghdl` or `-GHDL` to the scripts. -If the vendor library compilation is used very often, we recommend to configure +If the vendor library compilation is used very often, we recommend configuring these parameters in `config.sh` or `config.psm1`, so the command line can be shortened to the essential parts. @@ -101,7 +101,7 @@ Compiling on Linux ================== * **Step 0 - Configure the scripts (optional)** - See next section for how to configure `config.sh`. + See the next section for how to configure `config.sh`. * **Step 1 - Browse to your simulation working directory** @@ -149,8 +149,7 @@ Compiling on Windows ==================== * **Step 0 - Configure the scripts (optional)** - - See next section for how to configure `config.psm1`. + See the next section for how to configure `config.psm1`. * **Step 1 - Browse to your simulation working directory** @@ -170,8 +169,8 @@ Compiling on Windows PS> <GHDL>\libraries\vendors\compile-uvvm.ps1 -All * **Step 3 - Viewing the result** - This creates vendor directories in your current working directory and - compiles the vendor files into them. + This creates vendor directories in your current working directory and + compiles the vendor files into them. .. code-block:: PowerShell @@ -197,7 +196,7 @@ For Linux: `config.sh` ---------------------- Please open the `config.sh` file and set the dictionary entries for the -installed vendor tools to the appropriate directory to your tool's installation +installed vendor tools to your tool's installation directories. Use an empty string `""` for not installed tools. `config.sh`: @@ -217,7 +216,7 @@ For Windows: `config.psm1` -------------------------- Please open the `config.psm1` file and set the dictionary entries for the -installed vendor tools to the appropriate directory to your tool's installation +installed vendor tools to your tool's installation folder. Use an empty string `""` for not installed tools. `config.psm1`: @@ -237,15 +236,13 @@ folder. Use an empty string `""` for not installed tools. Selectable Options for the Bash Scripts: ---------------------------------------- -*First I should translate the scripts before writing the docu...* - * Common parameters to most scripts: - .. code-block:: raw - + .. code-block:: none + -h --help Print the embedded help page(s). -c --clean Cleanup directory before analyzing. - -n --no-warnings Don't show warnings. Report errors only. + -n --no-warnings Don't show warnings. Report errors only. -s --skip-existing Skip already compiled files (an *.o file exists). -S --skip-largefiles Don't compile large entities like DSP and PCIe primitives. -H --halt-on-error Stop compiling if an error occurred. @@ -254,7 +251,7 @@ Selectable Options for the Bash Scripts: Selectable libraries: - .. code-block:: raw + .. code-block:: none -a --all Compile all libraries, including common libraries, packages and device libraries. --altera Compile base libraries like 'altera' and 'altera_mf' @@ -263,19 +260,18 @@ Selectable Options for the Bash Scripts: --cyclone Compile device libraries for Cyclone FPGAs --stratix Compile device libraries for Stratix FPGAs - Compile options: + Compile options: - .. code-block:: raw + .. code-block:: none - - --vhdl93 Compile selected libraries with VHDL-93 (default). - --vhdl2008 Compile selected libraries with VHDL-2008. + --vhdl93 Compile selected libraries with VHDL-93 (default). + --vhdl2008 Compile selected libraries with VHDL-2008. * `compile-xilinx-ise.sh` Selectable libraries: - .. code-block:: raw + .. code-block:: none -a --all Compile all libraries, including common libraries, packages and device libraries. --unisim Compile the unisim primitives @@ -286,16 +282,16 @@ Selectable Options for the Bash Scripts: Compile options: - .. code-block:: raw + .. code-block:: none - --vhdl93 Compile selected libraries with VHDL-93 (default). - --vhdl2008 Compile selected libraries with VHDL-2008. + --vhdl93 Compile selected libraries with VHDL-93 (default). + --vhdl2008 Compile selected libraries with VHDL-2008. * `compile-xilinx-vivado.sh` Selectable libraries: - .. code-block:: raw + .. code-block:: none -a --all Compile all libraries, including common libraries, packages and device libraries. --unisim Compile the unisim primitives @@ -304,16 +300,16 @@ Selectable Options for the Bash Scripts: Compile options: - .. code-block:: raw + .. code-block:: none - --vhdl93 Compile selected libraries with VHDL-93 (default). - --vhdl2008 Compile selected libraries with VHDL-2008. + --vhdl93 Compile selected libraries with VHDL-93 (default). + --vhdl2008 Compile selected libraries with VHDL-2008. * `compile-osvvm.sh` Selectable libraries: - .. code-block:: raw + .. code-block:: none -a --all Compile all. --osvvm Compile the OSVVM library. @@ -322,7 +318,7 @@ Selectable Options for the Bash Scripts: Selectable libraries: - .. code-block:: raw + .. code-block:: none -a --all Compile all. --uvvm Compile the UVVM libraries. @@ -333,7 +329,7 @@ Selectable Options for the PowerShell Scripts: * Common parameters to all scripts: - .. code-block:: raw + .. code-block:: none -Help Print the embedded help page(s). -Clean Cleanup directory before analyzing. @@ -343,7 +339,7 @@ Selectable Options for the PowerShell Scripts: Selectable libraries: - .. code-block:: raw + .. code-block:: none -All Compile all libraries, including common libraries, packages and device libraries. -Altera Compile base libraries like 'altera' and 'altera_mf' @@ -354,7 +350,7 @@ Selectable Options for the PowerShell Scripts: Compile options: - .. code-block:: raw + .. code-block:: none -VHDL93 Compile selected libraries with VHDL-93 (default). -VHDL2008 Compile selected libraries with VHDL-2008. @@ -363,7 +359,7 @@ Selectable Options for the PowerShell Scripts: Selectable libraries: - .. code-block:: raw + .. code-block:: none -All Compile all libraries, including common libraries, packages and device libraries. -Unisim Compile the unisim primitives @@ -374,7 +370,7 @@ Selectable Options for the PowerShell Scripts: Compile options: - .. code-block:: raw + .. code-block:: none -VHDL93 Compile selected libraries with VHDL-93 (default). -VHDL2008 Compile selected libraries with VHDL-2008. @@ -383,7 +379,7 @@ Selectable Options for the PowerShell Scripts: Selectable libraries: - .. code-block:: raw + .. code-block:: none -All Compile all libraries, including common libraries, packages and device libraries. -Unisim Compile the unisim primitives @@ -392,7 +388,7 @@ Selectable Options for the PowerShell Scripts: Compile options: - .. code-block:: raw + .. code-block:: none -VHDL93 Compile selected libraries with VHDL-93 (default). -VHDL2008 Compile selected libraries with VHDL-2008. @@ -401,19 +397,19 @@ Selectable Options for the PowerShell Scripts: Selectable libraries: - .. code-block:: raw + .. code-block:: none -All Compile all. - -OSVVM Compile the OSVVM library. + -OSVVM Compile the OSVVM library. * `compile-uvvm.ps1` Selectable libraries: - .. code-block:: raw + .. code-block:: none -All Compile all. - -UVVM Compile the UVVM libraries. + -UVVM Compile the UVVM libraries. -------------------------------------------------------------------------------- diff --git a/doc/building/gcc/GNULinux-GNAT.rst b/doc/building/gcc/GNULinux-GNAT.rst index 632c2a5e8..f58e3b2ef 100644 --- a/doc/building/gcc/GNULinux-GNAT.rst +++ b/doc/building/gcc/GNULinux-GNAT.rst @@ -3,17 +3,13 @@ GCC Backend on GNU/Linux with GCC/GNAT ###################################### -.. HINT:: There are some dependencies for building GCC (``gmp``, ``mpfr`` and ``mpc``). If you have not them installed on your system, you can either build them manually or use the ``download_prerequisites`` script provided in the GCC source tree (recommended): ``cd /path/to/gcc/source/dir && ./contrib/download_prerequisites``. +.. HINT:: There are some dependencies for building GCC (``gmp``, ``mpfr`` and ``mpc``). If you have not installed them on your system, you can either build them manually or use the ``download_prerequisites`` script provided in the GCC source tree (recommended): ``cd /path/to/gcc/source/dir && ./contrib/download_prerequisites``. -* First configure GHDL, specify GCC source directory and installation prefix - (like ``/usr/local`` or ``/opt/ghdl``). +* First configure GHDL, specify GCC source directory and installation prefix (like ``/usr/local`` or ``/opt/ghdl``). -* Next, invoke ``make copy-sources`` to copy GHDL sources in the source - directory. +* Next, invoke ``make copy-sources`` to copy GHDL sources in the source directory. -* Then, configure GCC. The list of ``--disable`` configure options can be - adjusted to your needs. GHDL does not require all these optional libraries - and disabling them will speed-up the build. +* Then, configure GCC. The list of ``--disable`` configure options can be adjusted to your needs. GHDL does not require all these optional libraries and disabling them will speed up the build. * Now, build and install GCC with ``make``. @@ -37,7 +33,7 @@ GCC Backend on GNU/Linux with GCC/GNAT $ make ghdllib $ make install -.. HINT:: Note that the prefix directory to configure ``gcc`` must be the same as the one used to configure GHDL. If you have manually built ``gmp``/``mpfr``/``mpc`` (without using the script in ``contrib``) and if you have installed them in a non-standard directory, you may need to add ``--with-gmp=GMP_INSTALL_DIR``. +.. HINT:: Note that the prefix directory to configure ``gcc`` must be the same as the one used to configure GHDL. If you have manually built ``gmp``/``mpfr``/``mpc`` (without using the script in ``contrib``), and, if you have installed them in a non-standard directory, you may need to add ``--with-gmp=GMP_INSTALL_DIR``. .. HINT:: If your system gcc was configured with ``--enable-default-pie`` (check if that option appears in the output of ``gcc -v``), you should also add it. diff --git a/doc/building/gcc/index.rst b/doc/building/gcc/index.rst index 5d76e1684..f3d1a3123 100644 --- a/doc/building/gcc/index.rst +++ b/doc/building/gcc/index.rst @@ -33,7 +33,7 @@ GCC Backend AGCC_GCCSRC_DIR=/path/to/gcc/sources AGCC_GCCOBJ_DIR=/path/to/gcc/build -.. HINT :: For ppc64 (and AIX ?) platform, the object file format contains an identifier for the source language. Because gcc doesn't know about the VHDL, gcc crashes very early. This could be fixed with a very simple change in ``gcc/config/rs6000/rs6000.c``, ``function rs6000_output_function_epilogue`` (as of gcc 4.8): +.. HINT :: For ppc64 (and AIX ?) platform, the object file format contains an identifier for the source language. Because gcc doesn't know about VHDL, gcc crashes very early. This could be fixed with a very simple change in ``gcc/config/rs6000/rs6000.c``, ``function rs6000_output_function_epilogue`` (as of gcc 4.8): .. CODE:: C diff --git a/doc/building/llvm/GNULinux-GNAT.rst b/doc/building/llvm/GNULinux-GNAT.rst index 5f97c99b7..e8a66d425 100644 --- a/doc/building/llvm/GNULinux-GNAT.rst +++ b/doc/building/llvm/GNULinux-GNAT.rst @@ -3,7 +3,7 @@ LLVM Backend on GNU/Linux with GCC/GNAT ####################################### -.. HINT:: You need to install LLVM (usually depends on ``libedit``, see :ghdlsharp:`29`). The supported versions are 3.5 till 5.0, but debugging is only supported with LLVM 3.5. +.. HINT:: You need to install LLVM (usually depends on ``libedit``, see :ghdlsharp:`29`). The supported versions are 3.5 til 5.0, but debugging is only supported with LLVM 3.5. * First configure GHDL with the proper arg ``./configure --with-llvm-config``. If ``llvm-config`` is not in your path, you can specify it: ``./configure --with-llvm-config=LLVM_INSTALL/bin/llvm-config``. diff --git a/doc/building/llvm/Windows-MinGW-GNAT.rst b/doc/building/llvm/Windows-MinGW-GNAT.rst index 0fce8f068..59d70023f 100644 --- a/doc/building/llvm/Windows-MinGW-GNAT.rst +++ b/doc/building/llvm/Windows-MinGW-GNAT.rst @@ -3,11 +3,11 @@ LLVM Backend on Windows with GCC/GNAT (MinGW) ############################################# -On Windows with MinGW, GHDL is configured by ``configure`` and build by ``make``. +On Windows with MinGW, GHDL is configured by ``configure`` and built by ``make``. * First, GHDL needs to be configured. It is common to specify a ``PREFIX`` (installation directory like ``/usr/local`` or ``/opt/ghdl``). Without any - other option, ``configure`` select `mcode` as backend. + other option, ``configure`` selects `mcode` as the backend. * Next, ``make`` starts the compilation process. diff --git a/doc/building/mcode/GNULinux-GNAT.rst b/doc/building/mcode/GNULinux-GNAT.rst index 2c75efa96..2d7598599 100644 --- a/doc/building/mcode/GNULinux-GNAT.rst +++ b/doc/building/mcode/GNULinux-GNAT.rst @@ -3,11 +3,11 @@ mcode Backend on GNU/Linux with GCC/GNAT ######################################## -On Linux, GHDL is configured by ``configure`` and build by ``make``. +On Linux, GHDL is configured by ``configure`` and built by ``make``. * First, GHDL needs to be configured. It is common to specify a ``PREFIX`` (installation directory like ``/usr/local`` or ``/opt/ghdl``). Without any - other option, ``configure`` select `mcode` as backend. + other option, ``configure`` selects `mcode` as the backend. * Next, ``make`` starts the compilation process. diff --git a/doc/building/mcode/Windows-MinGW-GNAT.rst b/doc/building/mcode/Windows-MinGW-GNAT.rst index 960ced421..f1482ccb8 100644 --- a/doc/building/mcode/Windows-MinGW-GNAT.rst +++ b/doc/building/mcode/Windows-MinGW-GNAT.rst @@ -3,11 +3,11 @@ mcode Backend on Windows with GCC/GNAT (MinGW) ############################################## -On Windows with MinGW, GHDL is configured by ``configure`` and build by ``make``. +On Windows with MinGW, GHDL is configured by ``configure`` and built by ``make``. * First, GHDL needs to be configured. It is common to specify a ``PREFIX`` (installation directory like ``/usr/local`` or ``/opt/ghdl``). Without any - other option, ``configure`` select `mcode` as backend. + other option, ``configure`` selects `mcode` as the backend. * Next, ``make`` starts the compilation process. diff --git a/doc/building/mcode/index.rst b/doc/building/mcode/index.rst index 0b081392a..92eeaabd4 100644 --- a/doc/building/mcode/index.rst +++ b/doc/building/mcode/index.rst @@ -3,8 +3,8 @@ mcode Backend ############# -The mcode backend is available for all supported platforms and is also the most -simplest procedure, because it requires the least dependencies and configuration +The mcode backend is available for all supported platforms and is also the +simplest procedure, because it requires the fewest dependencies and configuration options. |