aboutsummaryrefslogtreecommitdiffstats
path: root/doc/ghdl.texi
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-03-08 07:40:01 +0100
committerTristan Gingold <tgingold@free.fr>2023-03-08 07:40:01 +0100
commit7de967c51f352fe2d724dbec549b71a392e5ebae (patch)
tree82b7b5f69eb24db292892475bca470d2fe87e596 /doc/ghdl.texi
parentd944522707ef275eca0c4ef5fdc32eff819ceedf (diff)
downloadghdl-7de967c51f352fe2d724dbec549b71a392e5ebae.tar.gz
ghdl-7de967c51f352fe2d724dbec549b71a392e5ebae.tar.bz2
ghdl-7de967c51f352fe2d724dbec549b71a392e5ebae.zip
Release: bump to 3.0.0
Diffstat (limited to 'doc/ghdl.texi')
-rw-r--r--doc/ghdl.texi1381
1 files changed, 1376 insertions, 5 deletions
diff --git a/doc/ghdl.texi b/doc/ghdl.texi
index 3400c98be..8da8c169a 100644
--- a/doc/ghdl.texi
+++ b/doc/ghdl.texi
@@ -19,7 +19,7 @@
@copying
@quotation
-GHDL 3.0.0-rc.4, Mar 06, 2023
+GHDL 3.0.0, Mar 08, 2023
Tristan Gingold and contributors
@@ -66,8 +66,6 @@ Copyright @copyright{} 2002-2023, Tristan Gingold and contributors
@c # define a hard line break for HTML
-
-
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -115,6 +113,52 @@ Copyright @copyright{} 2002-2023, Tristan Gingold and contributors
* GNATdoc::
* Index: Index<2>.
* Python Module Index: Python Module Index<2>.
+* [Next] 4.0: [Next] 4 0.
+* [2023-03-08] 3.0.0: [2023-03-08] 3 0 0.
+* [2022-02-28] 2.0: [2022-02-28] 2 0.
+* [2021-02-02] 1.0: [2021-02-02] 1 0.
+* [2021-01-31] 1.0.0rc1: [2021-01-31] 1 0 0rc1.
+* [2020-05-21] Nightly build assets::
+* [2020-05-09] New repositories and a wiki::
+* [2020-02-28] 0.37: [2020-02-28] 0 37.
+* [2019-03-03] 0.36: [2019-03-03] 0 36.
+* [2019-02-23] 0.36-rc1: [2019-02-23] 0 36-rc1.
+* [2018-11-29] 20181129::
+* [2017-12-20] GitHub organization::
+* [2017-12-14] 0.35: [2017-12-14] 0 35.
+* [2017-08-15] 0.34: [2017-08-15] 0 34.
+* [2015-10-23] 0.33: [2015-10-23] 0 33.
+* [2014-11-XX] 0.32: [2014-11-XX] 0 32.
+* [2014-01-XX] 0.31: [2014-01-XX] 0 31.
+* 0.30: 0 30.
+* [2010-01-09] 0.29: [2010-01-09] 0 29.
+* [2009-09-17] 0.28: [2009-09-17] 0 28.
+* [2008-07-01] 0.27: [2008-07-01] 0 27.
+* [2007-04-08] 0.26: [2007-04-08] 0 26.
+* [2006-08-11] 0.25: [2006-08-11] 0 25.
+* [2006-06-25] 0.24: [2006-06-25] 0 24.
+* [2006-05-16] 0.23: [2006-05-16] 0 23.
+* [2006-03-28] 0.22: [2006-03-28] 0 22.
+* [2005-12-18] 0.21: [2005-12-18] 0 21.
+* 0.20 [2005-10-15]: 0 20 [2005-10-15].
+* [2005-08-17] 0.19: [2005-08-17] 0 19.
+* [2005-03-12] 0.18: [2005-03-12] 0 18.
+* [2005-02-26] 0.17: [2005-02-26] 0 17.
+* [2005-01-02] 0.16: [2005-01-02] 0 16.
+* [2004-10-13] 0.15: [2004-10-13] 0 15.
+* [2004-08-29] 0.14: [2004-08-29] 0 14.
+* [2004-06-26] 0.13: [2004-06-26] 0 13.
+* [2004-05-30] 0.12: [2004-05-30] 0 12.
+* [2004-04-24] 0.11.1: [2004-04-24] 0 11 1.
+* [2004-04-17] 0.11: [2004-04-17] 0 11.
+* [2004-02-28] 0.10: [2004-02-28] 0 10.
+* [2004-02-01] 0.9: [2004-02-01] 0 9.
+* [2003-11-05] 0.8: [2003-11-05] 0 8.
+* [2003-08-02] 0.7: [2003-08-02] 0 7.
+* [2003-06-09] 0.6: [2003-06-09] 0 6.
+* [2003-05-10] 0.5: [2003-05-10] 0 5.
+* [2003-04-07] 0.4.1: [2003-04-07] 0 4 1.
+* [2003-04-02] 0.4: [2003-04-02] 0 4.
* Python Module Index::
* Index::
@@ -58517,12 +58561,1339 @@ end arch;
@c This file is a placeholder and will be replaced
-@node Python Module Index<2>,Python Module Index,Index<2>,Top
+@node Python Module Index<2>,[Next] 4 0,Index<2>,Top
@anchor{py-modindex doc}@anchor{e54}@anchor{py-modindex python-module-index}@anchor{e55}
@chapter Python Module Index
-@node Python Module Index,Index,Python Module Index<2>,Top
+@node [Next] 4 0,[2023-03-08] 3 0 0,Python Module Index<2>,Top
+@anchor{index next-4-0}@anchor{e56}
+@chapter [Next] 4.0
+
+
+@node [2023-03-08] 3 0 0,[2022-02-28] 2 0,[Next] 4 0,Top
+@anchor{index id1}@anchor{e57}
+@chapter [2023-03-08] 3.0.0
+
+
+Milestone v3.0 ➚@footnote{https://github.com/ghdl/ghdl/milestone/11?closed=1}
+
+
+@itemize -
+
+@item
+Handle IEEE operators for static expressions.
+
+@item
+Improved support of VHDL 2008 (still!).
+
+@item
+Start support of VHDL 2019 (@code{--std=19}).
+
+@item
+pyGHDL:
+
+
+@itemize -
+
+@item
+Experimental support to preserve VHDL code comments for documentation
+extraction.
+
+@item
+Experimental packaging with a platform-specific shared library for
+standalone installation using PIP.
+
+@item
+DOM: dependency graphs, instantiation graphs (design hierarchy),
+file dependencies (compile order).
+@end itemize
+
+@item
+Pre-releases are published to GitHub Releases and assets are uploaded to
+pre-releases and releases.
+@end itemize
+
+@node [2022-02-28] 2 0,[2021-02-02] 1 0,[2023-03-08] 3 0 0,Top
+@anchor{index id2}@anchor{e58}
+@chapter [2022-02-28] 2.0
+
+
+Milestone v2.0 ➚@footnote{https://github.com/ghdl/ghdl/milestone/10?closed=1}
+
+
+@itemize -
+
+@item
+Improvements to VHDL 2008 supports and synthesis.
+@end itemize
+
+@node [2021-02-02] 1 0,[2021-01-31] 1 0 0rc1,[2022-02-28] 2 0,Top
+@anchor{index id3}@anchor{e59}
+@chapter [2021-02-02] 1.0
+
+
+Milestone v1.0 ➚@footnote{https://github.com/ghdl/ghdl/milestone/9?closed=1}
+
+
+@itemize -
+
+@item
+Repository ghdl/ghdl-cosim@footnote{https://github.com/ghdl/ghdl-cosim} created.
+It contains documentation and code examples related to VHPIDIRECT, VPI and SystemC.
+
+@item
+GitHub Action ghdl/setup-ghdl-ci@footnote{https://github.com/ghdl/setup-ghdl-ci}
+created, to allow easy installation of nightly GHDL assets in GitHub Actions
+workflows.
+
+@item
+Main documentation site(s) moved to ghdl.github.io/ghdl@footnote{https://ghdl.github.io/ghdl/}
+and ghdl.github.io/ghdl-cosim@footnote{https://ghdl.github.io/ghdl-cosim/}.
+@end itemize
+
+@node [2021-01-31] 1 0 0rc1,[2020-05-21] Nightly build assets,[2021-02-02] 1 0,Top
+@anchor{index rc1}@anchor{e5a}
+@chapter [2021-01-31] 1.0.0rc1
+
+
+
+@itemize -
+
+@item
+Python bindings overhauled and renamed to @code{pyGHDL}. Three modules are included:
+@code{libghdl}, @code{lsp} and @code{dom}.
+
+@item
+Utility scripts in the codebase moved into subdir @code{scripts}: CI, binding
+generation, vendors, etc.
+
+@item
+Repository ghdl/extended-tests@footnote{https://github.com/ghdl/extended-tests}
+created for testing @code{vendors} build scripts.
+
+@item
+Logo updated (org, ghdl/ghdl, ghdl/docker and ghdl/ghdl-cosim).
+
+@item
+Assets not added to releases or pre-releases anymore.
+Users should use package managers or nightly assets (updated after each
+successful CI run of branch @code{master}):
+nightly@footnote{https://github.com/ghdl/ghdl/releases/tag/nightly}.
+@end itemize
+
+@node [2020-05-21] Nightly build assets,[2020-05-09] New repositories and a wiki,[2021-01-31] 1 0 0rc1,Top
+@anchor{index nightly-build-assets}@anchor{e5b}
+@chapter [2020-05-21] Nightly build assets
+
+
+
+@itemize -
+
+@item
+After each successful CI run of branch @code{master}, packages are published as assets of pre-release nightly@footnote{https://github.com/ghdl/ghdl/releases/tag/nightly}.
+
+@item
+GitHub Action ghdl/setup-ghdl-ci@footnote{https://github.com/ghdl/setup-ghdl-ci} was created, to allow easy installation of nightly GHDL assets in GitHub Actions workflows.
+@end itemize
+
+@node [2020-05-09] New repositories and a wiki,[2020-02-28] 0 37,[2020-05-21] Nightly build assets,Top
+@anchor{index new-repositories-and-a-wiki}@anchor{e5c}
+@chapter [2020-05-09] New repositories and a wiki
+
+
+
+@itemize -
+
+@item
+The plugin for Yosys was moved from tgingold/ghdlsynth-beta@footnote{https://github.com/tgingold/ghdlsynth-beta}
+to ghdl/ghdl-yosys-plugin@footnote{https://github.com/ghdl/ghdl-yosys-plugin}.
+
+@item
+Repository ghdl/ghdl-cosim@footnote{https://github.com/ghdl/ghdl-cosim} was created.
+It contains documentation and code examples related to VHPIDIRECT, VPI and SystemC.
+See Co-simulation with GHDL@footnote{https://ghdl.github.io/ghdl-cosim/index.html#cosim} and Previous work and future ideas@footnote{https://github.com/ghdl/ghdl-cosim/issues/1}.
+
+@item
+A Wiki@footnote{https://github.com/ghdl/ghdl/wiki} was created.
+The roadmap and ideas for documentation and internship programs were moved there.
+If you want to contribute anyhow, have a look@footnote{https://github.com/ghdl/ghdl/wiki}!
+@end itemize
+
+@node [2020-02-28] 0 37,[2019-03-03] 0 36,[2020-05-09] New repositories and a wiki,Top
+@anchor{index id4}@anchor{e5d}
+@chapter [2020-02-28] 0.37
+
+
+Milestone v0.37 ➚@footnote{https://github.com/ghdl/ghdl/milestone/8?closed=1}
+
+
+@itemize -
+
+@item
+Python binding added
+
+@item
+Experimental support of synthesis (either with –synth or with the Yosys plugin)
+
+@item
+Fixes and improved support of VHDL 2008
+
+
+@itemize -
+
+@item
+PSL keywords are directly handled in VHDL 2008
+@end itemize
+
+@item
+Add support for assume.
+
+@item
+Last version that supports the Mentor variation of @code{std_logic_arith}.
+The Synopsys one is still available.
+@end itemize
+
+@node [2019-03-03] 0 36,[2019-02-23] 0 36-rc1,[2020-02-28] 0 37,Top
+@anchor{index id5}@anchor{e5e}
+@chapter [2019-03-03] 0.36
+
+
+Milestone v0.36 ➚@footnote{https://github.com/ghdl/ghdl/milestone/7?closed=1}
+
+
+@itemize -
+
+@item
+Add support for unbounded arrays.
+@end itemize
+
+@node [2019-02-23] 0 36-rc1,[2018-11-29] 20181129,[2019-03-03] 0 36,Top
+@anchor{index id6}@anchor{e5f}
+@chapter [2019-02-23] 0.36-rc1
+
+
+
+@itemize -
+
+@item
+More support of unbounded arrays and records.
+
+@item
+Support of UVVM and VUnit.
+@end itemize
+
+@node [2018-11-29] 20181129,[2017-12-20] GitHub organization,[2019-02-23] 0 36-rc1,Top
+@anchor{index id7}@anchor{e60}
+@chapter [2018-11-29] 20181129
+
+
+@node [2017-12-20] GitHub organization,[2017-12-14] 0 35,[2018-11-29] 20181129,Top
+@anchor{index github-organization}@anchor{e61}
+@chapter [2017-12-20] GitHub organization
+
+
+A new GitHub organization is created and the main repo is moved from
+github.com/tgingold/ghdl@footnote{https://github.com/tgingold/ghdl} to github.com/ghdl/ghdl@footnote{https://github.com/ghdl/ghdl}.
+Old refs will continue working, because permanent redirects are set up.
+However, we suggest every contributor to update the remote URLs in their local clones.
+
+@node [2017-12-14] 0 35,[2017-08-15] 0 34,[2017-12-20] GitHub organization,Top
+@anchor{index id8}@anchor{e62}
+@chapter [2017-12-14] 0.35
+
+
+Milestone v0.35 ➚@footnote{https://github.com/ghdl/ghdl/milestone/3?closed=1}
+
+
+@itemize -
+
+@item
+Assert and report messages are sent to stdout (#394)
+
+@item
+Improve support for unbounded records
+
+@item
+Bugs fixed
+@end itemize
+
+@node [2017-08-15] 0 34,[2015-10-23] 0 33,[2017-12-14] 0 35,Top
+@anchor{index id9}@anchor{e63}
+@chapter [2017-08-15] 0.34
+
+
+Milestone v0.34 ➚@footnote{https://github.com/ghdl/ghdl/milestone/1?closed=1}
+
+
+@itemize -
+
+@item
+Display stack backtraces on errors or assert failures
+
+@item
+Compile scripts for vendor libraries (Xilinx, Altera, osvvm, vunit)
+
+@item
+Use SSE2 for mcode backend
+
+@item
+mcode backend ported to @code{x86_64}
+
+@item
+Support cocotb potentialventures/cocotb@footnote{https://github.com/potentialventures/cocotb}
+
+@item
+Main repository is now on github: github.com/tgingold/ghdl@footnote{https://github.com/tgingold/ghdl}
+
+@item
+Docs available on rtd: ghdl.readthedocks.org@footnote{https://ghdl.readthedocs.org/en/latest/}
+
+@item
+Speed improved.
+
+@item
+New option @code{--psl-report}, to report status of PSL assert and cover.
+
+@item
+VHDL2008: support nested package
+@end itemize
+
+@node [2015-10-23] 0 33,[2014-11-XX] 0 32,[2017-08-15] 0 34,Top
+@anchor{index id10}@anchor{e64}
+@chapter [2015-10-23] 0.33
+
+
+
+@itemize -
+
+@item
+Improve support of VHDL2008
+
+@item
+Support OSVVM@footnote{http://osvvm.org} 2015
+
+@item
+Support VUnit: LarsAsplund/vunit@footnote{https://github.com/LarsAsplund/vunit}
+
+@item
+Many bugs fixed
+@end itemize
+
+@node [2014-11-XX] 0 32,[2014-01-XX] 0 31,[2015-10-23] 0 33,Top
+@anchor{index xx-0-32}@anchor{e65}
+@chapter [2014-11-XX] 0.32
+
+
+
+@itemize -
+
+@item
+Updated to build with @code{gcc-4.9.2}.
+
+@item
+support:
+- partial of VHDL2008 (available with @code{--std=08}):
+- new @code{std.env} package.
+- added features in @code{std.textio} package
+- all standard IEEE packages.
+- new operations (relation operators, maximum and minimum, unary reduction operators)
+- @code{boolean_vector}, @code{integer_vector}, @code{real_vector}.
+- process(all).
+- generic packages and interface package declarations.
+- block comments (aka delimited comments)
+- implicitely defined to_string functions.
+- OSVVM 2014_01
+
+@item
+Bugs fixed
+@end itemize
+
+@node [2014-01-XX] 0 31,0 30,[2014-11-XX] 0 32,Top
+@anchor{index xx-0-31}@anchor{e66}
+@chapter [2014-01-XX] 0.31
+
+
+
+@itemize -
+
+@item
+Updated to build with @code{gcc-4.8.2}.
+
+@item
+Supports OSVVM (Open-Source VHDL Verification Methodology) (see osvvm.org@footnote{http://osvvm.org}) in its VHDL-2002 form.
+
+@item
+Adds @code{'image} and @code{'value} attributes for all required datatypes
+
+@item
+Many bugs and support issues fixed.
+
+@item
+New home on @code{https://sourceforge.net/projects/ghdl-updates/}
+@end itemize
+
+@node 0 30,[2010-01-09] 0 29,[2014-01-XX] 0 31,Top
+@anchor{index id11}@anchor{e67}
+@chapter 0.30
+
+
+Never released, swith to Dunoon Edition.
+
+@node [2010-01-09] 0 29,[2009-09-17] 0 28,0 30,Top
+@anchor{index id12}@anchor{e68}
+@chapter [2010-01-09] 0.29
+
+
+
+@itemize -
+
+@item
+Initial implementation of embedded PSL assertions.
+
+@item
+Improve:
+- speed of non-sensitized processes.
+- speed of string case statement (dichotomy instead of linear search).
+
+@item
+bug fix:
+- and improvements in SDF annotator.
+- when the bound of a string literal type is not locally static.
+- gcov crash
+@end itemize
+
+@node [2009-09-17] 0 28,[2008-07-01] 0 27,[2010-01-09] 0 29,Top
+@anchor{index id13}@anchor{e69}
+@chapter [2009-09-17] 0.28
+
+
+
+@itemize -
+
+@item
+Add @code{--std=08} to enable VHDL2008 features.
+
+@item
+Support all-sensitized processes from VHDL2008.
+
+@item
+Documentation typos (thanks to Peter Huewe).
+
+@item
+bug fix:
+
+
+@itemize -
+
+@item
+handle @code{'pos/'leftof/'rightof/'succ/'pred} in concurrent statements.
+
+@item
+overloaded resolution functions.
+
+@item
+direct drivers elaboration for unconstrained array signals.
+
+@item
+many minor bugs.
+@end itemize
+@end itemize
+
+@node [2008-07-01] 0 27,[2007-04-08] 0 26,[2009-09-17] 0 28,Top
+@anchor{index id14}@anchor{e6a}
+@chapter [2008-07-01] 0.27
+
+
+
+@itemize -
+
+@item
+Improve SDF annotation (handles much more annotations)
+
+@item
+Add @code{--ieee-asserts=} option to control assert messages from ieee packages.
+
+@item
+bug fix:
+
+
+@itemize -
+
+@item
+aliases in port map
+
+@item
+crash during elaboration for top entities with ports/generic
+
+@item
+crash when string literal in aggregate.
+
+@item
+concatenation with an array subtype element.
+
+@item
+non-static subtype used by type conversions in associations.
+
+@item
+clear timeout in wait for.
+
+@item
+minor bugs.
+@end itemize
+@end itemize
+
+@node [2007-04-08] 0 26,[2006-08-11] 0 25,[2008-07-01] 0 27,Top
+@anchor{index id15}@anchor{e6b}
+@chapter [2007-04-08] 0.26
+
+
+
+@itemize -
+
+@item
+@code{GHDL_PREFIX} environment variable overrides default installation prefix.
+
+@item
+simulation speed improved with ‘direct drivers’.
+
+@item
+windows version:
+- exceptions are caught
+- use executable path instead of registry for prefix
+
+@item
+bug fix:
+- individual association by expression (was not working)
+- individual association of string
+- within windows code generator
+- [windows] large local variables crashed
+- crash when overloaded aggregate target.
+- forbid individual association with open
+- crash when bad array prefix
+- correctly extract sensitivity of record aggregate
+@end itemize
+
+@node [2006-08-11] 0 25,[2006-06-25] 0 24,[2007-04-08] 0 26,Top
+@anchor{index id16}@anchor{e6c}
+@chapter [2006-08-11] 0.25
+
+
+
+@itemize -
+
+@item
+VPI functions to schedules values.
+
+@item
+@code{math_real} now works under windows.
+
+@item
+documentation updated for windows.
+
+@item
+filename/line number displayed for range error during signal update.
+
+@item
+bug fix:
+- ieee math libraries available when @code{--ieee=synopsys}.
+- @code{'image} in package bodies.
+- scan of fp literals.
+- interface identifier is checked for conformance rules.
+- avoid a crash in case of error in configuration specification.
+- non-static choice in unidim case are now correctly checked.
+- do not crash in case of bad use of incomplete type.
+- @code{'range} are not expressions.
+- handle file declaration in concurrent procedure calls.
+- correctly handle static @code{'image} attribute.
+- handle in-conversion for signal associated with an expression.
+- emit an error when a function tries to exit without a return.
+@end itemize
+
+@node [2006-06-25] 0 24,[2006-05-16] 0 23,[2006-08-11] 0 25,Top
+@anchor{index id17}@anchor{e6d}
+@chapter [2006-06-25] 0.24
+
+
+
+@itemize -
+
+@item
+Handle enums with more than 256 elements.
+
+@item
+Relax expr static rules in 93c to analyze Xilinx core lib from 8.1
+
+@item
+man page, @code{ghdl.1}, added.
+
+@item
+windows installer improved
+
+@item
+bug fix:
+- correctly handle empty file name.
+- correctly handle unused subprograms of protected types.
+- avoid a crash if unused library are used.
+- avoid crashes during error reports.
+- add a missing case array conversion.
+- build on @code{x86_64}.
+- code generated for conversion after mod/rem (windows version).
+- @code{-fexplicit} crashed with @code{std.standard} functions.
+- handle recursion of pure/wait checks.
+- correctly handle error cases of user attributes
+- time and character read procedure of std.textio.
+- initialize by value parameters (instead of copy-in).
+@end itemize
+
+@node [2006-05-16] 0 23,[2006-03-28] 0 22,[2006-06-25] 0 24,Top
+@anchor{index id18}@anchor{e6e}
+@chapter [2006-05-16] 0.23
+
+
+
+@itemize -
+
+@item
+bug fix:
+- handle implicit conversion in resolution functions.
+- missing implicit conversion added.
+- avoid a crash in error.
+@end itemize
+
+@node [2006-03-28] 0 22,[2005-12-18] 0 21,[2006-05-16] 0 23,Top
+@anchor{index id19}@anchor{e6f}
+@chapter [2006-03-28] 0.22
+
+
+
+@itemize -
+
+@item
+direntry added in @code{ghdl.texi}
+
+@item
+updated:
+- Documentation (explain bug in Xilinx unisim library).
+- to @code{gcc 4.1}
+- math_real
+
+@item
+bug fix:
+- avoid crash if type conversion is indexed/sliced
+- do not allow anymore uncomplete individual association
+- missing check on array association
+- check bounds for val attribute on enumerated type
+- array inequality of locally static expressions evaluated
+- configuration issue
+- @code{--warn-no-vital-generic} is now working
+@end itemize
+
+@node [2005-12-18] 0 21,0 20 [2005-10-15],[2006-03-28] 0 22,Top
+@anchor{index id20}@anchor{e70}
+@chapter [2005-12-18] 0.21
+
+
+
+@itemize -
+
+@item
+local optimizations (loops, indexed name).
+
+@item
+simulation speed improved by 20% due to processes mngt optimizations.
+
+@item
+stack-switching code ported to @code{x86_64} (@code{amd64/em64t}).
+
+@item
+stack-switching code ported to @code{ia64}.
+
+@item
+@code{--syn-binding} option extended (see documentation).
+
+@item
+bug fix:
+- line number for some bound violation messages.
+- improved message error for deferred constants.
+- file parameter for functions.
+- universal real divided by integer handled in locally static expr.
+- @code{std_ulogic} types and arrays are known by VPI.
+- missing space added in VCDs for integers.
+- @code{CR+LF} is also end of line for @code{std.textio.readline}.
+- avoid a crash if parse error on choice.
+- handle @code{'image} in nested subprograms.
+- handle @code{'image} for floating point types.
+- do not use varargs C calls.
+- handle missing @code{EOL} for @code{readline}.
+- for @code{x86_64}
+@end itemize
+
+@node 0 20 [2005-10-15],[2005-08-17] 0 19,[2005-12-18] 0 21,Top
+@anchor{index id21}@anchor{e71}
+@chapter 0.20 [2005-10-15]
+
+
+
+@itemize -
+
+@item
+stack memory usage improved for shortcurt operations.
+
+@item
+gtkwave now displays nice waves for bit and std_ulogic signals.
+
+@item
+time unit is displayed in assert/report message.
+
+@item
+@code{-fexplicit} option added.
+
+@item
+integers are now written in VCD files.
+
+@item
+hash table added for design_units (speed optimization).
+
+@item
+range checks slightly optimized.
+
+@item
+@code{--vcdz} run time option added.
+
+@item
+improved error message for invalid expressions.
+
+@item
+grt now compiles with GNAT-GPL-2005 (use a GNAT bug work-around).
+
+@item
+bug fix:
+- handle more types for @code{--dump-rti} and @code{--wave}.
+- @code{'last_event} and @code{'last_active} (bad value returned when no previous event or activity).
+- @code{'image} attribute for physical types (was bad unit).
+- @code{'image} attribute for locally static integers.
+- for reading @code{.ghw} files.
+- in @code{--xref}.
+@end itemize
+
+@node [2005-08-17] 0 19,[2005-03-12] 0 18,0 20 [2005-10-15],Top
+@anchor{index id22}@anchor{e72}
+@chapter [2005-08-17] 0.19
+
+
+
+@itemize -
+
+@item
+@code{ADAFLAGS} has been replaced by @code{GHDL_ADAFLAGS} to ease compilation.
+
+@item
+@code{ieee.math_real} and @code{ieee.math_complex} added (only partially, based on a
+draft).
+
+@item
+current time is printed with assert/report messages.
+
+@item
+stack switch assembly code ported to powerpc-linux.
+
+@item
+documentation on how to use grt from Ada added.
+
+@item
+allow indexes of indexed names to be non-static in case statements (93c only)
+(this is not standard, but I can’t see why it should be required).
+
+@item
+unbound ports of entities are now reported with @code{--warn-binding}.
+
+@item
+some error or warning messages improved.
+
+@item
+@code{--wave} option added to dump waveforms (using ghw file format).
+
+@item
+bug fix:
+- internal error (missing close_temp for implicit read)
+- @code{--xref-html}: avoid to reanalyze unit.
+- handle implicit conversion for @code{'image}.
+- aggregate assigned to an aggregate: avoid crash.
+- array attributes on unconstrained array: avoid crash.
+- @code{'last_event} returns @code{time'high} if no event.
+- @code{'last_event} on array (uninitialized variable).
+- allow calling @code{rising_edge} with a port of mode buffer.
+- allow aliases of unconstrained arrays.
+- bound error on aggregate with an unused other association.
+- catch indexed/sliced component (was crashing).
+- catch index/slice of a type conversion (was crashing).
+- handle bad component specification in conf (was crashing).
+- missing ports in component (was crashing).
+- component configurations were dicarded by bug in some cases.
+- no more unused warnings for subprograms in architectures (they may be used in configuration).
+- allow conversion in component configuration.
+- conversion in associations with not statically defined array signals.
+@end itemize
+
+@node [2005-03-12] 0 18,[2005-02-26] 0 17,[2005-08-17] 0 19,Top
+@anchor{index id23}@anchor{e73}
+@chapter [2005-03-12] 0.18
+
+
+
+@itemize -
+
+@item
+Keep last line number to speed-up line number look-up (improvement).
+
+@item
+@code{--warn-default-binding} added, @code{--warn-binding} rewritten.
+
+@item
+@code{'value} implemented for integer numbers.
+
+@item
+bug fix:
+- in @code{textio.read} for time.
+- @code{file_close} does not crash if file was already closed.
+- spurious unused warnings for protected types.
+- allow subtype names in slice during sensitivity extraction.
+- correctly set the default value of collapsed ports.
+- handling of stack2 (aka large concatenation bug).
+@end itemize
+
+@node [2005-02-26] 0 17,[2005-01-02] 0 16,[2005-03-12] 0 18,Top
+@anchor{index id24}@anchor{e74}
+@chapter [2005-02-26] 0.17
+
+
+
+@itemize -
+
+@item
+command @code{--elab-run} added.
+
+@item
+Code generation for aggregate improved.
+
+@item
+Library name of option @code{--work=} is checked.
+
+@item
+@code{--no-run} option added to prevent simulation (may be used to disp tree only).
+
+@item
+disp signal name in error when multiple sources drive an unresolved signal.
+
+@item
+@code{-m}/@code{--gen-makefile} now handle several libraries.
+
+@item
+dependences are not stored anymore in libraries (shorter/faster).
+
+@item
+mentor version of ieee library is now provided.
+
+@item
+handling of universal types is more consistent with LRM (almost corner cases).
+
+@item
+iterator and indexes whose bounds were universal expressions are now of type integer or erroneous, according to the weird LRM rules.
+
+@item
+handle selected name as entity name in architecture/configuration.
+
+@item
+bug fix:
+- port map with expression (corner case ?).
+- forbid empty extended identifiers.
+- enumeration literal xrefs.
+- non-object name in sensitivity list crashed.
+- correctly handle alias of signals in processes.
+@end itemize
+
+@node [2005-01-02] 0 16,[2004-10-13] 0 15,[2005-02-26] 0 17,Top
+@anchor{index id25}@anchor{e75}
+@chapter [2005-01-02] 0.16
+
+
+
+@itemize -
+
+@item
+@code{std.textio}: readline has no limits on line length.
+
+@item
+command @code{-r} (run) added.
+
+@item
+bug fix:
+- better handling of errors in type conversion.
+- few uninitialized variables in ghdl itself caught.
+- parse error: @code{begin} in aggregates.
+- bad @code{unused subprogram} warnings.
+@end itemize
+
+@node [2004-10-13] 0 15,[2004-08-29] 0 14,[2005-01-02] 0 16,Top
+@anchor{index id26}@anchor{e76}
+@chapter [2004-10-13] 0.15
+
+
+
+@itemize -
+
+@item
+library file format modified to handle relative pathes.
+
+@item
+install fixed to use relative pathes.
+
+@item
+internal change: ortho API modified (constant are not anymore expressions).
+@end itemize
+
+@node [2004-08-29] 0 14,[2004-06-26] 0 13,[2004-10-13] 0 15,Top
+@anchor{index id27}@anchor{e77}
+@chapter [2004-08-29] 0.14
+
+
+
+@itemize -
+
+@item
+pretty printing in HTML command, @code{--pp-html}, added.
+
+@item
+xref generation in HTML command, @code{--xref-html}, added.
+
+@item
+syntax checking command, @code{-s}, added.
+
+@item
+Code generation in whole command, @code{-c files -e unit}, added.
+
+@item
+warns for unused subprograms.
+
+@item
+bug box added to help bug reports.
+
+@item
+@code{-s} (syntax check) command added.
+
+@item
+Missing grt subprogram to close non-text file added.
+
+@item
+maximum line length of @code{std.textio.readline} extended to 512 characters.
+
+@item
+@code{std.textio.readline} assert error on truncated lines.
+
+@item
+Handle P32 and P64 in @code{--trace-signals}
+
+@item
+sequentials statements are not canonicalized (should be faster).
+
+@item
+@code{DESTDIR} added in Makefile to ease packaging.
+
+@item
+for @code{-m} command, re-parse modified files of the work library.
+
+@item
+Many checks added on interfaces.
+
+@item
+Many checks added on associations, better handling of conversions.
+
+@item
+Checks for unassociated entity ports at elaboration.
+
+@item
+bug fix:
+- resolution function can be an expanded name.
+- missing type check in a corner case.
+- emit an error when @code{EOF} is reached while a text file is read.
+- @code{std.textio.read} for negative number.
+- @code{std.textio.read} [integer]: correctly handle end of line.
+- parameters of protected type: handled and checked.
+- @code{gen_tree.c} modified to work with sparc.
+@end itemize
+
+@node [2004-06-26] 0 13,[2004-05-30] 0 12,[2004-08-29] 0 14,Top
+@anchor{index id28}@anchor{e78}
+@chapter [2004-06-26] 0.13
+
+
+
+@itemize -
+
+@item
+support of 64bits integers and 32bits time (not yet user available)
+
+@item
+handle @code{'high} and @code{'low} attributes on non-locally static types and subtypes.
+
+@item
+Many warning switches added (to control output of warnings).
+
+@item
+@code{--gen-makefile} mode added to ghdl (to generate a Makefile)
+
+@item
+alias identifier restrictions of vhdl-02 implemented.
+
+@item
+declarative region for architecture from vhdl-02 implemented.
+
+@item
+buffer port association rules of vhdl-02 implemented.
+
+@item
+method operator restrictions of vhdl-02 implemented.
+
+@item
+@code{'driving} and @code{'driving_value} implemented.
+
+@item
+run-time bound check error message now contains file name and line number.
+
+@item
+strings are not stored anymore with the identifiers.
+
+@item
+parser does not back-track anymore.
+
+@item
+bug fix:
+- name clash in generated @code{.s} files (arch and port/generic names).
+- implicit conversion of signal parameters.
+- handle locally static type conversion of arrays.
+- stabilize during elaboration of an unconstrained signal.
+- revert previous @code{vhdl87} conf spec bug fix, according to INT-1991 issue 27.
+- multiple visibility of declarations (eg: direct and alias).
+- names attribute of non-object aliases.
+@end itemize
+
+@node [2004-05-30] 0 12,[2004-04-24] 0 11 1,[2004-06-26] 0 13,Top
+@anchor{index id29}@anchor{e79}
+@chapter [2004-05-30] 0.12
+
+
+
+@itemize -
+
+@item
+simulation speed improved (2 fold) due to reduced activity optimization.
+
+@item
+type conversion handled in associations
+
+@item
+make mode of ghdl improved.
+
+@item
+bug fix:
+- attribute specification
+- allow discrete type marks in choices
+- handling of generate statement for VCD
+- allow dereference in variable associations
+- allow function conversion in block port map
+- vhdl87: apply configuration specification inside generate stmts.
+- catch non-passive concurrent procedure calls in entity.
+- association of an unconstrained port with an expression.
+- declaring an uncons. array subtype of an uncons. array subtype.
+@end itemize
+
+@node [2004-04-24] 0 11 1,[2004-04-17] 0 11,[2004-05-30] 0 12,Top
+@anchor{index id30}@anchor{e7a}
+@chapter [2004-04-24] 0.11.1
+
+
+
+@itemize -
+
+@item
+bug fix:
+- corner case of signal not updated
+- handle @code{'stable}, @code{'quiet} with a paramater > 0
+- typos (missing @code{+ }) in sparc.S
+@end itemize
+
+@node [2004-04-17] 0 11,[2004-02-28] 0 10,[2004-04-24] 0 11 1,Top
+@anchor{index id31}@anchor{e7b}
+@chapter [2004-04-17] 0.11
+
+
+
+@itemize -
+
+@item
+signal collapsing improved.
+
+@item
+simulation kernel speed improved (maybe 5x faster).
+
+@item
+@code{--lines} mode of ghdldrv added.
+
+@item
+boolean signals are now dumped in vcd files.
+
+@item
+bug fix:
+- in code generation for an aggregate.
+- run-time check of ascending order of projected transactions.
+- empty sequence of stmts in case alternatives (unidim array).
+- evaluation of locally static ‘range attribute.
+- implicit conversion in formal function convertor.
+- return type is a type mark.
+@end itemize
+
+@node [2004-02-28] 0 10,[2004-02-01] 0 9,[2004-04-17] 0 11,Top
+@anchor{index id32}@anchor{e7c}
+@chapter [2004-02-28] 0.10
+
+
+
+@itemize -
+
+@item
+architecture with many instances can be compiled with less memory.
+
+@item
+@code{--stats} option added to the simulator, to evaluate performance.
+
+@item
+signals are now collapsed between instances, if possible.
+
+@item
+simulation is about 3x faster.
+
+@item
+sparc port available (source only).
+
+@item
+more checks added for attribute specification.
+
+@item
+chop command added (split files by design unit).
+
+@item
+bug fix:
+- absolute source files.
+- empty sequence of statements in case alternatives.
+@end itemize
+
+@node [2004-02-01] 0 9,[2003-11-05] 0 8,[2004-02-28] 0 10,Top
+@anchor{index id33}@anchor{e7d}
+@chapter [2004-02-01] 0.9
+
+
+
+@itemize -
+
+@item
+VITAL level 0 restrictions checks added.
+
+@item
+VITAL 2000 packages provided.
+
+@item
+run-time information (such as signal names) rewritten.
+
+@item
+SDF support added (partial and experimental).
+
+@item
+bug fix:
+- allow elaboration even if no package body if the package is present in a file but not used by the hierarchy.
+- @code{delay_length} range is pre-elaborated (was not in v93).
+- crashed when a design unit is not found at elaboration.
+- allow association of @code{'stable}, @code{'quiet}… with signal interfaces.
+- concurrent procedure call creates a non-sensitized process.
+- effective value of non-scalar resolved signal might not be set.
+@end itemize
+
+@node [2003-11-05] 0 8,[2003-08-02] 0 7,[2004-02-01] 0 9,Top
+@anchor{index id34}@anchor{e7e}
+@chapter [2003-11-05] 0.8
+
+
+
+@itemize -
+
+@item
+protected types (from 1076a/1076-2000) implemented.
+
+@item
+file declarations are finalized in subprograms.
+
+@item
+an exit call-back has been added in the run-time library.
+
+@item
+internal modifications (nodes are stored in a table).
+
+@item
+name of generated executable can be set with @code{-o} option.
+
+@item
+IVI (ivi.sourceforge.org) support through a few VPI subprograms.
+
+@item
+pure and wait checks added.
+
+@item
+out ports are correctly dumped in VCD files.
+
+@item
+bug fix:
+- signal declaration not allowed in processes.
+- several bugs fixed.
+@end itemize
+
+@node [2003-08-02] 0 7,[2003-06-09] 0 6,[2003-11-05] 0 8,Top
+@anchor{index id35}@anchor{e7f}
+@chapter [2003-08-02] 0.7
+
+
+
+@itemize -
+
+@item
+layout of internal nodes improved.
+
+@item
+incremental binding (vhdl93).
+
+@item
+association of in port with expressions (vhdl93).
+
+@item
+@code{--disp-time} option added.
+
+@item
+make mode (@code{ghdl -m}) rewritten.
+
+@item
+@code{'simple_name}, @code{'path_name} and @code{'instance_name} added (vhdl93).
+
+@item
+bug fix:
+- instantiation added in hierarchy.
+- individual association of subelements by expression.
+- @code{--stop-delta} option is working.
+- correctly handle operators names at function call.
+- several small bugs fixed.
+@end itemize
+
+@node [2003-06-09] 0 6,[2003-05-10] 0 5,[2003-08-02] 0 7,Top
+@anchor{index id36}@anchor{e80}
+@chapter [2003-06-09] 0.6
+
+
+
+@itemize -
+
+@item
+internal modifications (single linked list used instead of arrays).
+
+@item
+Mentor version of std_logic_arith is provided.
+
+@item
+postponed handled (vhdl 93).
+
+@item
+declarations allowed in a generate statement (vhdl 93).
+
+@item
+non object aliases handled (vhdl93).
+
+@item
+signatures handled (vhdl93).
+
+@item
+bug fix:
+- @code{xnor} on @code{bit} and @code{boolean} is working.
+- @code{selected_name} list in use clauses.
+- many other small bug fixes.
+@end itemize
+
+@node [2003-05-10] 0 5,[2003-04-07] 0 4 1,[2003-06-09] 0 6,Top
+@anchor{index id37}@anchor{e81}
+@chapter [2003-05-10] 0.5
+
+
+
+@itemize -
+
+@item
+foreign attribute handled. You can now call subprograms defined in a foreign
+language (such as C or Ada).
+
+@item
+ghdl entry point added: you can start the VHDL simulation from your own
+program.
+
+@item
+bug fix:
+- triple use.
+- incomplete types
+@end itemize
+
+@node [2003-04-07] 0 4 1,[2003-04-02] 0 4,[2003-05-10] 0 5,Top
+@anchor{index id38}@anchor{e82}
+@chapter [2003-04-07] 0.4.1
+
+
+
+@itemize -
+
+@item
+bug fix: in the vcd output.
+@end itemize
+
+@node [2003-04-02] 0 4,Python Module Index,[2003-04-07] 0 4 1,Top
+@anchor{index id39}@anchor{e83}
+@chapter [2003-04-02] 0.4
+
+
+
+@itemize -
+
+@item
+@code{libgrt} does not depend on GNAT library anymore.
+Installation requirements are reduced.
+
+@item
+@code{'delayed} attribute implemented.
+
+@item
+@code{'transaction} attribute implemented.
+
+@item
+unaffected (from vhdl-93) implemented.
+
+@item
+ghdl action @code{--disp-standard} prints the std.standard package.
+
+@item
+exponentiation operator, @code{**}, implemented for all integer and floating
+point types.
+
+@item
+many other small bug fixes.
+
+@item
+bug fix: subprogram interfaces are now elaborated.
+@end itemize
+
+@node Python Module Index,Index,[2003-04-02] 0 4,Top
@unnumbered Python Module Index