aboutsummaryrefslogtreecommitdiffstats
path: root/doc/using/UART_srcs/vhpi/tty_pkg.vhd
diff options
context:
space:
mode:
author1138-4EB <1138-4EB@users.noreply.github.com>2017-12-09 17:34:58 +0100
committertgingold <tgingold@users.noreply.github.com>2017-12-10 12:02:05 +0100
commit8e06c39ed8311aeb36696d9f964550407e1c556e (patch)
tree5c511441a8c0b2a9bb2f5732dd4284ed725ad24a /doc/using/UART_srcs/vhpi/tty_pkg.vhd
parent08eb2bfc7144e7698cf570478d6a4e3e81aaf31a (diff)
downloadghdl-8e06c39ed8311aeb36696d9f964550407e1c556e.tar.gz
ghdl-8e06c39ed8311aeb36696d9f964550407e1c556e.tar.bz2
ghdl-8e06c39ed8311aeb36696d9f964550407e1c556e.zip
clean todos
Diffstat (limited to 'doc/using/UART_srcs/vhpi/tty_pkg.vhd')
-rw-r--r--doc/using/UART_srcs/vhpi/tty_pkg.vhd70
1 files changed, 0 insertions, 70 deletions
diff --git a/doc/using/UART_srcs/vhpi/tty_pkg.vhd b/doc/using/UART_srcs/vhpi/tty_pkg.vhd
deleted file mode 100644
index 99e3a347f..000000000
--- a/doc/using/UART_srcs/vhpi/tty_pkg.vhd
+++ /dev/null
@@ -1,70 +0,0 @@
---tty_pkg.vhd
-library ieee;
-use ieee.std_logic_1164.all;
-use ieee.numeric_std.all;
-
-package tty_pkg is
-
- function to_integer( s: std_logic) return integer;
- function to_std_logic( s : integer ) return std_logic;
-
- function tty_open (portn : integer) return integer;
- attribute foreign of tty_open :
- function is "VHPIDIRECT tty_open";
-
- function read_data ( dummy: integer) return integer;
- attribute foreign of read_data :
- function is "VHPIDIRECT read_data";
-
- function read_enable ( dummy: integer) return integer;
- attribute foreign of read_enable :
- function is "VHPIDIRECT read_enable";
-
- procedure write_data ( data: in integer);
- attribute foreign of write_data :
- procedure is "VHPIDIRECT write_data";
-
-end;
-
-
-package body tty_pkg is
-
- function to_integer( s : std_logic ) return integer is
- begin
- if s = '1' then
- return 1;
- else
- return 0;
- end if;
- end function;
-
- function to_std_logic( s : integer ) return std_logic is
- begin
- if s > 0 then
- return '1';
- else
- return '0';
- end if;
- end function;
-
-
- function tty_open (portn : integer) return integer is
- begin
- assert false report "VHPI" severity failure;
- end tty_open;
-
- function read_data (dummy: integer) return integer is
- begin
- assert false report "VHPI" severity failure;
- end read_data;
-
- function read_enable (dummy: integer) return integer is
- begin
- assert false report "VHPI" severity failure;
- end read_enable;
-
- procedure write_data ( data: in integer) is
- begin
- assert false report "VHPI" severity failure;
- end write_data;
-end tty_pkg;