aboutsummaryrefslogtreecommitdiffstats
path: root/doc/using
diff options
context:
space:
mode:
authorArcturus <44707562+arcturus140@users.noreply.github.com>2019-09-18 17:43:49 +0100
committertgingold <tgingold@users.noreply.github.com>2019-09-18 18:43:49 +0200
commit4e000b8157514d78b9c91dde69ec218f6dcb4d2a (patch)
treefc68be3b423d441602708e44345d7d4c951e7c61 /doc/using
parenteb3d32a6de8822eb87a6bfd72dc1c94f9ff9a107 (diff)
downloadghdl-4e000b8157514d78b9c91dde69ec218f6dcb4d2a.tar.gz
ghdl-4e000b8157514d78b9c91dde69ec218f6dcb4d2a.tar.bz2
ghdl-4e000b8157514d78b9c91dde69ec218f6dcb4d2a.zip
enhance documentation terminology: reduce name clashing for VHDL standard and collections. (#925)
* enhance documentation terminology: reduce name clashing for VHDL standard and collections. * lists don't have columns * apply suggestions from review * remove build artifact
Diffstat (limited to 'doc/using')
-rw-r--r--doc/using/InvokingGHDL.rst10
-rw-r--r--doc/using/QuickStartGuide.rst4
2 files changed, 7 insertions, 7 deletions
diff --git a/doc/using/InvokingGHDL.rst b/doc/using/InvokingGHDL.rst
index 41a347ba2..94e58866a 100644
--- a/doc/using/InvokingGHDL.rst
+++ b/doc/using/InvokingGHDL.rst
@@ -219,7 +219,7 @@ Options
.. index:: WORK library
-.. option:: --work=<NAME>
+.. option:: --work=<LIB_NAME>
Specify the name of the ``WORK`` library. Analyzed units are always placed in the library logically named ``WORK``. With this option, you can set its name. By default, the name is ``work``.
@@ -233,17 +233,17 @@ Options
Use option :option:`-P` to specify where libraries other than ``WORK`` are placed.
-.. option:: --std=<STD>
+.. option:: --std=<STANDARD>
- Specify the standard to use. By default, the standard is ``93c``, which means VHDL-93 accepting VHDL-87 syntax. For details on ``STD`` values see section :ref:`VHDL_standards`.
+ Specify the standard to use. By default, the standard is ``93c``, which means VHDL-93 accepting VHDL-87 syntax. For details on ``STANDARD`` values see section :ref:`VHDL_standards`.
-.. option:: --ieee=<VER>
+.. option:: --ieee=<IEEE_VAR>
.. index:: ieee library
.. index:: synopsys library
.. index:: mentor library
- Select the ``IEEE`` library to use. ``VER`` must be one of:
+ Select the ``IEEE`` library to use. ``IEEE_VAR`` must be one of:
none
Do not supply an `IEEE` library. Any library clause with the ``IEEE``
diff --git a/doc/using/QuickStartGuide.rst b/doc/using/QuickStartGuide.rst
index c4803bcfd..572d7853b 100644
--- a/doc/using/QuickStartGuide.rst
+++ b/doc/using/QuickStartGuide.rst
@@ -234,9 +234,9 @@ tips are:
rules. Use ``--std=08`` for VHDL-2008 (albeit not fully
implemented). All the units must be analyzed with the same standard.
-* Use ``--work=NAME`` to analyze files into the ``NAME`` library.
+* Use ``--work=LIB_NAME`` to analyze files into the ``LIB_NAME`` library.
If you analyze other files from a different directory, give the path
- of the ``NAME`` library using ``-P/PATH/TO/NAME/DIRECTORY/``.
+ of the ``LIB_NAME`` library using ``-P/path/to/name/directory/``.
* Use ``--ieee=synopsys`` if your design depends on the non-standard
ieee library.