aboutsummaryrefslogtreecommitdiffstats
path: root/doc
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2023-03-06 07:45:56 +0100
committerTristan Gingold <tgingold@free.fr>2023-03-08 07:30:28 +0100
commit0572314d91201f1988643808409f33d2f15c23a6 (patch)
tree4685fa49fb5e01defa38fea5e23971893a2a3fcf /doc
parentbbde267d627588248616daa06223fe9bf4a926f1 (diff)
downloadghdl-0572314d91201f1988643808409f33d2f15c23a6.tar.gz
ghdl-0572314d91201f1988643808409f33d2f15c23a6.tar.bz2
ghdl-0572314d91201f1988643808409f33d2f15c23a6.zip
Bump to 3.0.0-rc.4, regenerate doc
Diffstat (limited to 'doc')
-rw-r--r--doc/ghdl.texi55647
1 files changed, 28106 insertions, 27541 deletions
diff --git a/doc/ghdl.texi b/doc/ghdl.texi
index 39d2fad6d..3400c98be 100644
--- a/doc/ghdl.texi
+++ b/doc/ghdl.texi
@@ -3,7 +3,7 @@
@setfilename GHDL.info
@documentencoding UTF-8
@ifinfo
-@*Generated by Sphinx 4.4.0.@*
+@*Generated by Sphinx 6.1.3.@*
@end ifinfo
@settitle GHDL Documentation
@defindex ge
@@ -15,17 +15,15 @@
* GHDL: (GHDL.info). VHDL simulator.
@end direntry
-@definfoenclose strong,`,'
-@definfoenclose emph,`,'
@c %**end of header
@copying
@quotation
-GHDL 2.0.0, Feb 28, 2022
+GHDL 3.0.0-rc.4, Mar 06, 2023
Tristan Gingold and contributors
-Copyright @copyright{} 2002-2021, Tristan Gingold and contributors
+Copyright @copyright{} 2002-2023, Tristan Gingold and contributors
@end quotation
@end copying
@@ -47,7 +45,7 @@ Copyright @copyright{} 2002-2021, Tristan Gingold and contributors
@end ifnottex
@c %**start of body
-@anchor{index doc}@anchor{41}
+@anchor{index doc}@anchor{45}
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -57,14 +55,14 @@ Copyright @copyright{} 2002-2021, Tristan Gingold and contributors
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@@ -79,14 +77,14 @@ Copyright @copyright{} 2002-2021, Tristan Gingold and contributors
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@@ -298,8 +296,8 @@ PSL support
PSL usage
* PSL annotations embedded in comments::
-* PSL annotations (VHDL-2008 only): PSL annotations VHDL-2008 only.
-* PSL vunit files (VHDL-2008 / Synthesis only): PSL vunit files VHDL-2008 / Synthesis only.
+* PSL annotations (VHDL-2008 and later): PSL annotations VHDL-2008 and later.
+* PSL vunit files (VHDL-2008 and later@comma{} synthesis only): PSL vunit files VHDL-2008 and later synthesis only.
Implementation of VITAL
@@ -362,6 +360,7 @@ pyGHDL.dom
pyGHDL.dom.formatting
+* pyGHDL.dom.formatting.GraphML: pyGHDL dom formatting GraphML.
* pyGHDL.dom.formatting.prettyprint: pyGHDL dom formatting prettyprint.
pyGHDL.libghdl
@@ -371,6 +370,7 @@ pyGHDL.libghdl
* pyGHDL.libghdl.errorout: pyGHDL libghdl errorout.
* pyGHDL.libghdl.errorout_console: pyGHDL libghdl errorout_console.
* pyGHDL.libghdl.errorout_memory: pyGHDL libghdl errorout_memory.
+* pyGHDL.libghdl.file_comments: pyGHDL libghdl file_comments.
* pyGHDL.libghdl.files_map: pyGHDL libghdl files_map.
* pyGHDL.libghdl.files_map_editor: pyGHDL libghdl files_map_editor.
* pyGHDL.libghdl.flags: pyGHDL libghdl flags.
@@ -393,6 +393,8 @@ pyGHDL.libghdl.vhdl
* pyGHDL.libghdl.vhdl.nodes_meta: pyGHDL libghdl vhdl nodes_meta.
* pyGHDL.libghdl.vhdl.nodes_utils: pyGHDL libghdl vhdl nodes_utils.
* pyGHDL.libghdl.vhdl.parse: pyGHDL libghdl vhdl parse.
+* pyGHDL.libghdl.vhdl.prints: pyGHDL libghdl vhdl prints.
+* pyGHDL.libghdl.vhdl.scanner: pyGHDL libghdl vhdl scanner.
* pyGHDL.libghdl.vhdl.sem: pyGHDL libghdl vhdl sem.
* pyGHDL.libghdl.vhdl.sem_lib: pyGHDL libghdl vhdl sem_lib.
* pyGHDL.libghdl.vhdl.std_package: pyGHDL libghdl vhdl std_package.
@@ -486,7 +488,7 @@ RTI Nodes / RTII Nodes
@end menu
@node About,Getting | Installing,Top,Top
-@anchor{about doc}@anchor{42}@anchor{about about}@anchor{43}@anchor{about ghdl}@anchor{44}
+@anchor{about doc}@anchor{46}@anchor{about about}@anchor{47}@anchor{about ghdl}@anchor{48}
@chapter About
@@ -498,23 +500,23 @@ RTI Nodes / RTII Nodes
@end menu
@node What is VHDL?,What is GHDL?,,About
-@anchor{about intro-vhdl}@anchor{45}@anchor{about what-is-vhdl}@anchor{46}
+@anchor{about intro-vhdl}@anchor{49}@anchor{about what-is-vhdl}@anchor{4a}
@section What is @cite{VHDL}?
-VHDL@footnote{https://en.wikipedia.org/wiki/VHDL} is an acronym for @emph{Verification and Hardware Description Language} (HDL@footnote{https://en.wikipedia.org/wiki/HDL}),
+VHDL@footnote{https://en.wikipedia.org/wiki/VHDL} is an acronym for `Verification and Hardware Description Language' (HDL@footnote{https://en.wikipedia.org/wiki/HDL}),
which is a computer language used to describe a logic circuit by function, data flow behavior, or structure.
@cartouche
@quotation Note
Historically the ‘V’ in the language’s acronym came from the Very High Speed Integrated Circuit (VHSIC@footnote{https://en.wikipedia.org/wiki/VHSIC}),
which was the U.S. government program that funded the early work on the standard.
-Changing the ‘V’ to mean @emph{verification} reflects the language’s increasing usage for verification as well as design.
+Changing the ‘V’ to mean `verification' reflects the language’s increasing usage for verification as well as design.
See VHDL 202X PAR@footnote{http://www.eda-twiki.org/twiki/pub/P1076/PrivateDocuments/Par1076_202X.pdf} via VHDL-202X@footnote{https://ieee-p1076.gitlab.io/VHDL-202X/index.html#vhdl202x}.
@end quotation
@end cartouche
-Although VHDL was not designed for writing general purpose programs, VHDL @emph{is} a programming language: any algorithm can
+Although VHDL was not designed for writing general purpose programs, VHDL `is' a programming language: any algorithm can
be written with it, it can interact with files, the environment, stdin and stdout, etc.
VHDL has features similar to those found in procedural languages such as
C@footnote{https://en.wikipedia.org/wiki/C_(programming_language)},
@@ -529,10 +531,10 @@ Due to the nature of hardware components –which are always running–, VHDL is
an event-driven execution model.
Like a program written in any other language, a VHDL program can be executed.
-Since VHDL is used to model designs, the term @emph{simulation} is often used instead of @cite{execution}, with the same
+Since VHDL is used to model designs, the term `simulation' is often used instead of @cite{execution}, with the same
meaning.
At the same time, like a design written in another @cite{HDL}, a set of VHDL sources can be transformed with a
-@emph{synthesis tool} into a netlist, that is, a detailed gate-level implementation.
+`synthesis tool' into a netlist, that is, a detailed gate-level implementation.
The development of VHDL started in 1983 and the standard is named IEEE@footnote{https://www.ieee.org/} @cite{1076}.
Five revisions exist:
@@ -542,10 +544,10 @@ Five revisions exist:
2008@footnote{http://ieeexplore.ieee.org/document/4772740/} and
2019@footnote{https://ieeexplore.ieee.org/document/8938196}.
The standardization is handled by the IEEE P1076 Working Group: VHDL Analysis and Standardization Group (VASG)@footnote{https://ieee-p1076.gitlab.io/index.html}.
-Find on-going work towards @emph{VHDL 202X} in gitlab.com/IEEE-P1076/VHDL-Issues@footnote{https://gitlab.com/IEEE-P1076/VHDL-Issues/-/issues}.
+Find on-going work towards `VHDL 202X' in gitlab.com/IEEE-P1076/VHDL-Issues@footnote{https://gitlab.com/IEEE-P1076/VHDL-Issues/-/issues}.
@node What is GHDL?,Who uses GHDL?,What is VHDL?,About
-@anchor{about intro-ghdl}@anchor{47}@anchor{about what-is-ghdl}@anchor{48}
+@anchor{about intro-ghdl}@anchor{4b}@anchor{about what-is-ghdl}@anchor{4c}
@section What is GHDL?
@@ -564,9 +566,9 @@ It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that c
@cartouche
@quotation Note
-For almost 20 years, GHDL was @emph{not} a synthesis tool: a netlist could not be created.
+For almost 20 years, GHDL was `not' a synthesis tool: a netlist could not be created.
Hence, most of the content in this documentation corresponds to the usage of GHDL as a compiler/simulator.
-See @ref{49,,Synthesis} for further details regarding synthesis.
+See @ref{4d,,Synthesis} for further details regarding synthesis.
@end quotation
@end cartouche
@@ -576,13 +578,13 @@ Therefore, the compiled code should be faster and the analysis time should be sh
intermediary language.
GHDL can use multiple back-ends, i.e. code generators, (GCC@footnote{http://gcc.gnu.org/}, LLVM@footnote{http://llvm.org/} or
-x86@footnote{https://en.wikipedia.org/wiki/X86-64}/i386@footnote{https://en.wikipedia.org/wiki/Intel_80386} only, a built-in one named @emph{mcode}) and runs on
+x86@footnote{https://en.wikipedia.org/wiki/X86-64}/i386@footnote{https://en.wikipedia.org/wiki/Intel_80386} only, a built-in one named `mcode') and runs on
GNU/Linux@footnote{https://en.wikipedia.org/wiki/Linux_distribution}, Windows@footnote{https://en.wikipedia.org/wiki/Microsoft_Windows} ™ and
macOS@footnote{https://en.wikipedia.org/wiki/MacOS} ™; on x86, x86_64, armv6/armv7/aarch32/aarch64, ppc64, etc.
The current version of GHDL does not contain any built-in graphical viewer: signal waves cannot be seen through GHDL.
However, the behavior of the designs can still be checked through test benches and verification frameworks.
-Moreover, @ref{4a,,GHDL Waveform (GHW)}, VCD@footnote{https://en.wikipedia.org/wiki/Value_change_dump} or @cite{FST} files can be produced, which can be viewed with an
+Moreover, @ref{4e,,GHDL Waveform (GHW)}, VCD@footnote{https://en.wikipedia.org/wiki/Value_change_dump} or @cite{FST} files can be produced, which can be viewed with an
external waveform viewer@footnote{https://en.wikipedia.org/wiki/Waveform_viewer}, such as GtkWave@footnote{http://gtkwave.sourceforge.net/}.
GHDL aims at implementing VHDL as defined by IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}.
@@ -590,7 +592,8 @@ It supports the
1987@footnote{http://ieeexplore.ieee.org/document/26487/},
1993@footnote{http://ieeexplore.ieee.org/document/392561/} and
2002@footnote{http://ieeexplore.ieee.org/document/1003477/} revisions and, partially,
-2008@footnote{http://ieeexplore.ieee.org/document/4772740/}.
+2008@footnote{http://ieeexplore.ieee.org/document/4772740/} and
+2019@footnote{https://ieeexplore.ieee.org/document/8938196/}.
Property Specification Language (PSL)@footnote{https://en.wikipedia.org/wiki/Property_Specification_Language} is also partially supported.
Several third party projects are supported:
@@ -604,7 +607,7 @@ The pyVHDLModel Documentation@footnote{https://vhdl.github.io/pyVHDLModel/index.
@node Who uses GHDL?,,What is GHDL?,About
-@anchor{about intro-who}@anchor{4b}@anchor{about who-uses-ghdl}@anchor{4c}
+@anchor{about intro-who}@anchor{4f}@anchor{about who-uses-ghdl}@anchor{50}
@section Who uses GHDL?
@@ -618,19 +621,19 @@ The pyVHDLModel Documentation@footnote{https://vhdl.github.io/pyVHDLModel/index.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Getting | Installing,Contributing,About,Top
-@anchor{getting doc}@anchor{4d}@anchor{getting getting-installing}@anchor{4e}@anchor{getting packages}@anchor{4f}
+@anchor{getting doc}@anchor{51}@anchor{getting getting-installing}@anchor{52}@anchor{getting packages}@anchor{53}
@chapter Getting | Installing
@@ -642,22 +645,22 @@ The pyVHDLModel Documentation@footnote{https://vhdl.github.io/pyVHDLModel/index.
@end menu
@node Package managers,Nightly packages,,Getting | Installing
-@anchor{getting package-managers}@anchor{50}
+@anchor{getting package-managers}@anchor{54}
@section Package managers
Package managers of many popular distributions provide pre-built packages of GHDL. This is the case for @cite{apt}
(Debian/Ubuntu), @cite{dnf} (Fedora), @cite{pacman} (Arch Linux, MSYS2) or @cite{brew} (macOS). Since GHDL supports three different backends
-and two library sets (@emph{regular} or @emph{GPL-compatible}), at least six packages with different features might be available in
+and two library sets (`regular' or `GPL-compatible'), at least six packages with different features might be available in
each package manager.
As a rule of thumb, mcode backend is the fastest for analysis and synthesis. It also allows setting the base simulation time
for speeding up execution. Therefore, it is the recommended pick if available on your platform (x86/amd64, on Windows x86
only). On other platforms, or for using specific features for co-simulation or code coverage, LLVM or GCC need to be used.
-See further differences between backends in @ref{51,,Building GHDL from Sources}.
+See further differences between backends in @ref{55,,Building GHDL from Sources}.
@node Nightly packages,Precompile Vendor Primitives,Package managers,Getting | Installing
-@anchor{getting nightly-packages}@anchor{52}@anchor{getting release-packages}@anchor{53}
+@anchor{getting nightly-packages}@anchor{56}@anchor{getting release-packages}@anchor{57}
@section Nightly packages
@@ -668,7 +671,7 @@ allows to easily setup nightly assets in GitHub Actions workflows.
However, users on Windows (MSYS2) or Ubuntu might want to download the tarballs/zipfiles and extract/install them locally.
@node Precompile Vendor Primitives,,Nightly packages,Getting | Installing
-@anchor{getting getting-precompvendor}@anchor{54}@anchor{getting precompile-vendor-primitives}@anchor{55}
+@anchor{getting getting-precompvendor}@anchor{58}@anchor{getting precompile-vendor-primitives}@anchor{59}
@section Precompile Vendor Primitives
@@ -683,8 +686,8 @@ UVVM @footnote{
UVVM @indicateurl{https://github.com/UVVM/UVVM_All}
}, which can be pre-compiled, too.
-The compilation scripts are writen in the shell languages: @emph{PowerShell} for
-@emph{Windows™} and @emph{Bash} for @emph{GNU/Linux}, @emph{MacOS} and @emph{MSYS2}/@emph{MinGW}. The
+The compilation scripts are writen in the shell languages: `PowerShell' for
+`Windows™' and `Bash' for `GNU/Linux', `MacOS' and `MSYS2'/`MinGW'. The
compile scripts can colorize the GHDL warning and error lines with the help
of @code{grc/grcat} @footnote{
Generic Colourizer @indicateurl{http://kassiopeia.juls.savba.sk/~garabik/software/grc.html}
@@ -708,7 +711,7 @@ Vendor precompile scripts for OSVVM and UVVM are tested periodically in ghdl/ext
@end menu
@node Supported Vendors Libraries,Supported Simulation and Verification Libraries,,Precompile Vendor Primitives
-@anchor{getting supported-vendors-libraries}@anchor{56}
+@anchor{getting supported-vendors-libraries}@anchor{5a}
@subsection Supported Vendors Libraries
@@ -817,7 +820,7 @@ Xilinx Vivado (2014.1 or later):
@end itemize
@node Supported Simulation and Verification Libraries,Script Configuration,Supported Vendors Libraries,Precompile Vendor Primitives
-@anchor{getting supported-simulation-and-verification-libraries}@anchor{57}
+@anchor{getting supported-simulation-and-verification-libraries}@anchor{5b}
@subsection Supported Simulation and Verification Libraries
@@ -840,7 +843,7 @@ __________________________________________________________________
@node Script Configuration,Compiling in Bash,Supported Simulation and Verification Libraries,Precompile Vendor Primitives
-@anchor{getting script-configuration}@anchor{58}
+@anchor{getting script-configuration}@anchor{5c}
@subsection Script Configuration
@@ -869,7 +872,7 @@ __________________________________________________________________
@node Compiling in Bash,Compiling in PowerShell,Script Configuration,Precompile Vendor Primitives
-@anchor{getting compiling-in-bash}@anchor{59}
+@anchor{getting compiling-in-bash}@anchor{5d}
@subsection Compiling in Bash
@@ -897,19 +900,19 @@ Follow these steps:
@itemize *
@item
-@strong{Step 0 - Configure the scripts (optional)}
+`Step 0 - Configure the scripts (optional)'
See the next section for how to configure @code{config.sh}.
@item
-@strong{Step 1 - Browse to your simulation working directory}
+`Step 1 - Browse to your simulation working directory'
@example
$ cd <MySimulationFolder>
@end example
@item
-@strong{Step 2 - Start the compilation script(s)}
+`Step 2 - Start the compilation script(s)'
Choose one or multiple of the following scripts to run the pre-compilation
process.
@@ -928,7 +931,7 @@ In most cases GHDL is installed into @code{/usr/local/}. The scripts are
installed into the @code{lib\ghdl\vendors} directory.
@item
-@strong{Step 3 - Viewing the result}
+`Step 3 - Viewing the result'
This creates vendor directories in your current working directory and
compiles the vendor files into them.
@@ -951,7 +954,7 @@ __________________________________________________________________
@node Compiling in PowerShell,Configuration Files,Compiling in Bash,Precompile Vendor Primitives
-@anchor{getting compiling-in-powershell}@anchor{5a}
+@anchor{getting compiling-in-powershell}@anchor{5e}
@subsection Compiling in PowerShell
@@ -970,19 +973,19 @@ Follow these steps:
@itemize *
@item
-@strong{Step 0 - Configure the scripts (optional)}
+`Step 0 - Configure the scripts (optional)'
See the next section for how to configure @code{config.psm1}.
@item
-@strong{Step 1 - Browse to your simulation working directory}
+`Step 1 - Browse to your simulation working directory'
@example
PS> cd <MySimulationFolder>
@end example
@item
-@strong{Step 2 - Start the compilation script(s)}
+`Step 2 - Start the compilation script(s)'
Choose one or multiple of the following scripts to run the pre-compilation
process.
@@ -1002,7 +1005,7 @@ PS> <GHDL>\lib\ghdl\vendors\compile-xilinx-vivado.ps1 -All
The scripts are installed into the @code{lib\ghdl\vendors} directory.
@item
-@strong{Step 3 - Viewing the result}
+`Step 3 - Viewing the result'
This creates vendor directories in your current working directory and
compiles the vendor files into them.
@@ -1028,7 +1031,7 @@ __________________________________________________________________
@node Configuration Files,Additional Script Parameters,Compiling in PowerShell,Precompile Vendor Primitives
-@anchor{getting configuration-files}@anchor{5b}
+@anchor{getting configuration-files}@anchor{5f}
@subsection Configuration Files
@@ -1039,7 +1042,7 @@ __________________________________________________________________
@end menu
@node For Bash config sh,For PowerShell config psm1,,Configuration Files
-@anchor{getting for-bash-config-sh}@anchor{5c}
+@anchor{getting for-bash-config-sh}@anchor{60}
@subsubsection For Bash: @cite{config.sh}
@@ -1061,7 +1064,7 @@ InstallationDirectory[XilinxVivado]="/opt/Xilinx/Vivado/2020.2"
@end example
@node For PowerShell config psm1,,For Bash config sh,Configuration Files
-@anchor{getting for-powershell-config-psm1}@anchor{5d}
+@anchor{getting for-powershell-config-psm1}@anchor{61}
@subsubsection For PowerShell: @cite{config.psm1}
@@ -1084,7 +1087,7 @@ $InstallationDirectory = @@@{
@end example
@node Additional Script Parameters,,Configuration Files,Precompile Vendor Primitives
-@anchor{getting additional-script-parameters}@anchor{5e}
+@anchor{getting additional-script-parameters}@anchor{62}
@subsection Additional Script Parameters
@@ -1099,7 +1102,7 @@ controlled. Some scripts may offer vendor specific options.
@end menu
@node For Bash Scripts,For PowerShell Scripts,,Additional Script Parameters
-@anchor{getting for-bash-scripts}@anchor{5f}
+@anchor{getting for-bash-scripts}@anchor{63}
@subsubsection For Bash Scripts:
@@ -1201,7 +1204,7 @@ Selectable libraries:
@end itemize
@node For PowerShell Scripts,,For Bash Scripts,Additional Script Parameters
-@anchor{getting for-powershell-scripts}@anchor{60}
+@anchor{getting for-powershell-scripts}@anchor{64}
@subsubsection For PowerShell Scripts:
@@ -1315,30 +1318,30 @@ __________________________________________________________________
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Contributing,Copyrights | Licenses,Getting | Installing,Top
-@anchor{contribute doc}@anchor{61}@anchor{contribute contributing}@anchor{62}@anchor{contribute intro-contributing}@anchor{63}
+@anchor{contribute doc}@anchor{65}@anchor{contribute contributing}@anchor{66}@anchor{contribute intro-contributing}@anchor{67}
@chapter Contributing
As in many other free and open source projects, there are many areas requiring different skills where contributions to GHDL
are welcome. The first step might be to use GHDL and explore its possibilities in your own project. If you are new to VHDL,
-see the @ref{64,,Simulation} for an introduction. Furthermore, we encourage you to read @ref{65,,Invoking GHDL},
-where the most commonly used options are explained. You can also check the complete @ref{66,,Additional Command Reference}.
+see the @ref{68,,Simulation} for an introduction. Furthermore, we encourage you to read @ref{69,,Invoking GHDL},
+where the most commonly used options are explained. You can also check the complete @ref{6a,,Additional Command Reference}.
If you are more familiar with GHDL, you might start asking yourself how it works internally. If so, you might find
-@ref{67,,Implementation of VHDL} and @ref{68,,Implementation of VITAL} interesting.
+@ref{6b,,Implementation of VHDL} and @ref{6c,,Implementation of VITAL} interesting.
While using GHDL, you might find flaws, such as bugs, missing features, typos in the documentation, or topics which still are
not covered. In order to improve GHDL, we welcome bug reports, suggestions, and contributions for any aspect of
@@ -1383,7 +1386,7 @@ How To Ask Questions The Smart Way@footnote{www.catb.org/~esr/faqs/smart-questio
@end menu
@node Reporting bugs,Requesting enhancements,,Contributing
-@anchor{contribute id1}@anchor{69}@anchor{contribute reporting-bugs}@anchor{6a}
+@anchor{contribute id1}@anchor{6d}@anchor{contribute reporting-bugs}@anchor{6e}
@section Reporting bugs
@@ -1406,7 +1409,7 @@ If a compiler message is not clear enough, please tell us. The error messages ca
enough experience with them.
@item
-It is suggested to test synthesis features with @ref{6b,,--synth}, before processing the design with @ref{6c,,Yosys plugin}.
+It is suggested to test synthesis features with @ref{6f,,--synth}, before processing the design with @ref{70,,Yosys plugin}.
@end itemize
Please, report issues through
@@ -1465,13 +1468,13 @@ Anything else that you think would be helpful.
If you don’t know the LRM, be aware that an issue claimed as a bug report may be rejected because there is no bug
according to it. GHDL aims at implementing VHDL as defined in IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}.
However, some other tools allow constructs which do not fully follow the standard revisions. Therefore, comparisons
-with other VHDL variants is not a solid argument. Some of them are supported by GHDL (see @ref{6d,,IEEE library pitfalls}),
+with other VHDL variants is not a solid argument. Some of them are supported by GHDL (see @ref{71,,IEEE library pitfalls}),
but any such enhancement will have very low priority.
@end quotation
@end cartouche
@node Requesting enhancements,Improving the documentation,Reporting bugs,Contributing
-@anchor{contribute id2}@anchor{6e}@anchor{contribute requesting-enhancements}@anchor{6f}
+@anchor{contribute id2}@anchor{72}@anchor{contribute requesting-enhancements}@anchor{73}
@section Requesting enhancements
@@ -1486,7 +1489,7 @@ to report any, so you can track the status and implementation. Depending on the
you may want to chat on Gitter@footnote{https://gitter.im/ghdl/ghdl1}, for polishing it before opening an issue.
@node Improving the documentation,Fork modify and pull-request,Requesting enhancements,Contributing
-@anchor{contribute improving-the-documentation}@anchor{70}
+@anchor{contribute improving-the-documentation}@anchor{74}
@section Improving the documentation
@@ -1496,10 +1499,10 @@ please tell us. English is not our mother tongue, so this documentation may not
Likewise, rewriting part of the documentation or missing content (such as examples) is a good way to improve it. Since
it is built automatically from @cite{reStructuredText}, you can fork, modify and push. The documentation will be shown
in the GitHub Pages site of your fork: @code{https://USERNAME.github.io/ghdl}. When you are done, request the maintainers
-to pull your copy. See @ref{71,,Fork@comma{} modify and pull-request}.
+to pull your copy. See @ref{75,,Fork@comma{} modify and pull-request}.
@node Fork modify and pull-request,Related interesting projects,Improving the documentation,Contributing
-@anchor{contribute fork-modify-and-pull-request}@anchor{72}@anchor{contribute pull-request}@anchor{71}
+@anchor{contribute fork-modify-and-pull-request}@anchor{76}@anchor{contribute pull-request}@anchor{75}
@section Fork, modify and pull-request
@@ -1522,7 +1525,7 @@ about to start might conflict with any other, please
to coordinate.
@item
-See section @ref{73,,Directory structure} to faster find the location of the sources you need to modify, and/or to know
+See section @ref{77,,Directory structure} to faster find the location of the sources you need to modify, and/or to know
where to place new ones.
@end itemize
@end quotation
@@ -1570,17 +1573,17 @@ in the commit message can have side effects. If you closed any issue unexpectedl
closed) so that maintainers can check it.
@item
-It is recommended to read @ref{74,,Coding Style} before contributing modifications to Ada sources.
+It is recommended to read @ref{78,,Coding Style} before contributing modifications to Ada sources.
@end itemize
@end quotation
@end cartouche
@node Related interesting projects,,Fork modify and pull-request,Contributing
-@anchor{contribute related-interesting-projects}@anchor{75}
+@anchor{contribute related-interesting-projects}@anchor{79}
@section Related interesting projects
-If you have an interesting project, please send us feedback or get listed on our @ref{4b,,Who uses GHDL?} page.
+If you have an interesting project, please send us feedback or get listed on our @ref{4f,,Who uses GHDL?} page.
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@@ -1591,19 +1594,19 @@ If you have an interesting project, please send us feedback or get listed on our
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Copyrights | Licenses,Quick Start Guide,Contributing,Top
-@anchor{licenses doc}@anchor{76}@anchor{licenses copyrights-licenses}@anchor{77}@anchor{licenses intro-copyrights}@anchor{78}
+@anchor{licenses doc}@anchor{7a}@anchor{licenses copyrights-licenses}@anchor{7b}@anchor{licenses intro-copyrights}@anchor{7c}
@chapter Copyrights | Licenses
@@ -1611,16 +1614,16 @@ If you have an interesting project, please send us feedback or get listed on our
@itemize -
@item
-The GHDL front-end package @code{std.textio}, and the runtime library @code{GRT} are given under @ref{79,,GNU GPLv2}.
+The GHDL front-end package @code{std.textio}, and the runtime library @code{GRT} are given under @ref{7d,,GNU GPLv2}.
@item
-The documentation is given under @ref{7a,,CC-BY-SA}.
+The documentation is given under @ref{7e,,CC-BY-SA}.
@end itemize
@cartouche
@quotation Warning
As a consequence of the runtime copyright, you are not allowed to distribute an executable produced by GHDL without allowing
-access to the VHDL sources. Please, send a comment (@ref{6f,,Requesting enhancements}) if you don’t like this policy.
+access to the VHDL sources. Please, send a comment (@ref{73,,Requesting enhancements}) if you don’t like this policy.
@end quotation
@end cartouche
@@ -1668,40 +1671,40 @@ copyrighted by Synopsys@comma{} Inc.@footnote{https://www.synopsys.com/}
@end menu
@node GNU GPLv2,CC-BY-SA,,Copyrights | Licenses
-@anchor{licenses gnu-gplv2}@anchor{7b}@anchor{licenses lic-gplv2}@anchor{79}
+@anchor{licenses gnu-gplv2}@anchor{7f}@anchor{licenses lic-gplv2}@anchor{7d}
@section GNU GPLv2
-GHDL is copyright © 2002 - 2022 Tristan Gingold.
+GHDL is copyright © 2002 - 2023 Tristan Gingold.
This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation; either version 2 of the License, or (at your option) any later version.
-This program is distributed in the hope that it will be useful, but @strong{WITHOUT ANY WARRANTY}; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License@footnote{https://www.gnu.org/licenses/old-licenses/gpl-2.0.html} for more details.
+This program is distributed in the hope that it will be useful, but `WITHOUT ANY WARRANTY'; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License@footnote{https://www.gnu.org/licenses/old-licenses/gpl-2.0.html} for more details.
@node CC-BY-SA,List of Contributors,GNU GPLv2,Copyrights | Licenses
-@anchor{licenses cc-by-sa}@anchor{7c}@anchor{licenses lic-cc-by-sa}@anchor{7a}
+@anchor{licenses cc-by-sa}@anchor{80}@anchor{licenses lic-cc-by-sa}@anchor{7e}
@section CC-BY-SA
-This is a free documentation; you can redistribute it and/or modify it under the terms of the Creative Commons Attribution-ShareAlike 4.0@footnote{https://creativecommons.org/licenses/by-sa/4.0/} license. You are free to @strong{share} (copy and redistribute the material in any medium or format) and/or @strong{adapt} (remix, transform, and build upon the material for any purpose, even commercially). We cannot revoke these freedoms as long as you follow the these terms:
+This is a free documentation; you can redistribute it and/or modify it under the terms of the Creative Commons Attribution-ShareAlike 4.0@footnote{https://creativecommons.org/licenses/by-sa/4.0/} license. You are free to `share' (copy and redistribute the material in any medium or format) and/or `adapt' (remix, transform, and build upon the material for any purpose, even commercially). We cannot revoke these freedoms as long as you follow the these terms:
@itemize -
@item
-@strong{Attribution}: you must provide the name of the creator and attribution parties (more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Detailed_attribution_comparison_chart}), a copyright notice, a license notice, a disclaimer notice, a link to the material, a link to the license and indicate if changes were made (see marking guide@footnote{https://wiki.creativecommons.org/wiki/Best_practices_for_attribution#This_is_a_good_attribution_for_material_you_modified_slightly} and more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Modifications_and_adaptations_must_be_marked_as_such}). You may do so in any reasonable manner, but not in any way that suggests we endorse you or your use.
+`Attribution': you must provide the name of the creator and attribution parties (more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Detailed_attribution_comparison_chart}), a copyright notice, a license notice, a disclaimer notice, a link to the material, a link to the license and indicate if changes were made (see marking guide@footnote{https://wiki.creativecommons.org/wiki/Best_practices_for_attribution#This_is_a_good_attribution_for_material_you_modified_slightly} and more info@footnote{https://wiki.creativecommons.org/wiki/License_Versions#Modifications_and_adaptations_must_be_marked_as_such}). You may do so in any reasonable manner, but not in any way that suggests we endorse you or your use.
@item
-@strong{ShareAlike}: if you remix, transform, or build upon the material, you must distribute your contributions under the same license as the original.
+`ShareAlike': if you remix, transform, or build upon the material, you must distribute your contributions under the same license as the original.
@item
-@strong{No additional restrictions}: you may not apply legal terms or technological measures that legally restrict others from doing anything the license permits.
+`No additional restrictions': you may not apply legal terms or technological measures that legally restrict others from doing anything the license permits.
@end itemize
See CC-BY-SA-4.0 Legal Code@footnote{https://creativecommons.org/licenses/by-sa/4.0/legalcode.txt} for more details.
@node List of Contributors,,CC-BY-SA,Copyrights | Licenses
-@anchor{licenses lic-contributors}@anchor{7d}@anchor{licenses list-of-contributors}@anchor{7e}
+@anchor{licenses lic-contributors}@anchor{81}@anchor{licenses list-of-contributors}@anchor{82}
@section List of Contributors
@@ -1757,7 +1760,7 @@ Maintainer
@tab
-@strong{Sole author of GHDL as a whole}
+`Sole author of GHDL as a whole'
@item
@@ -1840,19 +1843,19 @@ __________________________________________________________________
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Quick Start Guide,Invoking GHDL,Copyrights | Licenses,Top
-@anchor{quick_start/index doc}@anchor{7f}@anchor{quick_start/index quick-start-guide}@anchor{80}@anchor{quick_start/index using-quickstart}@anchor{81}
+@anchor{quick_start/index doc}@anchor{83}@anchor{quick_start/index quick-start-guide}@anchor{84}@anchor{quick_start/index using-quickstart}@anchor{85}
@chapter Quick Start Guide
@@ -1870,14 +1873,14 @@ about both @cite{GHDL} and @cite{VHDL}.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@@ -1888,24 +1891,24 @@ about both @cite{GHDL} and @cite{VHDL}.
@end menu
@node Simulation,Python Interfaces,,Quick Start Guide
-@anchor{quick_start/simulation/index doc}@anchor{82}@anchor{quick_start/simulation/index simulation}@anchor{83}@anchor{quick_start/simulation/index using-quickstart-simulation}@anchor{64}
+@anchor{quick_start/simulation/index doc}@anchor{86}@anchor{quick_start/simulation/index simulation}@anchor{87}@anchor{quick_start/simulation/index using-quickstart-simulation}@anchor{68}
@section Simulation
-As explained in @ref{47,,What is GHDL?}, @cite{GHDL} is a compiler which translates @cite{VHDL} files to machine code.
+As explained in @ref{4b,,What is GHDL?}, @cite{GHDL} is a compiler which translates @cite{VHDL} files to machine code.
Hence, the regular workflow is composed of three steps:
@itemize *
@item
-@ref{84,,Analysis [-a]}: convert design units (@cite{VHDL} sources) to an internal representation.
+@ref{88,,Analysis [-a]}: convert design units (@cite{VHDL} sources) to an internal representation.
@item
-@ref{85,,Elaboration [-e]}: generate executable machine code for a target module (top-level entity).
+@ref{89,,Elaboration [-e]}: generate executable machine code for a target module (top-level entity).
@item
-@ref{86,,Run [-r]}: execute the design to test the behaviour, generate output/waveforms, etc.
+@ref{8a,,Run [-r]}: execute the design to test the behaviour, generate output/waveforms, etc.
@end itemize
The following tips might be useful:
@@ -1914,26 +1917,26 @@ The following tips might be useful:
@itemize *
@item
-Don’t forget to select the version of the VHDL standard you want to use (see @ref{87,,VHDL standards}).
-The default is @ref{88,,--std=93c}.
-Use @ref{88,,--std=08} for VHDL-2008 (albeit not fully implemented).
+Don’t forget to select the version of the VHDL standard you want to use (see @ref{8b,,VHDL standards}).
+The default is @ref{8c,,--std=93c}.
+Use @ref{8c,,--std=08} for VHDL-2008 (albeit not fully implemented).
@itemize *
@item
-Use @ref{89,,--ieee=synopsys} if your design depends on a non-standard implementation of the IEEE library.
+Use @ref{8d,,--ieee=synopsys} if your design depends on a non-standard implementation of the IEEE library.
@item
-Use @ref{8a,,-fexplicit} and @ref{8b,,-frelaxed-rules} if needed.
+Use @ref{8e,,-fexplicit} and @ref{8f,,-frelaxed-rules} if needed.
For instance, if you would like to use VHDL 2008 and also use shared variables with an ordinary type (deprecated in
VHDL 2000), you can use @code{--std=08 -frelaxed-rules}.
@end itemize
@item
-Use @ref{8c,,--work=LIB_NAME} to analyze files into the @code{LIB_NAME} library.
+Use @ref{90,,--work=LIB_NAME} to analyze files into the @code{LIB_NAME} library.
To use files analyzed to a different directory, give the path to the @code{LIB_NAME} library using
-@ref{8d,,-P/path/to/name/directory/}.
+@ref{91,,-P/path/to/name/directory/}.
@item
Use the same options for analysis and elaboration.
@@ -1957,14 +1960,14 @@ Different examples are provided, in the hope of helping understand the different
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@@ -1977,7 +1980,7 @@ Different examples are provided, in the hope of helping understand the different
@end menu
@node Hello world program,Heartbeat module,,Simulation
-@anchor{quick_start/simulation/hello/index doc}@anchor{8e}@anchor{quick_start/simulation/hello/index hello-world-program}@anchor{8f}@anchor{quick_start/simulation/hello/index quickstart-hello}@anchor{90}
+@anchor{quick_start/simulation/hello/index doc}@anchor{92}@anchor{quick_start/simulation/hello/index hello-world-program}@anchor{93}@anchor{quick_start/simulation/hello/index quickstart-hello}@anchor{94}
@subsection @cite{Hello world} program
@@ -2030,7 +2033,7 @@ with legacy DOS systems, other users prefer @code{.vhd}.
Unless you use especial characters, either @cite{UTF-8} or @cite{ISO-8859-1} encodings can be used.
However, if you do, the latter should be used.
The standard defines ASCII (7-bit encoding) or ISO Latin-1 (ISO-8859-1) as default.
-However, GHDL has a relaxing option, @ref{91,,--mb-comments} (multi byte), to allow UTF-8 or other encodings in
+However, GHDL has a relaxing option, @ref{95,,--mb-comments} (multi byte), to allow UTF-8 or other encodings in
comments.
@end itemize
@end quotation
@@ -2040,17 +2043,17 @@ comments.
@itemize *
@item
-First, you have to compile the file; this is called @ref{84,,analysis} of a design file in @cite{VHDL}
+First, you have to compile the file; this is called @ref{88,,analysis} of a design file in @cite{VHDL}
terms. Run @code{ghdl -a hello.vhdl} in the @cite{shell}.
This command creates or updates a file @code{work-obj93.cf}, which describes the library @code{work}.
@item
Then, run @code{ghdl -e hello_world} in the @cite{shell}.
-Command @ref{92,,-e} means @ref{85,,elaborate}, which is used to build a design, with the
+Command @ref{96,,-e} means @ref{89,,elaborate}, which is used to build a design, with the
@code{hello_world} entity at the top of the hierarchy.
@item
-Last, you can directly launch the simulation @ref{86,,running} @code{ghdl -r hello_world} in the @cite{shell}.
+Last, you can directly launch the simulation @ref{8a,,running} @code{ghdl -r hello_world} in the @cite{shell}.
The result of the simulation will be shown on screen:
@end itemize
@@ -2066,25 +2069,25 @@ If a GCC/LLVM variant of @cite{GHDL} is used:
@itemize *
@item
-@ref{84,,Analysis} generates a file, @code{hello.o}, which is the object file corresponding to
-your @cite{VHDL} program. This is not created with @ref{51,,mcode}.
+@ref{88,,Analysis} generates a file, @code{hello.o}, which is the object file corresponding to
+your @cite{VHDL} program. This is not created with @ref{55,,mcode}.
These kind of object files can be compiled into foreign programs (see Linking GHDL object files to Ada/C@footnote{https://ghdl.github.io/ghdl-cosim/vhpidirect/linking.html#linking-with-ada}).
@item
-The @ref{85,,elaboration} step is mandatory after running the analysis and prior to launching the
+The @ref{89,,elaboration} step is mandatory after running the analysis and prior to launching the
simulation.
This will generate an executable binary named @code{hello_world}.
@item
-As a result, @ref{93,,-r} is just a passthrough to the binary generated in the @cite{elaboration}.
-Therefore, the executable can be run directly: @code{./hello_world}. See @ref{93,,-r} for more informartion.
+As a result, @ref{97,,-r} is just a passthrough to the binary generated in the @cite{elaboration}.
+Therefore, the executable can be run directly: @code{./hello_world}. See @ref{97,,-r} for more informartion.
@end itemize
@end quotation
@end cartouche
@cartouche
@quotation Hint
-@ref{92,,-e} can be bypassed with @ref{51,,mcode}, since @ref{93,,-r} actually elaborates the design and saves
+@ref{96,,-e} can be bypassed with @ref{55,,mcode}, since @ref{97,,-r} actually elaborates the design and saves
it on memory before running the simulation.
But you can still use it to check for some elaboration problems.
@end quotation
@@ -2099,23 +2102,23 @@ But you can still use it to check for some elaboration problems.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Heartbeat module,Full adder module and testbench,Hello world program,Simulation
-@anchor{quick_start/simulation/heartbeat/index doc}@anchor{94}@anchor{quick_start/simulation/heartbeat/index heartbeat-module}@anchor{95}@anchor{quick_start/simulation/heartbeat/index quickstart-heartbeat}@anchor{96}
+@anchor{quick_start/simulation/heartbeat/index doc}@anchor{98}@anchor{quick_start/simulation/heartbeat/index heartbeat-module}@anchor{99}@anchor{quick_start/simulation/heartbeat/index quickstart-heartbeat}@anchor{9a}
@subsection @cite{Heartbeat} module
-Although @ref{90,,Hello world} illustrates that @cite{VHDL} is supported as a general purpose language, the
+Although @ref{94,,Hello world} illustrates that @cite{VHDL} is supported as a general purpose language, the
main use case of @cite{GHDL} is to simulate hardware descriptions.
The following block, which is saved in a file named @code{heartbeat.vhdl}, is an example of how to generate a 100 MHz
clock signal with non-synthesisable VHDL:
@@ -2143,7 +2146,7 @@ begin
end behaviour;
@end example
-It can be @ref{84,,analysed}, @ref{85,,elaborated} and @ref{86,,run}, as
+It can be @ref{88,,analysed}, @ref{89,,elaborated} and @ref{8a,,run}, as
you already know:
@example
@@ -2155,11 +2158,11 @@ ghdl -r heartbeat
However, execution of the design does not terminate. At the same time, no output is shown on screen. This is because,
traditionally, hardware designs are continuously running devices which do not have a screen where to print. In this
context, inspection and verification of the behaviour is done through waveforms@footnote{https://en.wikipedia.org/wiki/Waveform_viewer},
-which is supported by @cite{GHDL} (see @ref{97,,Export waveforms}). You can use either @ref{98,,--wave}, @ref{99,,--vcd},
-@ref{9a,,--vcdgz} or @ref{9b,,--fst} to save the signals of the simulation to a file. Then, terminate the execution
+which is supported by @cite{GHDL} (see @ref{9b,,Export waveforms}). You can use either @ref{9c,,--wave}, @ref{9d,,--vcd},
+@ref{9e,,--vcdgz} or @ref{9f,,--fst} to save the signals of the simulation to a file. Then, terminate the execution
(@code{C-c}) and you can inspect the wave with a viewer, such as GtkWave@footnote{http://gtkwave.sourceforge.net/}. As
-explained in the manual@footnote{http://gtkwave.sourceforge.net/gtkwave.pdf}, GtkWave @emph{‘relies on a post-mortem approach
-through the use of dumpfiles’}. Therefore, you should first simulate your design and dump a waveform file, say GHW:
+explained in the manual@footnote{http://gtkwave.sourceforge.net/gtkwave.pdf}, GtkWave `‘relies on a post-mortem approach
+through the use of dumpfiles’'. Therefore, you should first simulate your design and dump a waveform file, say GHW:
@example
ghdl -r heartbeat --wave=wave.ghw
@@ -2172,7 +2175,7 @@ gtkwave wave.ghw
@end example
Of course, manually terminating the simulation is for illustration purposes only.
-In @ref{9c,,Full adder} and @ref{9d,,Working with non-trivial designs}, you will see how to write a testbench to terminate
+In @ref{a0,,Full adder} and @ref{a1,,Working with non-trivial designs}, you will see how to write a testbench to terminate
the simulation programmatically.
@c # Load pre-defined aliases and graphical characters like © from docutils
@@ -2184,23 +2187,23 @@ the simulation programmatically.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Full adder module and testbench,Working with non-trivial designs,Heartbeat module,Simulation
-@anchor{quick_start/simulation/adder/index doc}@anchor{9e}@anchor{quick_start/simulation/adder/index full-adder-module-and-testbench}@anchor{9f}@anchor{quick_start/simulation/adder/index quickstart-adder}@anchor{9c}
+@anchor{quick_start/simulation/adder/index doc}@anchor{a2}@anchor{quick_start/simulation/adder/index full-adder-module-and-testbench}@anchor{a3}@anchor{quick_start/simulation/adder/index quickstart-adder}@anchor{a0}
@subsection @cite{Full adder} module and testbench
-Unlike @ref{96,,Heartbeat}, the target hardware design in this example is written using the
+Unlike @ref{9a,,Heartbeat}, the target hardware design in this example is written using the
synthesisable subset of @cite{VHDL}. It is a full adder@footnote{https://en.wikipedia.org/wiki/Adder_(electronics)#Full_adder} described in a file
named @code{adder.vhdl}:
@@ -2221,9 +2224,9 @@ begin
end rtl;
@end example
-You can @ref{84,,analyse} this design file, @code{ghdl -a adder.vhdl}, and try to execute the @cite{adder}
+You can @ref{88,,analyse} this design file, @code{ghdl -a adder.vhdl}, and try to execute the @cite{adder}
design. But this is useless, since nothing externally visible will happen. In order to check this full adder, a
-@emph{testbench} has to be run. The @emph{testbench} is a description of how to generate inputs and how to check the
+`testbench' has to be run. The `testbench' is a description of how to generate inputs and how to check the
outputs of the Unit Under Test (UUT). This one is very simple, since the adder is also simple: it checks exhaustively
all inputs. Note that only the behaviour is tested, timing constraints are not checked. A file named
@code{adder_tb.vhdl} contains the testbench for the adder:
@@ -2292,20 +2295,20 @@ As usual, you should analyze the file, @code{ghdl -a adder_tb.vhdl}.
@cartouche
@quotation Hint
-Then, if required, @ref{85,,elaborate} the testbench: @code{ghdl -e adder_tb}. You do not need to
+Then, if required, @ref{89,,elaborate} the testbench: @code{ghdl -e adder_tb}. You do not need to
specify which object files are required, since @cite{GHDL} knows them and automatically adds them.
@end quotation
@end cartouche
-Now, it is time to @ref{86,,run} the testbench, @code{ghdl -r adder_tb}, and check the result on screen:
+Now, it is time to @ref{8a,,run} the testbench, @code{ghdl -r adder_tb}, and check the result on screen:
@example
adder_tb.vhdl:52:7:(assertion note): end of test
@end example
-If your design is rather complex, you’d like to inspect signals as explained in @ref{96,,Heartbeat}.
+If your design is rather complex, you’d like to inspect signals as explained in @ref{9a,,Heartbeat}.
-See section @ref{a0,,Simulation options}, for more details on other runtime options.
+See section @ref{a4,,Simulation options}, for more details on other runtime options.
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@@ -2316,19 +2319,19 @@ See section @ref{a0,,Simulation options}, for more details on other runtime opti
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Working with non-trivial designs,,Full adder module and testbench,Simulation
-@anchor{quick_start/simulation/DLXModelSuite doc}@anchor{a1}@anchor{quick_start/simulation/DLXModelSuite quickstart-dlx}@anchor{9d}@anchor{quick_start/simulation/DLXModelSuite working-with-non-trivial-designs}@anchor{a2}
+@anchor{quick_start/simulation/DLXModelSuite doc}@anchor{a5}@anchor{quick_start/simulation/DLXModelSuite quickstart-dlx}@anchor{a1}@anchor{quick_start/simulation/DLXModelSuite working-with-non-trivial-designs}@anchor{a6}
@subsection Working with non-trivial designs
@@ -2348,7 +2351,7 @@ First, untar the sources: @code{tar zxvf dlx.tar.gz}.
@quotation
In order not to pollute the sources with the artifacts (@cite{WORK} library), it is a good idea to create a
-@code{work/} subdirectory. To any GHDL commands, we will add the @ref{a3,,--workdir=work} option, so
+@code{work/} subdirectory. To any GHDL commands, we will add the @ref{a7,,--workdir=work} option, so
that all files generated by the compiler (except the executable) will be placed in this directory.
@end quotation
@@ -2364,11 +2367,11 @@ $ mkdir work
@item
Then, we will run the @code{dlx_test_behaviour} design. We need to analyse all the design units for the design
-hierarchy, in the correct order. GHDL provides an easy way to do this, by @ref{a4,,importing} the
+hierarchy, in the correct order. GHDL provides an easy way to do this, by @ref{a8,,importing} the
sources: @code{ghdl -i --workdir=work *.vhdl}.
@item
-GHDL knows all the design units of the DLX, but none of them has been analysed. Run the @ref{a5,,make}
+GHDL knows all the design units of the DLX, but none of them has been analysed. Run the @ref{a9,,make}
command, @code{ghdl -m --workdir=work dlx_test_behaviour}, which analyses and elaborates a design. This creates many
files in the @code{work/} directory, and (GCC/LLVM only) the @code{dlx_test_behaviour} executable in the current
directory.
@@ -2385,7 +2388,7 @@ in the DLX memory. Just take one sample: @code{cp test_loop.out dlx.out}.
@itemize *
@item
-Now, you can @ref{86,,run} the test suite: @code{ghdl -r --workdir=work dlx_test_behaviour}. The test bench
+Now, you can @ref{8a,,run} the test suite: @code{ghdl -r --workdir=work dlx_test_behaviour}. The test bench
monitors the bus and displays each executed instruction. It finishes with an assertion of severity level note:
@example
@@ -2397,7 +2400,7 @@ dlx-behaviour.vhdl:395:11:(assertion note): TRAP instruction
Last, since the clock is still running, you have to manually stop the program with the @code{C-c} key sequence. This
behavior prevents you from running the testbench in batch mode. However, you may force the simulator to stop when an
assertion above or equal a certain severity level occurs. To do so, call run with this option instead:
-@code{ghdl -r --workdir=work dlx_test_behaviour --assert-level=note`}. With @ref{a6,,--assert-level}, the program stops
+@code{ghdl -r --workdir=work dlx_test_behaviour --assert-level=note`}. With @ref{aa,,--assert-level}, the program stops
just after the previous message:
@example
@@ -2415,11 +2418,11 @@ If you want to make room on your hard drive, you can either:
@itemize *
@item
-@ref{a7,,Clean} the design library with @code{ghdl --clean --workdir=work}. This removes the executable
+@ref{ab,,Clean} the design library with @code{ghdl --clean --workdir=work}. This removes the executable
and all the object files. If you want to rebuild the design at this point, just do the make command as shown above.
@item
-@ref{a8,,Remove} the design library with @code{ghdl --remove --workdir=work}. This removes the
+@ref{ac,,Remove} the design library with @code{ghdl --remove --workdir=work}. This removes the
executable, all the object files and the library file. If you want to rebuild the design, you have to import the
sources again and make the design.
@@ -2431,7 +2434,7 @@ Remove the @code{work/} directory: @code{rm -rf work}. Only the executable is ke
@cartouche
@quotation Warning
-Sometimes, a design does not fully follow the VHDL standards. For example it might use the badly engineered @code{std_logic_unsigned} package. GHDL supports this VHDL dialect through some options: @ref{89,,--ieee=synopsys}, @ref{8a,,-fexplicit}, etc. See section @ref{6d,,IEEE library pitfalls}, for more details.
+Sometimes, a design does not fully follow the VHDL standards. For example it might use the badly engineered @code{std_logic_unsigned} package. GHDL supports this VHDL dialect through some options: @ref{8d,,--ieee=synopsys}, @ref{8e,,-fexplicit}, etc. See section @ref{71,,IEEE library pitfalls}, for more details.
@end quotation
@end cartouche
@@ -2450,19 +2453,19 @@ See Learning VHDL with GHDL@footnote{https://github.com/ghdl/ghdl/issues/1291}.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Python Interfaces,,Simulation,Quick Start Guide
-@anchor{quick_start/python/index doc}@anchor{a9}@anchor{quick_start/python/index python-interfaces}@anchor{aa}@anchor{quick_start/python/index using-quickstart-python}@anchor{ab}
+@anchor{quick_start/python/index doc}@anchor{ad}@anchor{quick_start/python/index python-interfaces}@anchor{ae}@anchor{quick_start/python/index using-quickstart-python}@anchor{af}
@section Python Interfaces
@@ -2482,7 +2485,7 @@ pip install git+https://github.com/ghdl/ghdl.git@@$(ghdl version hash)
@end menu
@node Language Server,,,Python Interfaces
-@anchor{quick_start/python/index cmdref}@anchor{ac}@anchor{quick_start/python/index language-server}@anchor{ad}
+@anchor{quick_start/python/index cmdref}@anchor{b0}@anchor{quick_start/python/index language-server}@anchor{b1}
@subsection Language Server
@@ -2498,7 +2501,7 @@ When installed through @code{pip}, pyGHDL provides executable entrypoints regist
@end menu
@node ghdl-ls,,,Language Server
-@anchor{quick_start/python/index cmdref-ghdlls}@anchor{ae}@anchor{quick_start/python/index ghdl-ls}@anchor{af}
+@anchor{quick_start/python/index cmdref-ghdlls}@anchor{b2}@anchor{quick_start/python/index ghdl-ls}@anchor{b3}
@subsubsection ghdl-ls
@@ -2515,13 +2518,13 @@ usage: ghdl-ls [-h] [--version] [--verbose] [--log-file LOG_FILE]
@end menu
@node optional arguments,,,ghdl-ls
-@anchor{quick_start/python/index optional-arguments}@anchor{b0}
+@anchor{quick_start/python/index optional-arguments}@anchor{b4}
@subsubsection optional arguments
@geindex ghdl-ls command line option; -h
@geindex ghdl-ls command line option; --help
-@anchor{quick_start/python/index cmdoption-ghdl-ls-h}@anchor{b1}@anchor{quick_start/python/index cmdoption-ghdl-ls-help}@anchor{b2}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-h}@anchor{b5}@anchor{quick_start/python/index cmdoption-ghdl-ls-help}@anchor{b6}
@deffn {Option} @w{-}h, @w{-}@w{-}help
show this help message and exit
@@ -2529,7 +2532,7 @@ show this help message and exit
@geindex ghdl-ls command line option; --version
@geindex ghdl-ls command line option; -V
-@anchor{quick_start/python/index cmdoption-ghdl-ls-version}@anchor{b3}@anchor{quick_start/python/index cmdoption-ghdl-ls-V}@anchor{b4}@anchor{quick_start/python/index cmdoption-ghdl-ls-v}@anchor{b5}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-version}@anchor{b7}@anchor{quick_start/python/index cmdoption-ghdl-ls-V}@anchor{b8}@anchor{quick_start/python/index cmdoption-ghdl-ls-v}@anchor{b9}
@deffn {Option} @w{-}@w{-}version, @w{-}V
show program’s version number and exit
@@ -2537,21 +2540,21 @@ show program’s version number and exit
@geindex ghdl-ls command line option; --verbose
@geindex ghdl-ls command line option; -v
-@anchor{quick_start/python/index cmdoption-ghdl-ls-verbose}@anchor{b6}@anchor{quick_start/python/index cmdoption-ghdl-ls-0}@anchor{b7}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-verbose}@anchor{ba}@anchor{quick_start/python/index cmdoption-ghdl-ls-0}@anchor{bb}
@deffn {Option} @w{-}@w{-}verbose, @w{-}v
Show debug output
@end deffn
@geindex ghdl-ls command line option; --log-file
-@anchor{quick_start/python/index cmdoption-ghdl-ls-log-file}@anchor{b8}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-log-file}@anchor{bc}
@deffn {Option} @w{-}@w{-}log@w{-}file <log_file>
Redirect logs to the given file instead of stderr
@end deffn
@geindex ghdl-ls command line option; --trace-file
-@anchor{quick_start/python/index cmdoption-ghdl-ls-trace-file}@anchor{b9}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-trace-file}@anchor{bd}
@deffn {Option} @w{-}@w{-}trace@w{-}file <trace_file>
Save RPC data to FILE.in and FILE.out (overrides
@@ -2562,14 +2565,14 @@ Save RPC data to FILE.in and FILE.out (overrides
@geindex ghdl-ls command line option; --input
@geindex ghdl-ls command line option; -i
-@anchor{quick_start/python/index cmdoption-ghdl-ls-input}@anchor{ba}@anchor{quick_start/python/index cmdoption-ghdl-ls-i}@anchor{bb}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-input}@anchor{be}@anchor{quick_start/python/index cmdoption-ghdl-ls-i}@anchor{bf}
@deffn {Option} @w{-}@w{-}input <input>, @w{-}i <input>
Read request from file
@end deffn
@geindex ghdl-ls command line option; --disp-config
-@anchor{quick_start/python/index cmdoption-ghdl-ls-disp-config}@anchor{bc}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-disp-config}@anchor{c0}
@deffn {Option} @w{-}@w{-}disp@w{-}config
Display installation configuration and exit
@@ -2584,19 +2587,19 @@ Display installation configuration and exit
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Invoking GHDL,Simulation runtime,Quick Start Guide,Top
-@anchor{using/InvokingGHDL doc}@anchor{bd}@anchor{using/InvokingGHDL invoking-ghdl}@anchor{be}@anchor{using/InvokingGHDL using-invoking}@anchor{65}
+@anchor{using/InvokingGHDL doc}@anchor{c1}@anchor{using/InvokingGHDL invoking-ghdl}@anchor{c2}@anchor{using/InvokingGHDL using-invoking}@anchor{69}
@chapter Invoking GHDL
@@ -2626,7 +2629,7 @@ An argument that starts with a @code{@@} is considered as a response file; it is
@cartouche
@quotation Hint
Only the most common commands and options are shown here. For the most advanced and experimental features see section
-@ref{66,,Additional Command Reference}.
+@ref{6a,,Additional Command Reference}.
@end quotation
@end cartouche
@@ -2639,20 +2642,20 @@ The location of these files is based on the prefix, which is (in order of priori
@itemize *
@item
-the @ref{bf,,--PREFIX} command line option
+the @ref{c3,,--PREFIX} command line option
@item
the
@geindex GHDL_PREFIX
@geindex environment variable; GHDL_PREFIX
-@ref{c0,,GHDL_PREFIX} environment variable
+@ref{c4,,GHDL_PREFIX} environment variable
@item
a built-in default path. It is a hard-coded path on GNU/Linux, and it corresponds to the value of the
@code{HKLM\Software\Ghdl\Install_Dir} registry entry on Windows.
@end itemize
-You should use the @ref{c1,,--disp-config} command to display and debug installation problems.
+You should use the @ref{c5,,--disp-config} command to display and debug installation problems.
@end quotation
@end cartouche
@@ -2669,7 +2672,7 @@ You should use the @ref{c1,,--disp-config} command to display and debug installa
@end menu
@node Design building commands,Design rebuilding commands,,Invoking GHDL
-@anchor{using/InvokingGHDL design-building-commands}@anchor{c2}
+@anchor{using/InvokingGHDL design-building-commands}@anchor{c6}
@section Design building commands
@@ -2688,12 +2691,12 @@ The most commonly used commands of GHDL are those to analyze and elaborate a des
@end menu
@node Analysis [-a],Elaboration [-e],,Design building commands
-@anchor{using/InvokingGHDL analysis-a}@anchor{c3}@anchor{using/InvokingGHDL analysis-command}@anchor{84}
+@anchor{using/InvokingGHDL analysis-a}@anchor{c7}@anchor{using/InvokingGHDL analysis-command}@anchor{88}
@subsection Analysis [@code{-a}]
@geindex ghdl command line option; -a
-@anchor{using/InvokingGHDL cmdoption-ghdl-a}@anchor{c4}
+@anchor{using/InvokingGHDL cmdoption-ghdl-a}@anchor{c8}
@deffn {Option} @w{-}a <[options...] file...>
@end deffn
@@ -2703,18 +2706,18 @@ No options are allowed after a filename argument.
GHDL analyzes each filename in the given order, and stops the analysis in case of error (remaining files are not
analyzed).
-See @ref{c5,,Options}, for details on the GHDL options.
+See @ref{c9,,Options}, for details on the GHDL options.
For example, to produce debugging information such as line numbers, use: @code{ghdl -a -g my_design.vhdl}.
@geindex cmd elaboration
@node Elaboration [-e],Run [-r],Analysis [-a],Design building commands
-@anchor{using/InvokingGHDL elaboration-command}@anchor{85}@anchor{using/InvokingGHDL elaboration-e}@anchor{c6}
+@anchor{using/InvokingGHDL elaboration-command}@anchor{89}@anchor{using/InvokingGHDL elaboration-e}@anchor{ca}
@subsection Elaboration [@code{-e}]
@geindex ghdl command line option; -e
-@anchor{using/InvokingGHDL cmdoption-ghdl-e}@anchor{92}
+@anchor{using/InvokingGHDL cmdoption-ghdl-e}@anchor{96}
@deffn {Option} @w{-}e <[options...] [library.]top_unit [arch]>
@end deffn
@@ -2723,7 +2726,7 @@ configurations and the default binding indications according to the LRM rules.
It also generates the list of object files required for the executable.
Then, it links all these files with the runtime library (GRT).
-The elaboration command, @ref{92,,-e}, must be followed by a @code{top_unit} name denoting either of:
+The elaboration command, @ref{96,,-e}, must be followed by a @code{top_unit} name denoting either of:
@quotation
@@ -2747,10 +2750,10 @@ an entity unit followed by a secondary unit (the name of an architecture unit)
@item
Name of the top units can be either a simple name (without dots), or the name of a logical library followed by a dot
and a simple name.
-The latter is equivalent to using @ref{8c,,--work=NAME} described in @ref{c5,,Options}:
+The latter is equivalent to using @ref{90,,--work=NAME} described in @ref{c9,,Options}:
@code{-e <[options...] --work=library top_unit [arch]>}.
It selects the name of the library to be used as @code{WORK}.
-See section @ref{c7,,Top entity}, for the restrictions on the root/top unit of a hierarchy.
+See section @ref{cb,,Top entity}, for the restrictions on the root/top unit of a hierarchy.
@item
Name of the secondary units must be a simple name; they cannot contain any dot.
@@ -2779,26 +2782,26 @@ This elaboration command is not a complete elaboration in terms of the VHDL stan
The actual elaboration is performed at runtime.
Therefore, in order to get a complete VHDL elaboration without running the simulation, @code{ghdl --elab-run --no-run}
is required.
-See @ref{c8,,--no-run}.
+See @ref{cc,,--no-run}.
@end quotation
@end cartouche
@geindex cmd run
@node Run [-r],Elaborate and run [--elab-run],Elaboration [-e],Design building commands
-@anchor{using/InvokingGHDL run-command}@anchor{86}@anchor{using/InvokingGHDL run-r}@anchor{c9}
+@anchor{using/InvokingGHDL run-command}@anchor{8a}@anchor{using/InvokingGHDL run-r}@anchor{cd}
@subsection Run [@code{-r}]
@geindex ghdl command line option; -r
-@anchor{using/InvokingGHDL cmdoption-ghdl-r}@anchor{93}
+@anchor{using/InvokingGHDL cmdoption-ghdl-r}@anchor{97}
@deffn {Option} @w{-}r <[options...] [library.]top_unit [arch] [simulation_options...]>
@end deffn
Runs/simulates a design.
Two sets of options are accepted, both of them being separated by @code{[library.]top_unit [arch]}.
-For the first set, @code{options...}, arguments are the same as for the @ref{85,,elaboration command}.
-For the second set, @code{simulation_options...}, arguments are defined in @ref{ca,,Simulation (runtime)}.
+For the first set, @code{options...}, arguments are the same as for the @ref{89,,elaboration command}.
+For the second set, @code{simulation_options...}, arguments are defined in @ref{ce,,Simulation (runtime)}.
@itemize *
@@ -2826,34 +2829,34 @@ It works with mcode implementation, where the executable code is generated in me
You are using GCC/LLVM, but you don’t need to create the executable program name.
@item
-It is coherent with the @ref{c4,,-a} and @ref{92,,-e} commands.
+It is coherent with the @ref{c8,,-a} and @ref{96,,-e} commands.
@end itemize
@geindex cmd elaborate and run
@node Elaborate and run [--elab-run],Check syntax [-s],Run [-r],Design building commands
-@anchor{using/InvokingGHDL elab-and-run-command}@anchor{cb}@anchor{using/InvokingGHDL elaborate-and-run-elab-run}@anchor{cc}
+@anchor{using/InvokingGHDL elab-and-run-command}@anchor{cf}@anchor{using/InvokingGHDL elaborate-and-run-elab-run}@anchor{d0}
@subsection Elaborate and run [@code{--elab-run}]
@geindex ghdl command line option; --elab-run
-@anchor{using/InvokingGHDL cmdoption-ghdl-elab-run}@anchor{cd}
+@anchor{using/InvokingGHDL cmdoption-ghdl-elab-run}@anchor{d1}
@deffn {Option} @w{-}@w{-}elab@w{-}run <[options...] [library.]top_unit [arch] [simulation_options...]>
@end deffn
Acts like the elaboration command followed by the run command.
Note that this command accepts two sets of options.
-See @ref{92,,-e}, @ref{93,,-r} and @ref{ca,,Simulation (runtime)}.
+See @ref{96,,-e}, @ref{97,,-r} and @ref{ce,,Simulation (runtime)}.
@geindex cmd checking syntax
@node Check syntax [-s],Analyze and elaborate [-c],Elaborate and run [--elab-run],Design building commands
-@anchor{using/InvokingGHDL check-syntax-s}@anchor{ce}
+@anchor{using/InvokingGHDL check-syntax-s}@anchor{d2}
@subsection Check syntax [@code{-s}]
@geindex ghdl command line option; -s
-@anchor{using/InvokingGHDL cmdoption-ghdl-s}@anchor{cf}
+@anchor{using/InvokingGHDL cmdoption-ghdl-s}@anchor{d3}
@deffn {Option} @w{-}s <[options...] file...>
@end deffn
@@ -2864,23 +2867,23 @@ It does not update the library.
@geindex cmd analyze and elaborate
@node Analyze and elaborate [-c],,Check syntax [-s],Design building commands
-@anchor{using/InvokingGHDL analyze-and-elaborate-c}@anchor{d0}
+@anchor{using/InvokingGHDL analyze-and-elaborate-c}@anchor{d4}
@subsection Analyze and elaborate [@code{-c}]
@geindex ghdl command line option; -c
-@anchor{using/InvokingGHDL cmdoption-ghdl-c}@anchor{d1}
+@anchor{using/InvokingGHDL cmdoption-ghdl-c}@anchor{d5}
@deffn {Option} @w{-}c <[options...] file... @w{-}<e|r> top_unit [arch]>
@end deffn
@cartouche
@quotation Hint
-With GCC/LLVM, @ref{92,,-e} should be used, and @ref{93,,-r} with mcode.
+With GCC/LLVM, @ref{96,,-e} should be used, and @ref{97,,-r} with mcode.
@end quotation
@end cartouche
The files are first parsed, and then a elaboration is performed, which drives an analysis.
-Effectively, analysis and elaboration are combined, but there is no explicit call to @ref{c4,,-a}.
+Effectively, analysis and elaboration are combined, but there is no explicit call to @ref{c8,,-a}.
With GCC/LLVM, code is generated during the elaboration.
With mcode, the simulation is launched after the elaboration.
@@ -2922,7 +2925,7 @@ This command is still under development. In case of problems, you should go back
@end cartouche
@node Design rebuilding commands,Options,Design building commands,Invoking GHDL
-@anchor{using/InvokingGHDL design-rebuilding-commands}@anchor{d2}
+@anchor{using/InvokingGHDL design-rebuilding-commands}@anchor{d6}
@section Design rebuilding commands
@@ -2941,12 +2944,12 @@ GHDL has a few commands for rebuilding a design.
@end menu
@node Import [-i],Elab-order [--elab-order],,Design rebuilding commands
-@anchor{using/InvokingGHDL import-command}@anchor{a4}@anchor{using/InvokingGHDL import-i}@anchor{d3}
+@anchor{using/InvokingGHDL import-command}@anchor{a8}@anchor{using/InvokingGHDL import-i}@anchor{d7}
@subsection Import [@code{-i}]
@geindex ghdl command line option; -i
-@anchor{using/InvokingGHDL cmdoption-ghdl-i}@anchor{d4}
+@anchor{using/InvokingGHDL cmdoption-ghdl-i}@anchor{d8}
@deffn {Option} @w{-}i <[options...] file...>
@end deffn
@@ -2971,24 +2974,24 @@ However, since they are not analyzed, many errors are tolerated by this command.
@end quotation
@end cartouche
-See @ref{d5,,-m}, to actually build the design.
-See also @ref{d6,,--elab-order}, for retrieving the compilation order guessed recursively.
+See @ref{d9,,-m}, to actually build the design.
+See also @ref{da,,--elab-order}, for retrieving the compilation order guessed recursively.
@geindex cmd elaboration order
@node Elab-order [--elab-order],Make [-m],Import [-i],Design rebuilding commands
-@anchor{using/InvokingGHDL elab-order-elab-order}@anchor{d7}
+@anchor{using/InvokingGHDL elab-order-elab-order}@anchor{db}
@subsection Elab-order [@code{--elab-order}]
@geindex ghdl command line option; --elab-order
-@anchor{using/InvokingGHDL cmdoption-ghdl-elab-order}@anchor{d6}
-@deffn {Option} @w{-}@w{-}elab@w{-}order <[options...] [libray.]top_unit [arch]>
+@anchor{using/InvokingGHDL cmdoption-ghdl-elab-order}@anchor{da}
+@deffn {Option} @w{-}@w{-}elab@w{-}order <[options...] [library.]top_unit [arch]>
@end deffn
Print the list of sources required for elaborating a unit, in order
for them to be analyzed without dependency issues. This is expected
-to be used after @ref{d4,,-i}, or for retrieving the order for some
+to be used after @ref{d8,,-i}, or for retrieving the order for some
unit analyzed through third-party scripts.
With the @code{--libraries} option, each line contains first the
@@ -3002,12 +3005,12 @@ to the same @code{WORK} library.
@geindex cmd make
@node Make [-m],Generate Makefile [--gen-makefile],Elab-order [--elab-order],Design rebuilding commands
-@anchor{using/InvokingGHDL make-command}@anchor{a5}@anchor{using/InvokingGHDL make-m}@anchor{d8}
+@anchor{using/InvokingGHDL make-command}@anchor{a9}@anchor{using/InvokingGHDL make-m}@anchor{dc}
@subsection Make [@code{-m}]
@geindex ghdl command line option; -m
-@anchor{using/InvokingGHDL cmdoption-ghdl-m}@anchor{d5}
+@anchor{using/InvokingGHDL cmdoption-ghdl-m}@anchor{d9}
@deffn {Option} @w{-}m <[options...] [library.]top_unit [arch]>
@end deffn
@@ -3064,37 +3067,40 @@ In such a case, re-run the make command of GHDL.
@geindex cmd generate makefile
@node Generate Makefile [--gen-makefile],Generate dependency file command [--gen-depends],Make [-m],Design rebuilding commands
-@anchor{using/InvokingGHDL generate-makefile-gen-makefile}@anchor{d9}
+@anchor{using/InvokingGHDL generate-makefile-gen-makefile}@anchor{dd}
@subsection Generate Makefile [@code{--gen-makefile}]
@geindex ghdl command line option; --gen-makefile
-@anchor{using/InvokingGHDL cmdoption-ghdl-gen-makefile}@anchor{da}
+@anchor{using/InvokingGHDL cmdoption-ghdl-gen-makefile}@anchor{de}
@deffn {Option} @w{-}@w{-}gen@w{-}makefile <[options...] [library.]top_unit [arch]>
@end deffn
-This command works like the make command (see @ref{d5,,-m}), but only a makefile is generated on the standard output.
+This command works like the make command (see @ref{d9,,-m}), but only a makefile is generated on the standard output.
@geindex --gen-depends command
@node Generate dependency file command [--gen-depends],,Generate Makefile [--gen-makefile],Design rebuilding commands
-@anchor{using/InvokingGHDL generate-dependency-file-command-gen-depends}@anchor{db}
+@anchor{using/InvokingGHDL generate-dependency-file-command-gen-depends}@anchor{df}
@subsection Generate dependency file command [@code{--gen-depends}]
@geindex ghdl command line option; --gen-depends
-@anchor{using/InvokingGHDL cmdoption-ghdl-gen-depends}@anchor{dc}
+@anchor{using/InvokingGHDL cmdoption-ghdl-gen-depends}@anchor{e0}
@deffn {Option} @w{-}@w{-}gen@w{-}depends <[options...] [library.]top_unit [arch]>
@end deffn
Generate a Makefile containing only dependencies to build a design unit.
-This command works like the make and gen-makefile commands (see @ref{d5,,-m}), but instead of a full makefile only
-dependencies without rules are generated on the standard output.
-Theses rules can then be integrated in another Makefile.
+This command works like the make and gen-makefile commands (see
+@ref{d9,,-m}), but instead of a full makefile only dependencies
+without rules are generated on the standard output. These rules can
+then be integrated in another Makefile.
+
+This command is not available with the mcode backend.
@node Options,Warnings,Design rebuilding commands,Invoking GHDL
-@anchor{using/InvokingGHDL ghdl-options}@anchor{c5}@anchor{using/InvokingGHDL options}@anchor{dd}
+@anchor{using/InvokingGHDL ghdl-options}@anchor{c9}@anchor{using/InvokingGHDL options}@anchor{e1}
@section Options
@@ -3109,7 +3115,7 @@ Theses rules can then be integrated in another Makefile.
@geindex WORK library
@geindex ghdl command line option; --work
-@anchor{using/InvokingGHDL cmdoption-ghdl-work}@anchor{8c}
+@anchor{using/InvokingGHDL cmdoption-ghdl-work}@anchor{90}
@deffn {Option} @w{-}@w{-}work=<LIB_NAME>
Specify the name of the @code{WORK} library. Analyzed units are always placed in the library logically named @code{WORK}.
@@ -3131,38 +3137,38 @@ Furthermore, you should not put units in the @code{ieee} library.
@quotation Hint
Since 849a25e0@footnote{https://github.com/ghdl/ghdl/commit/849a25e02cfb359e3d9313060156b0643495548b}, this option can
be alternatively provided to several commands by prepending the library name to the top unit name.
-See, for instance, @ref{92,,-e}.
+See, for instance, @ref{96,,-e}.
@end quotation
@end cartouche
@end deffn
@geindex ghdl command line option; --workdir
-@anchor{using/InvokingGHDL cmdoption-ghdl-workdir}@anchor{a3}
+@anchor{using/InvokingGHDL cmdoption-ghdl-workdir}@anchor{a7}
@deffn {Option} @w{-}@w{-}workdir=<DIR>
Specify the directory where the @code{WORK} library is located.
When this option is not present, the @code{WORK} library is in the current directory.
The object files created by the compiler are always placed in the same directory as the @code{WORK} library.
-Use option @ref{8d,,-P} to specify where libraries other than @code{WORK} are placed.
+Use option @ref{91,,-P} to specify where libraries other than @code{WORK} are placed.
@end deffn
@geindex ghdl command line option; --std
-@anchor{using/InvokingGHDL cmdoption-ghdl-std}@anchor{88}
+@anchor{using/InvokingGHDL cmdoption-ghdl-std}@anchor{8c}
@deffn {Option} @w{-}@w{-}std=<STANDARD>
Specify the standard to use. By default, the standard is @code{93c}, which means VHDL-93 with relaxed rules.
-For details on @code{STANDARD} values see section @ref{87,,VHDL standards}.
+For details on @code{STANDARD} values see section @ref{8b,,VHDL standards}.
@cartouche
@quotation Important
-This option resets the effect of @ref{de,,-frelaxed}, so it should be the first option.
+This option resets the effect of @ref{e2,,-frelaxed}, so it should be the first option.
@end quotation
@end cartouche
@end deffn
@geindex ghdl command line option; -fsynopsys
-@anchor{using/InvokingGHDL cmdoption-ghdl-fsynopsys}@anchor{df}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fsynopsys}@anchor{e3}
@deffn {Option} @w{-}fsynopsys
Allow the use of synopsys non-standard packages (@code{std_logic_arith}, @code{std_logic_signed}, @code{std_logic_unsigned},
@@ -3175,7 +3181,7 @@ However they are not standard packages, and have been placed in the @cite{IEEE}
@end deffn
@geindex ghdl command line option; --ieee
-@anchor{using/InvokingGHDL cmdoption-ghdl-ieee}@anchor{89}
+@anchor{using/InvokingGHDL cmdoption-ghdl-ieee}@anchor{8d}
@deffn {Option} @w{-}@w{-}ieee=<IEEE_VAR>
@geindex ieee library
@@ -3199,7 +3205,7 @@ Currently, there are the multivalue logic system package @code{std_logic_1164} d
packages @code{numeric_bit} and @code{numeric_std} defined by IEEE 1076.3, and the @code{vital} packages @code{vital_timing} and
@code{vital_primitives}, defined by IEEE 1076.4.
The version of these packages is defined by the VHDL standard used.
-See section @ref{e0,,VITAL packages}, for more details.
+See section @ref{e4,,VITAL packages}, for more details.
@item synopsys
@@ -3211,24 +3217,24 @@ To avoid errors, you must use the same @cite{IEEE} library for all units of your
@end deffn
@geindex ghdl command line option; -P
-@anchor{using/InvokingGHDL cmdoption-ghdl-P}@anchor{8d}@anchor{using/InvokingGHDL cmdoption-ghdl-p}@anchor{e1}
+@anchor{using/InvokingGHDL cmdoption-ghdl-P}@anchor{91}@anchor{using/InvokingGHDL cmdoption-ghdl-p}@anchor{e5}
@deffn {Option} @w{-}P<DIRECTORY>
Add @cite{DIRECTORY} to the end of the list of directories to be searched for library files.
A library is searched in @cite{DIRECTORY} and also in @cite{DIRECTORY/LIB/vVV} (where @cite{LIB} is the name of the library and @cite{VV}
the vhdl standard).
-The @cite{WORK} library is always searched in the path specified by the @ref{a3,,--workdir} option, or in the current
+The @cite{WORK} library is always searched in the path specified by the @ref{a7,,--workdir} option, or in the current
directory if the latter option is not specified.
@end deffn
@geindex ghdl command line option; -fexplicit
-@anchor{using/InvokingGHDL cmdoption-ghdl-fexplicit}@anchor{8a}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fexplicit}@anchor{8e}
@deffn {Option} @w{-}fexplicit
When two operators are overloaded, give preference to the explicit declaration.
This may be used to avoid the most common pitfall of the @code{std_logic_arith} package.
-See section @ref{6d,,IEEE library pitfalls}, for an example.
+See section @ref{71,,IEEE library pitfalls}, for an example.
@end deffn
@cartouche
@@ -3241,17 +3247,17 @@ You’d do better to fix your design and use the @code{numeric_std} package.
@end cartouche
@geindex ghdl command line option; -frelaxed
-@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed}@anchor{de}
+@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed}@anchor{e2}
@deffn {Option} @w{-}frelaxed
@end deffn
@geindex ghdl command line option; -frelaxed-rules
-@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed-rules}@anchor{8b}
+@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed-rules}@anchor{8f}
@deffn {Option} @w{-}frelaxed@w{-}rules
@cartouche
@quotation Important
-The effects of this option are reset by @ref{88,,--std}, so it should be placed @emph{after} that option.
+The effects of this option are reset by @ref{8c,,--std}, so it should be placed `after' that option.
@end quotation
@end cartouche
@@ -3268,6 +3274,11 @@ Default binding indication rules of VHDL-02 are used. Default binding rules are
particularly obscure before VHDL-02.
@item
+Allow a subprogram body to match its declaration when the argument lists are semantically identical but
+do not satisfy formal rules (e.g when a function declaration uses the keyword @code{in} for an
+argument but its body does not).
+
+@item
Within an object declaration, allow references to the name (which references the hidden declaration).
This ignores the error in the following code:
@@ -3294,16 +3305,16 @@ Violations result in warnings instead of errors.
@end deffn
@geindex ghdl command line option; -fpsl
-@anchor{using/InvokingGHDL cmdoption-ghdl-fpsl}@anchor{e2}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fpsl}@anchor{e6}
@deffn {Option} @w{-}fpsl
Enable parsing of PSL assertions within comments.
-See section @ref{e3,,PSL support} for more details.
+See section @ref{e7,,PSL support} for more details.
@end deffn
@geindex ghdl command line option; --mb-comments
@geindex ghdl command line option; -C
-@anchor{using/InvokingGHDL cmdoption-ghdl-mb-comments}@anchor{91}@anchor{using/InvokingGHDL cmdoption-ghdl-C}@anchor{e4}
+@anchor{using/InvokingGHDL cmdoption-ghdl-mb-comments}@anchor{95}@anchor{using/InvokingGHDL cmdoption-ghdl-C}@anchor{e8}
@deffn {Option} @w{-}@w{-}mb@w{-}comments, @w{-}C
Allow UTF8 or multi-bytes chars in a comment.
@@ -3315,7 +3326,7 @@ This option lift this restriction.
@end deffn
@geindex ghdl command line option; --syn-binding
-@anchor{using/InvokingGHDL cmdoption-ghdl-syn-binding}@anchor{e5}
+@anchor{using/InvokingGHDL cmdoption-ghdl-syn-binding}@anchor{e9}
@deffn {Option} @w{-}@w{-}syn@w{-}binding
Use synthesizer rules for component binding.
@@ -3331,21 +3342,21 @@ This option is only useful during elaboration.
@end deffn
@geindex ghdl command line option; --format
-@anchor{using/InvokingGHDL cmdoption-ghdl-format}@anchor{e6}
+@anchor{using/InvokingGHDL cmdoption-ghdl-format}@anchor{ea}
@deffn {Option} @w{-}@w{-}format=<FORMAT>
-Define the output format of some options, such as @ref{e7,,--pp-html} or @ref{e8,,--xref-html}.
+Define the output format of some options, such as @ref{eb,,--pp-html} or @ref{ec,,--xref-html}.
@itemize *
@item
-By default or when @ref{e6,,--format=html2} is specified, generated files follow the HTML 2.0 standard,
+By default or when @ref{ea,,--format=html2} is specified, generated files follow the HTML 2.0 standard,
and colours are specified with @cite{<FONT>} tags.
However, colours are hard-coded.
@item
-If @ref{e6,,--format=css} is specified, generated files follow the HTML 4.0 standard, and use the CSS-1
+If @ref{ea,,--format=css} is specified, generated files follow the HTML 4.0 standard, and use the CSS-1
file @code{ghdl.css} to specify colours.
This file is generated only if it does not already exist (it is never overwritten) and can be customized by the user
to change colours or appearance.
@@ -3354,12 +3365,12 @@ Refer to a generated file and its comments for more information.
@end deffn
@geindex ghdl command line option; --no-vital-checks
-@anchor{using/InvokingGHDL cmdoption-ghdl-no-vital-checks}@anchor{e9}
+@anchor{using/InvokingGHDL cmdoption-ghdl-no-vital-checks}@anchor{ed}
@deffn {Option} @w{-}@w{-}no@w{-}vital@w{-}checks
@end deffn
@geindex ghdl command line option; --vital-checks
-@anchor{using/InvokingGHDL cmdoption-ghdl-vital-checks}@anchor{ea}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vital-checks}@anchor{ee}
@deffn {Option} @w{-}@w{-}vital@w{-}checks
Disable or enable checks of restriction on VITAL units. Checks are enabled by default.
@@ -3368,44 +3379,44 @@ Checks are performed only when a design unit is decorated by a VITAL attribute.
The VITAL attributes are @code{VITAL_Level0} and @code{VITAL_Level1}, both declared in the @code{ieee.VITAL_Timing} package.
Currently, VITAL checks are only partially implemented.
-See section @ref{eb,,VHDL restrictions for VITAL} for more details.
+See section @ref{ef,,VHDL restrictions for VITAL} for more details.
@end deffn
@geindex ghdl command line option; --PREFIX
-@anchor{using/InvokingGHDL cmdoption-ghdl-PREFIX}@anchor{bf}@anchor{using/InvokingGHDL cmdoption-ghdl-prefix}@anchor{ec}
+@anchor{using/InvokingGHDL cmdoption-ghdl-PREFIX}@anchor{c3}@anchor{using/InvokingGHDL cmdoption-ghdl-prefix}@anchor{f0}
@deffn {Option} @w{-}@w{-}PREFIX=<PATH>
Use @code{PATH} as the prefix path to find commands and pre-installed (@code{std} and @code{ieee}) libraries.
@end deffn
@geindex ghdl command line option; -v
-@anchor{using/InvokingGHDL cmdoption-ghdl-v}@anchor{ed}
+@anchor{using/InvokingGHDL cmdoption-ghdl-v}@anchor{f1}
@deffn {Option} @w{-}v
Be verbose. For example, for analysis, elaboration and make commands, GHDL displays the commands executed.
@end deffn
@geindex ghdl command line option; -o
-@anchor{using/InvokingGHDL cmdoption-ghdl-o}@anchor{ee}
+@anchor{using/InvokingGHDL cmdoption-ghdl-o}@anchor{f2}
@deffn {Option} @w{-}o=<FNAME>
-All the commands that perform a link (@ref{92,,-e}, @ref{cd,,--elab-run}, @ref{ef,,--link}, @ref{d1,,-c},
-@ref{d5,,-m}, etc.) support overriding the location and name of the generated artifact.
+All the commands that perform a link (@ref{96,,-e}, @ref{d1,,--elab-run}, @ref{f3,,--link}, @ref{d5,,-c},
+@ref{d9,,-m}, etc.) support overriding the location and name of the generated artifact.
@end deffn
@geindex ghdl command line option; --time-resolution
-@anchor{using/InvokingGHDL cmdoption-ghdl-time-resolution}@anchor{f0}
+@anchor{using/InvokingGHDL cmdoption-ghdl-time-resolution}@anchor{f4}
@deffn {Option} @w{-}@w{-}time@w{-}resolution=<UNIT>
@cartouche
@quotation Attention
-This feature is supported with @emph{mcode} backend only.
+This feature is supported with `mcode' backend only.
It is not possible to support it with either LLVM or GCC backends, because it needs to apply globally.
@end quotation
@end cartouche
Set the base time resolution of the simulation.
-This option is supported in commands @ref{c4,,-a} and @ref{93,,-r} only.
+This option is supported in commands @ref{c8,,-a} and @ref{97,,-r} only.
Allowed values are @code{auto} (default), @code{fs}, @code{ps}, @code{ns}, @code{us}, @code{ms} or @code{sec}.
With LLVM/GCC, the value is fixed to @code{fs}.
@@ -3418,7 +3429,7 @@ Using units below the resolution will produce a failure.
@end deffn
@node Warnings,Diagnostics Control,Options,Invoking GHDL
-@anchor{using/InvokingGHDL warnings}@anchor{f1}
+@anchor{using/InvokingGHDL warnings}@anchor{f5}
@section Warnings
@@ -3440,171 +3451,441 @@ by default.
@end cartouche
@geindex ghdl command line option; --warn-library
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-library}@anchor{f2}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-library}@anchor{f6}
@deffn {Option} @w{-}@w{-}warn@w{-}library
+@end deffn
+
+@geindex ghdl command line option; -Wlibrary
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wlibrary}@anchor{f7}@anchor{using/InvokingGHDL cmdoption-ghdl-wlibrary}@anchor{f8}
+@deffn {Option} @w{-}Wlibrary
Warns if a design unit replaces another design unit with the same name.
@end deffn
@geindex ghdl command line option; --warn-default-binding
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-default-binding}@anchor{f3}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-default-binding}@anchor{f9}
@deffn {Option} @w{-}@w{-}warn@w{-}default@w{-}binding
+@end deffn
+
+@geindex ghdl command line option; -Wdefault-binding
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wdefault-binding}@anchor{fa}@anchor{using/InvokingGHDL cmdoption-ghdl-wdefault-binding}@anchor{fb}
+@deffn {Option} @w{-}Wdefault@w{-}binding
-During analyze, warns if a component instantiation has neither configuration specification nor default binding.
-This may be useful if you want to detect during analyze possibly unbound components if you don’t use configuration.
-See section @ref{87,,VHDL standards} for more details about default binding rules.
+During analyze, warns if a component instantiation has neither
+configuration specification nor default binding. This may be useful
+if you want to detect during analyze possibly unbound components if
+you don’t use configuration. See section @ref{8b,,VHDL standards} for
+more details about default binding rules.
@end deffn
@geindex ghdl command line option; --warn-binding
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-binding}@anchor{f4}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-binding}@anchor{fc}
@deffn {Option} @w{-}@w{-}warn@w{-}binding
+@end deffn
+
+@geindex ghdl command line option; -Wbinding
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wbinding}@anchor{fd}@anchor{using/InvokingGHDL cmdoption-ghdl-wbinding}@anchor{fe}
+@deffn {Option} @w{-}Wbinding
+
+During elaboration, warns if a component instantiation is not bound
+(and not explicitly left unbound). Also warns if a port of an
+entity is not bound in a configuration specification or in a
+component configuration. This warning is enabled by default, since
+default binding rules are somewhat complex and an unbound component
+is most often unexpected.
-During elaboration, warns if a component instantiation is not bound (and not explicitly left unbound).
-Also warns if a port of an entity is not bound in a configuration specification or in a component configuration.
-This warning is enabled by default, since default binding rules are somewhat complex and an unbound component is most
-often unexpected.
+However, warnings are still emitted if a component instantiation is
+inside a generate statement. As a consequence, if you use the
+conditional generate statement to select a component according to
+the implementation, you will certainly get warnings.
+@end deffn
+
+@geindex ghdl command line option; --warn-port
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-port}@anchor{ff}
+@deffn {Option} @w{-}@w{-}warn@w{-}port
+@end deffn
-However, warnings are still emitted if a component instantiation is inside a generate statement.
-As a consequence, if you use the conditional generate statement to select a component according to the implementation,
-you will certainly get warnings.
+@geindex ghdl command line option; -Wport
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wport}@anchor{100}@anchor{using/InvokingGHDL cmdoption-ghdl-wport}@anchor{101}
+@deffn {Option} @w{-}Wport
+
+Emit a warning on unconnected input port without defaults (in
+relaxed mode).
@end deffn
@geindex ghdl command line option; --warn-reserved
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-reserved}@anchor{f5}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-reserved}@anchor{102}
@deffn {Option} @w{-}@w{-}warn@w{-}reserved
+@end deffn
+
+@geindex ghdl command line option; -Wreserved
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wreserved}@anchor{103}@anchor{using/InvokingGHDL cmdoption-ghdl-wreserved}@anchor{104}
+@deffn {Option} @w{-}Wreserved
Emit a warning if an identifier is a reserved word in a later VHDL standard.
@end deffn
+@geindex ghdl command line option; --warn-pragma
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-pragma}@anchor{105}
+@deffn {Option} @w{-}@w{-}warn@w{-}pragma
+@end deffn
+
+@geindex ghdl command line option; -Wpragma
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wpragma}@anchor{106}@anchor{using/InvokingGHDL cmdoption-ghdl-wpragma}@anchor{107}
+@deffn {Option} @w{-}Wpragma
+
+Emit a warning for unknown pragma
+@end deffn
+
@geindex ghdl command line option; --warn-nested-comment
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-nested-comment}@anchor{f6}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-nested-comment}@anchor{108}
@deffn {Option} @w{-}@w{-}warn@w{-}nested@w{-}comment
+@end deffn
+
+@geindex ghdl command line option; -Wnested-comment
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wnested-comment}@anchor{109}@anchor{using/InvokingGHDL cmdoption-ghdl-wnested-comment}@anchor{10a}
+@deffn {Option} @w{-}Wnested@w{-}comment
Emit a warning if a @code{/*} appears within a block comment (vhdl 2008).
@end deffn
+@geindex ghdl command line option; --warn-directive
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-directive}@anchor{10b}
+@deffn {Option} @w{-}@w{-}warn@w{-}directive
+@end deffn
+
+@geindex ghdl command line option; -Wdirective
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wdirective}@anchor{10c}@anchor{using/InvokingGHDL cmdoption-ghdl-wdirective}@anchor{10d}
+@deffn {Option} @w{-}Wdirective
+
+Emit an option on tool directive
+@end deffn
+
@geindex ghdl command line option; --warn-parenthesis
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-parenthesis}@anchor{f7}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-parenthesis}@anchor{10e}
@deffn {Option} @w{-}@w{-}warn@w{-}parenthesis
+@end deffn
+
+@geindex ghdl command line option; -Wparenthesis
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wparenthesis}@anchor{10f}@anchor{using/InvokingGHDL cmdoption-ghdl-wparenthesis}@anchor{110}
+@deffn {Option} @w{-}Wparenthesis
Emit a warning in case of weird use of parentheses.
@end deffn
@geindex ghdl command line option; --warn-vital-generic
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-vital-generic}@anchor{f8}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-vital-generic}@anchor{111}
@deffn {Option} @w{-}@w{-}warn@w{-}vital@w{-}generic
+@end deffn
+
+@geindex ghdl command line option; -Wvital-generic
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wvital-generic}@anchor{112}@anchor{using/InvokingGHDL cmdoption-ghdl-wvital-generic}@anchor{113}
+@deffn {Option} @w{-}Wvital@w{-}generic
Warns if a generic name of a vital entity is not a vital generic name. This
is set by default.
@end deffn
@geindex ghdl command line option; --warn-delayed-checks
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-delayed-checks}@anchor{f9}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-delayed-checks}@anchor{114}
@deffn {Option} @w{-}@w{-}warn@w{-}delayed@w{-}checks
+@end deffn
+
+@geindex ghdl command line option; -Wdelayed-checks
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wdelayed-checks}@anchor{115}@anchor{using/InvokingGHDL cmdoption-ghdl-wdelayed-checks}@anchor{116}
+@deffn {Option} @w{-}Wdelayed@w{-}checks
-Warns for checks that cannot be done during analysis time and are postponed to elaboration time.
-This is because not all procedure bodies are available during analysis (either because a package body has not yet been
-analysed or because @cite{GHDL} doesn’t read not required package bodies).
+Warns for checks that cannot be done during analysis time and are
+postponed to elaboration time. This is because not all procedure
+bodies are available during analysis (either because a package body
+has not yet been analysed or because @cite{GHDL} doesn’t read not
+required package bodies).
-These are checks for no wait statements in a procedure called in a sensitized process and checks for pure rules of a
-function.
+These are checks for no wait statements in a procedure called in a
+sensitized process and checks for pure rules of a function.
@end deffn
@geindex ghdl command line option; --warn-body
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-body}@anchor{fa}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-body}@anchor{117}
@deffn {Option} @w{-}@w{-}warn@w{-}body
+@end deffn
-Emit a warning if a package body which is not required is analyzed. If a package does not declare a subprogram or a
-deferred constant, the package does not require a body.
+@geindex ghdl command line option; -Wbody
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wbody}@anchor{118}@anchor{using/InvokingGHDL cmdoption-ghdl-wbody}@anchor{119}
+@deffn {Option} @w{-}Wbody
+
+Emit a warning if a package body which is not required is
+analyzed. If a package does not declare a subprogram or a deferred
+constant, the package does not require a body.
@end deffn
@geindex ghdl command line option; --warn-specs
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-specs}@anchor{fb}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-specs}@anchor{11a}
@deffn {Option} @w{-}@w{-}warn@w{-}specs
+@end deffn
+
+@geindex ghdl command line option; -Wspecs
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wspecs}@anchor{11b}@anchor{using/InvokingGHDL cmdoption-ghdl-wspecs}@anchor{11c}
+@deffn {Option} @w{-}Wspecs
Emit a warning if an all or others specification does not apply.
@end deffn
+@geindex ghdl command line option; --warn-universal
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-universal}@anchor{11d}
+@deffn {Option} @w{-}@w{-}warn@w{-}universal
+@end deffn
+
+@geindex ghdl command line option; -Wuniversal
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wuniversal}@anchor{11e}@anchor{using/InvokingGHDL cmdoption-ghdl-wuniversal}@anchor{11f}
+@deffn {Option} @w{-}Wuniversal
+
+Emit a warning on incorrect use of universal values.
+@end deffn
+
+@geindex ghdl command line option; --warn-port-bounds
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-port-bounds}@anchor{120}
+@deffn {Option} @w{-}@w{-}warn@w{-}port@w{-}bounds
+@end deffn
+
+@geindex ghdl command line option; -Wport-bounds
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wport-bounds}@anchor{121}@anchor{using/InvokingGHDL cmdoption-ghdl-wport-bounds}@anchor{122}
+@deffn {Option} @w{-}Wport@w{-}bounds
+
+Emit a warning on bounds mismatch between the actual and formal in a
+scalar port association
+@end deffn
+
@geindex ghdl command line option; --warn-runtime-error
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-runtime-error}@anchor{fc}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-runtime-error}@anchor{123}
@deffn {Option} @w{-}@w{-}warn@w{-}runtime@w{-}error
+@end deffn
+
+@geindex ghdl command line option; -Wruntime-error
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wruntime-error}@anchor{124}@anchor{using/InvokingGHDL cmdoption-ghdl-wruntime-error}@anchor{125}
+@deffn {Option} @w{-}Wruntime@w{-}error
Emit a warning in case of runtime error that is detected during analysis.
@end deffn
+@geindex ghdl command line option; --warn-delta-cycle
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-delta-cycle}@anchor{126}
+@deffn {Option} @w{-}@w{-}warn@w{-}delta@w{-}cycle
+@end deffn
+
+@geindex ghdl command line option; -Wdelta-cycle
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wdelta-cycle}@anchor{127}@anchor{using/InvokingGHDL cmdoption-ghdl-wdelta-cycle}@anchor{128}
+@deffn {Option} @w{-}Wdelta@w{-}cycle
+
+Emit a warning if a signal assignemnt creates a delta cycle in a
+postponed process.
+@end deffn
+
+@geindex ghdl command line option; --warn-no-wait
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-no-wait}@anchor{129}
+@deffn {Option} @w{-}@w{-}warn@w{-}no@w{-}wait
+@end deffn
+
+@geindex ghdl command line option; -Wno-wait
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wno-wait}@anchor{12a}@anchor{using/InvokingGHDL cmdoption-ghdl-wno-wait}@anchor{12b}
+@deffn {Option} @w{-}Wno@w{-}wait
+
+Emit a warning if there is no wait statement in a non-sensitized
+process
+@end deffn
+
@geindex ghdl command line option; --warn-shared
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-shared}@anchor{fd}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-shared}@anchor{12c}
@deffn {Option} @w{-}@w{-}warn@w{-}shared
+@end deffn
-Emit a warning when a shared variable is declared and its type it not a protected type.
+@geindex ghdl command line option; -Wshared
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wshared}@anchor{12d}@anchor{using/InvokingGHDL cmdoption-ghdl-wshared}@anchor{12e}
+@deffn {Option} @w{-}Wshared
+
+Emit a warning when a shared variable is declared and its type it
+not a protected type.
@end deffn
@geindex ghdl command line option; --warn-hide
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-hide}@anchor{fe}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-hide}@anchor{12f}
@deffn {Option} @w{-}@w{-}warn@w{-}hide
+@end deffn
+
+@geindex ghdl command line option; -Whide
+@anchor{using/InvokingGHDL cmdoption-ghdl-Whide}@anchor{130}@anchor{using/InvokingGHDL cmdoption-ghdl-whide}@anchor{131}
+@deffn {Option} @w{-}Whide
Emit a warning when a declaration hides a previous hide.
@end deffn
@geindex ghdl command line option; --warn-unused
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-unused}@anchor{ff}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-unused}@anchor{132}
@deffn {Option} @w{-}@w{-}warn@w{-}unused
+@end deffn
+
+@geindex ghdl command line option; -Wunused
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wunused}@anchor{133}@anchor{using/InvokingGHDL cmdoption-ghdl-wunused}@anchor{134}
+@deffn {Option} @w{-}Wunused
Emit a warning when a subprogram is never used.
@end deffn
+@geindex ghdl command line option; --warn-nowrite
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-nowrite}@anchor{135}
+@deffn {Option} @w{-}@w{-}warn@w{-}nowrite
+@end deffn
+
+@geindex ghdl command line option; -Wnowrite
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wnowrite}@anchor{136}@anchor{using/InvokingGHDL cmdoption-ghdl-wnowrite}@anchor{137}
+@deffn {Option} @w{-}Wnowrite
+
+Emit a warning if a variable or a signal is never assigned (only for synthesis).
+@end deffn
+
@geindex ghdl command line option; --warn-others
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-others}@anchor{100}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-others}@anchor{138}
@deffn {Option} @w{-}@w{-}warn@w{-}others
+@end deffn
+
+@geindex ghdl command line option; -Wothers
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wothers}@anchor{139}@anchor{using/InvokingGHDL cmdoption-ghdl-wothers}@anchor{13a}
+@deffn {Option} @w{-}Wothers
Emit a warning is an @cite{others} choice is not required because all the choices have been explicitly covered.
@end deffn
@geindex ghdl command line option; --warn-pure
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-pure}@anchor{101}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-pure}@anchor{13b}
@deffn {Option} @w{-}@w{-}warn@w{-}pure
+@end deffn
+
+@geindex ghdl command line option; -Wpure
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wpure}@anchor{13c}@anchor{using/InvokingGHDL cmdoption-ghdl-wpure}@anchor{13d}
+@deffn {Option} @w{-}Wpure
Emit a warning when a pure rules is violated (like declaring a pure function with access parameters).
@end deffn
+@geindex ghdl command line option; --warn-analyze-assert
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-analyze-assert}@anchor{13e}
+@deffn {Option} @w{-}@w{-}warn@w{-}analyze@w{-}assert
+@end deffn
+
+@geindex ghdl command line option; -Wanalyze-assert
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wanalyze-assert}@anchor{13f}@anchor{using/InvokingGHDL cmdoption-ghdl-wanalyze-assert}@anchor{140}
+@deffn {Option} @w{-}Wanalyze@w{-}assert
+
+Emit a warning for assertions that are statically evaluated during
+analysis.
+@end deffn
+
+@geindex ghdl command line option; --warn-attribute
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-attribute}@anchor{141}
+@deffn {Option} @w{-}@w{-}warn@w{-}attribute
+@end deffn
+
+@geindex ghdl command line option; -Wattribute
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wattribute}@anchor{142}@anchor{using/InvokingGHDL cmdoption-ghdl-wattribute}@anchor{143}
+@deffn {Option} @w{-}Wattribute
+
+Emit a warning on incorrect use of attributes.
+@end deffn
+
+@geindex ghdl command line option; --warn-useless
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-useless}@anchor{144}
+@deffn {Option} @w{-}@w{-}warn@w{-}useless
+@end deffn
+
+@geindex ghdl command line option; -Wuseless
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wuseless}@anchor{145}@anchor{using/InvokingGHDL cmdoption-ghdl-wuseless}@anchor{146}
+@deffn {Option} @w{-}Wuseless
+
+Emit a warning on useless code (like conditions that are always
+false or true, assertions that cannot be triggered).
+@end deffn
+
+@geindex ghdl command line option; --warn-no-assoc
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-no-assoc}@anchor{147}
+@deffn {Option} @w{-}@w{-}warn@w{-}no@w{-}assoc
+@end deffn
+
+@geindex ghdl command line option; -Wno-assoc
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wno-assoc}@anchor{148}@anchor{using/InvokingGHDL cmdoption-ghdl-wno-assoc}@anchor{149}
+@deffn {Option} @w{-}Wno@w{-}assoc
+
+Emit a warning on missing association for a port association. Open
+associations are required.
+@end deffn
+
@geindex ghdl command line option; --warn-static
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-static}@anchor{102}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-static}@anchor{14a}
@deffn {Option} @w{-}@w{-}warn@w{-}static
+@end deffn
+
+@geindex ghdl command line option; -Wstatic
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wstatic}@anchor{14b}@anchor{using/InvokingGHDL cmdoption-ghdl-wstatic}@anchor{14c}
+@deffn {Option} @w{-}Wstatic
Emit a warning when a non-static expression is used at a place where the standard requires a static expression.
@end deffn
@geindex ghdl command line option; --warn-error
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-error}@anchor{103}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-error}@anchor{14d}
@deffn {Option} @w{-}@w{-}warn@w{-}error
+@end deffn
+
+@geindex ghdl command line option; --warn-error
+@anchor{using/InvokingGHDL cmdoption-ghdl-0}@anchor{14e}
+@deffn {Option} @w{-}@w{-}warn@w{-}error=<warning>
+@end deffn
+
+@geindex ghdl command line option; -Werror
+@anchor{using/InvokingGHDL cmdoption-ghdl-Werror}@anchor{14f}@anchor{using/InvokingGHDL cmdoption-ghdl-werror}@anchor{150}
+@deffn {Option} @w{-}Werror
+@end deffn
+
+@geindex ghdl command line option; -Werror
+@anchor{using/InvokingGHDL cmdoption-ghdl-1}@anchor{151}
+@deffn {Option} @w{-}Werror=<warning>
+@end deffn
-When this option is set, warnings are considered as errors.
+@geindex ghdl command line option; -Wno-error
+@anchor{using/InvokingGHDL cmdoption-ghdl-Wno-error}@anchor{152}@anchor{using/InvokingGHDL cmdoption-ghdl-wno-error}@anchor{153}
+@deffn {Option} @w{-}Wno@w{-}error=<warning>
+
+When this option is set, warnings are considered as errors. With
+the parameter, only the specific warning is turned into an error.
@end deffn
@node Diagnostics Control,Library commands,Warnings,Invoking GHDL
-@anchor{using/InvokingGHDL diagnostics-control}@anchor{104}
+@anchor{using/InvokingGHDL diagnostics-control}@anchor{154}
@section Diagnostics Control
@geindex ghdl command line option; -fcolor-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fcolor-diagnostics}@anchor{105}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fcolor-diagnostics}@anchor{155}
@deffn {Option} @w{-}fcolor@w{-}diagnostics
@end deffn
@geindex ghdl command line option; -fno-color-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fno-color-diagnostics}@anchor{106}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-color-diagnostics}@anchor{156}
@deffn {Option} @w{-}fno@w{-}color@w{-}diagnostics
-Control whether diagnostic messages are displayed in color. The default is on when the standard output is a terminal.
+Control whether diagnostic messages are displayed in color. The
+default is on when the standard output is a terminal. For
+compatibility with other tools, when colors are enabled the
+diagnostic level is always displayed. When colors are not enabled,
+level @code{error} is not displayed.
@end deffn
@geindex ghdl command line option; -fdiagnostics-show-option
-@anchor{using/InvokingGHDL cmdoption-ghdl-fdiagnostics-show-option}@anchor{107}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fdiagnostics-show-option}@anchor{157}
@deffn {Option} @w{-}fdiagnostics@w{-}show@w{-}option
@end deffn
@geindex ghdl command line option; -fno-diagnostics-show-option
-@anchor{using/InvokingGHDL cmdoption-ghdl-fno-diagnostics-show-option}@anchor{108}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-diagnostics-show-option}@anchor{158}
@deffn {Option} @w{-}fno@w{-}diagnostics@w{-}show@w{-}option
Control whether the warning option is displayed at the end of warning messages, so that the user can easily know how
@@ -3612,48 +3893,49 @@ to disable it.
@end deffn
@geindex ghdl command line option; -fcaret-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fcaret-diagnostics}@anchor{109}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fcaret-diagnostics}@anchor{159}
@deffn {Option} @w{-}fcaret@w{-}diagnostics
@end deffn
@geindex ghdl command line option; -fno-caret-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fno-caret-diagnostics}@anchor{10a}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-caret-diagnostics}@anchor{15a}
@deffn {Option} @w{-}fno@w{-}caret@w{-}diagnostics
Control whether the source line of the error is displayed with a caret indicating the column of the error.
@end deffn
@node Library commands,VPI/VHPI build commands,Diagnostics Control,Invoking GHDL
-@anchor{using/InvokingGHDL library-commands}@anchor{10b}
+@anchor{using/InvokingGHDL library-commands}@anchor{15b}
@section Library commands
-@anchor{using/InvokingGHDL create-a-library}@anchor{10c}
+@anchor{using/InvokingGHDL create-a-library}@anchor{15c}
@geindex create your own library
A new library is created implicitly, by compiling entities (packages etc.) into it:
@code{ghdl -a --work=my_custom_lib my_file.vhdl}.
-A library’s source code is usually stored and compiled into its own directory, that you specify with the
-@ref{a3,,--workdir} option:
-@code{ghdl -a --work=my_custom_lib --workdir=my_custom_libdir my_custom_lib_srcdir/my_file.vhdl}.
-See also the @ref{8d,,-P} command line option.
+A library’s source code is usually stored and compiled into its own
+directory, that you specify with the @ref{a7,,--workdir} option:
+@code{ghdl -a --work=my_custom_lib --workdir=my_custom_libdir
+my_custom_lib_srcdir/my_file.vhdl}. See also the @ref{91,,-P}
+command line option.
Furthermore, GHDL provides a few commands which act on a library:
@geindex cmd library directory
@geindex ghdl command line option; --dir
-@anchor{using/InvokingGHDL cmdoption-ghdl-dir}@anchor{10d}
+@anchor{using/InvokingGHDL cmdoption-ghdl-dir}@anchor{15d}
@deffn {Option} @w{-}@w{-}dir <[options...] [libs]>
@end deffn
Displays the content of the design libraries (by default the @code{work} library).
-All options are allowed, but only a few are meaningful: @ref{8c,,--work}, @ref{a3,,--workdir} and @ref{88,,--std}.
+All options are allowed, but only a few are meaningful: @ref{90,,--work}, @ref{a7,,--workdir} and @ref{8c,,--std}.
@geindex cmd library clean
-@anchor{using/InvokingGHDL clean-command}@anchor{a7}
+@anchor{using/InvokingGHDL clean-command}@anchor{ab}
@geindex ghdl command line option; --clean
-@anchor{using/InvokingGHDL cmdoption-ghdl-clean}@anchor{10e}
+@anchor{using/InvokingGHDL cmdoption-ghdl-clean}@anchor{15e}
@deffn {Option} @w{-}@w{-}clean <[options...]>
@end deffn
@@ -3662,9 +3944,9 @@ Source files are not removed.
The library is kept.
@geindex cmd library remove
-@anchor{using/InvokingGHDL remove-command}@anchor{a8}
+@anchor{using/InvokingGHDL remove-command}@anchor{ac}
@geindex ghdl command line option; --remove
-@anchor{using/InvokingGHDL cmdoption-ghdl-remove}@anchor{10f}
+@anchor{using/InvokingGHDL cmdoption-ghdl-remove}@anchor{15f}
@deffn {Option} @w{-}@w{-}remove <[options...]>
@end deffn
@@ -3674,7 +3956,7 @@ Note that after removing a design library, the files are not known anymore by GH
@geindex cmd library copy
@geindex ghdl command line option; --copy
-@anchor{using/InvokingGHDL cmdoption-ghdl-copy}@anchor{110}
+@anchor{using/InvokingGHDL cmdoption-ghdl-copy}@anchor{160}
@deffn {Option} @w{-}@w{-}copy <@w{-}@w{-}work=name [options...]>
@end deffn
@@ -3687,7 +3969,7 @@ ghdl -a --work=ieee numeric_unsigned.vhd
@end example
@node VPI/VHPI build commands,IEEE library pitfalls,Library commands,Invoking GHDL
-@anchor{using/InvokingGHDL vpi-build-commands}@anchor{111}@anchor{using/InvokingGHDL vpi-vhpi-build-commands}@anchor{112}
+@anchor{using/InvokingGHDL vpi-build-commands}@anchor{161}@anchor{using/InvokingGHDL vpi-vhpi-build-commands}@anchor{162}
@section VPI/VHPI build commands
@@ -3699,7 +3981,7 @@ The only option is @cite{-v} which displays the command before its execution.
@geindex cmd VPI compile
@geindex ghdl command line option; --vpi-compile
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-compile}@anchor{113}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-compile}@anchor{163}
@deffn {Option} @w{-}@w{-}vpi@w{-}compile <command>
@end deffn
@@ -3716,11 +3998,11 @@ command -Ixxx/include
@end example
For example, @code{ghdl --vpi-compile gcc -c vpi1.c} executes @code{gcc -c vpi1.c -fPIC -Ixxx/include}.
-@anchor{using/InvokingGHDL vpi-link-command}@anchor{114}
+@anchor{using/InvokingGHDL vpi-link-command}@anchor{164}
@geindex cmd VPI link
@geindex ghdl command line option; --vpi-link
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-link}@anchor{115}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-link}@anchor{165}
@deffn {Option} @w{-}@w{-}vpi@w{-}link <command>
@end deffn
@@ -3737,29 +4019,29 @@ command -Lxxx/lib -lghdlvpi
@end example
For example, @code{ghdl --vpi-link gcc -o vpi1.vpi vpi1.o} executes @code{gcc -o vpi1.vpi vpi1.o --shared -Lxxx/lib -lghdlvpi}.
-@anchor{using/InvokingGHDL vpi-cflags-command}@anchor{116}
+@anchor{using/InvokingGHDL vpi-cflags-command}@anchor{166}
@geindex cmd VPI cflags
@geindex ghdl command line option; --vpi-cflags
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-cflags}@anchor{117}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-cflags}@anchor{167}
@deffn {Option} @w{-}@w{-}vpi@w{-}cflags
@end deffn
-Display flags added by @ref{113,,--vpi-compile}.
+Display flags added by @ref{163,,--vpi-compile}.
@geindex cmd VPI ldflags
@geindex ghdl command line option; --vpi-ldflags
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-ldflags}@anchor{118}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-ldflags}@anchor{168}
@deffn {Option} @w{-}@w{-}vpi@w{-}ldflags
@end deffn
-Display flags added by @ref{115,,--vpi-link}.
+Display flags added by @ref{165,,--vpi-link}.
@geindex cmd VPI include dir
@geindex ghdl command line option; --vpi-include-dir
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-include-dir}@anchor{119}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-include-dir}@anchor{169}
@deffn {Option} @w{-}@w{-}vpi@w{-}include@w{-}dir
@end deffn
@@ -3768,25 +4050,25 @@ Display the include directory added by the compile flags.
@geindex cmd VPI library dir
@geindex ghdl command line option; --vpi-library-dir
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir}@anchor{11a}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir}@anchor{16a}
@deffn {Option} @w{-}@w{-}vpi@w{-}library@w{-}dir
@end deffn
Display the library directory added by the link flags.
@geindex ghdl command line option; --vpi-library-dir-unix
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir-unix}@anchor{11b}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir-unix}@anchor{16b}
@deffn {Option} @w{-}@w{-}vpi@w{-}library@w{-}dir@w{-}unix
@end deffn
Display the library directory added by the link flags, forcing UNIX syntax.
@node IEEE library pitfalls,,VPI/VHPI build commands,Invoking GHDL
-@anchor{using/InvokingGHDL id1}@anchor{11c}@anchor{using/InvokingGHDL ieee-library-pitfalls}@anchor{6d}
+@anchor{using/InvokingGHDL id1}@anchor{16c}@anchor{using/InvokingGHDL ieee-library-pitfalls}@anchor{71}
@section IEEE library pitfalls
-When you use options @ref{89,,--ieee=synopsys}, the @code{ieee} library contains non standard packages such as
+When you use options @ref{8d,,--ieee=synopsys}, the @code{ieee} library contains non standard packages such as
@code{std_logic_arith}.
These packages are not standard because there are not described by an IEEE standard, even if they have been put in the
@cite{IEEE} library.
@@ -3852,7 +4134,7 @@ With some analysers, the explicit declaration has priority over the implicit dec
analyzed without error.
However, this is not the rule given by the VHDL LRM, and since GHDL follows these rules, it emits an error.
-You can force GHDL to use this rule with the @emph{-fexplicit} option (see @ref{c5,,Options} for further details).
+You can force GHDL to use this rule with the `-fexplicit' option (see @ref{c9,,Options} for further details).
However it is easy to fix this error, by using a selected name:
@example
@@ -3927,19 +4209,19 @@ The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provide
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Simulation runtime,Synthesis,Invoking GHDL,Top
-@anchor{using/Simulation doc}@anchor{11d}@anchor{using/Simulation simulation-runtime}@anchor{11e}@anchor{using/Simulation using-simulation}@anchor{ca}
+@anchor{using/Simulation doc}@anchor{16d}@anchor{using/Simulation simulation-runtime}@anchor{16e}@anchor{using/Simulation using-simulation}@anchor{ce}
@chapter Simulation (runtime)
@@ -3951,7 +4233,7 @@ The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provide
@end menu
@node Simulation options,Export waveforms,,Simulation runtime
-@anchor{using/Simulation id1}@anchor{11f}@anchor{using/Simulation simulation-options}@anchor{a0}
+@anchor{using/Simulation id1}@anchor{16f}@anchor{using/Simulation simulation-options}@anchor{a4}
@section Simulation options
@@ -3975,17 +4257,17 @@ completes, or @code{EXIT_FAILURE} (@code{1}) in case of error (assertion
failure, overflow or any constraint error).
@end itemize
-Here is the list of the most useful options. For further info, see @ref{120,,Debugging}.
+Here is the list of the most useful options. For further info, see @ref{170,,Debugging}.
@cartouche
@quotation Hint
Note that these arguments are represented as @code{simulation_options...} in this documentation.
-For analysis/elaboration options, see @ref{65,,Invoking GHDL}.
+For analysis/elaboration options, see @ref{69,,Invoking GHDL}.
@end quotation
@end cartouche
@geindex ghdl command line option; -gGENERIC
-@anchor{using/Simulation cmdoption-ghdl-gGENERIC}@anchor{121}@anchor{using/Simulation cmdoption-ghdl-ggeneric}@anchor{122}
+@anchor{using/Simulation cmdoption-ghdl-gGENERIC}@anchor{171}@anchor{using/Simulation cmdoption-ghdl-ggeneric}@anchor{172}
@deffn {Option} @w{-}gGENERIC=VALUE
Set value @cite{VALUE} to generic with name @cite{GENERIC}.
@@ -4002,7 +4284,7 @@ This is currently a run option; but in the (not near) future it might be depreca
become an elaboration option only. As a result, now you can generate a single binary and
execute it multiple times with different arguments. That might not be possible in the future.
-As explained in @ref{92,,-e}, performing a complete elaboration in terms of the LRM requires
+As explained in @ref{96,,-e}, performing a complete elaboration in terms of the LRM requires
to get rid of the compile and link model. This is mostly because delaying certain elaboration
steps to the runtime prevents elaboration-time optimisions.
@end quotation
@@ -4016,7 +4298,7 @@ Currently, GHDL has limited support for generic types in the CLI. It is suggeste
@end deffn
@geindex ghdl command line option; --assert-level
-@anchor{using/Simulation cmdoption-ghdl-assert-level}@anchor{a6}
+@anchor{using/Simulation cmdoption-ghdl-assert-level}@anchor{aa}
@deffn {Option} @w{-}@w{-}assert@w{-}level=<LEVEL>
Select the assertion level at which an assertion violation stops the
@@ -4032,12 +4314,12 @@ with severity level @code{warning}, @code{error} or @code{failure} would
stop simulation, but the assertion violation at the @code{note} severity
level would only display a message.
-Option @ref{a6,,--assert-level=none} prevents any
+Option @ref{aa,,--assert-level=none} prevents any
assertion violation from stopping simulation.
@end deffn
@geindex ghdl command line option; --backtrace-severity
-@anchor{using/Simulation cmdoption-ghdl-backtrace-severity}@anchor{123}
+@anchor{using/Simulation cmdoption-ghdl-backtrace-severity}@anchor{173}
@deffn {Option} @w{-}@w{-}backtrace@w{-}severity=<LEVEL>
Select the assertion level at which an assertion violation display a
@@ -4049,12 +4331,12 @@ useful.
@end deffn
@geindex ghdl command line option; --ieee-asserts
-@anchor{using/Simulation cmdoption-ghdl-ieee-asserts}@anchor{124}
+@anchor{using/Simulation cmdoption-ghdl-ieee-asserts}@anchor{174}
@deffn {Option} @w{-}@w{-}ieee@w{-}asserts=<POLICY>
@end deffn
@geindex ghdl command line option; --asserts
-@anchor{using/Simulation cmdoption-ghdl-asserts}@anchor{125}
+@anchor{using/Simulation cmdoption-ghdl-asserts}@anchor{175}
@deffn {Option} @w{-}@w{-}asserts=<POLICY>
Select how assertions are handled. @cite{POLICY} can be @code{enable} (the
@@ -4072,11 +4354,11 @@ overridden by using the @code{--ieee-asserts} option after the
@end deffn
@geindex ghdl command line option; --stop-time
-@anchor{using/Simulation cmdoption-ghdl-stop-time}@anchor{126}
+@anchor{using/Simulation cmdoption-ghdl-stop-time}@anchor{176}
@deffn {Option} @w{-}@w{-}stop@w{-}time=<TIME>
Stop the simulation after @code{TIME}. @code{TIME} is expressed as a time
-value, @emph{without} any space. The time is the simulation time, not
+value, `without' any space. The time is the simulation time, not
the real clock time.
For example:
@@ -4088,7 +4370,7 @@ $ ./my_design --stop-time=ps
@end deffn
@geindex ghdl command line option; --stop-delta
-@anchor{using/Simulation cmdoption-ghdl-stop-delta}@anchor{127}
+@anchor{using/Simulation cmdoption-ghdl-stop-delta}@anchor{177}
@deffn {Option} @w{-}@w{-}stop@w{-}delta=<N>
Stop the simulation after @cite{N} delta cycles in the same current
@@ -4098,21 +4380,21 @@ time. The default is 5000.
@end deffn
@geindex ghdl command line option; --disp-time
-@anchor{using/Simulation cmdoption-ghdl-disp-time}@anchor{128}
+@anchor{using/Simulation cmdoption-ghdl-disp-time}@anchor{178}
@deffn {Option} @w{-}@w{-}disp@w{-}time
Display the time and delta cycle number as simulation advances.
@end deffn
@geindex ghdl command line option; --unbuffered
-@anchor{using/Simulation cmdoption-ghdl-unbuffered}@anchor{129}
+@anchor{using/Simulation cmdoption-ghdl-unbuffered}@anchor{179}
@deffn {Option} @w{-}@w{-}unbuffered
Disable buffering on stdout, stderr and files opened in write or append mode (TEXTIO).
@end deffn
@geindex ghdl command line option; --max-stack-alloc
-@anchor{using/Simulation cmdoption-ghdl-max-stack-alloc}@anchor{12a}
+@anchor{using/Simulation cmdoption-ghdl-max-stack-alloc}@anchor{17a}
@deffn {Option} @w{-}@w{-}max@w{-}stack@w{-}alloc=<N>
Emit an error message in case of allocation on the stack of an
@@ -4120,7 +4402,7 @@ object larger than @cite{N} KB. Use 0 to disable these checks.
@end deffn
@geindex ghdl command line option; --sdf
-@anchor{using/Simulation cmdoption-ghdl-sdf}@anchor{12b}
+@anchor{using/Simulation cmdoption-ghdl-sdf}@anchor{17b}
@deffn {Option} @w{-}@w{-}sdf=<PATH=FILENAME>
Do VITAL annotation on @cite{PATH} with SDF file @code{FILENAME}.
@@ -4142,11 +4424,11 @@ If the option contains a type of delay, that is @code{min=},
typical or maximum values. If the option does not contain a type of delay,
the annotator uses the typical delay.
-See section @ref{12c,,Backannotation}, for more details.
+See section @ref{17c,,Backannotation}, for more details.
@end deffn
@geindex ghdl command line option; --vpi
-@anchor{using/Simulation cmdoption-ghdl-vpi}@anchor{12d}
+@anchor{using/Simulation cmdoption-ghdl-vpi}@anchor{17d}
@deffn {Option} @w{-}@w{-}vpi=<FILENAME>
Load VPI library. This option can be used multiple times to load different libraries.
@@ -4162,14 +4444,14 @@ void (*vlog_startup_routines[]) () = @{
@end deffn
@geindex ghdl command line option; --vpi-trace
-@anchor{using/Simulation cmdoption-ghdl-vpi-trace}@anchor{12e}
+@anchor{using/Simulation cmdoption-ghdl-vpi-trace}@anchor{17e}
@deffn {Option} @w{-}@w{-}vpi@w{-}trace[=<FILENAME>]
Trace vpi calls. Trace is printed to @code{FILENAME} if provided, otherwise to stdout.
@end deffn
@geindex ghdl command line option; --vhpi
-@anchor{using/Simulation cmdoption-ghdl-vhpi}@anchor{12f}
+@anchor{using/Simulation cmdoption-ghdl-vhpi}@anchor{17f}
@deffn {Option} @w{-}@w{-}vhpi=<FILENAME>[:<ENTRYPOINT>]
Load VHPI library. This option can be used multiple times to load different libraries.
@@ -4187,30 +4469,30 @@ void (*vhpi_startup_routines[])() = @{
@end deffn
@geindex ghdl command line option; --vhpi-trace
-@anchor{using/Simulation cmdoption-ghdl-vhpi-trace}@anchor{130}
+@anchor{using/Simulation cmdoption-ghdl-vhpi-trace}@anchor{180}
@deffn {Option} @w{-}@w{-}vhpi@w{-}trace[=<FILENAME>]
Trace vhpi calls. Trace is printed to @code{FILENAME} if provided, otherwise to stdout.
@end deffn
@geindex ghdl command line option; --help
-@anchor{using/Simulation cmdoption-ghdl-help}@anchor{131}
+@anchor{using/Simulation cmdoption-ghdl-help}@anchor{181}
@deffn {Option} @w{-}@w{-}help
Display a short description of the options accepted by the runtime library.
@end deffn
@geindex ghdl command line option; --no-run
-@anchor{using/Simulation cmdoption-ghdl-no-run}@anchor{c8}
+@anchor{using/Simulation cmdoption-ghdl-no-run}@anchor{cc}
@deffn {Option} @w{-}@w{-}no@w{-}run
-Stop the simulation before the first cycle. This option actually elaborates the design, so it will catch any bound error in port maps. See also @ref{92,,-e}.
+Stop the simulation before the first cycle. This option actually elaborates the design, so it will catch any bound error in port maps. See also @ref{96,,-e}.
-This may be used with @ref{132,,--disp-tree} to display the tree without simulating the whole design.
+This may be used with @ref{182,,--disp-tree} to display the tree without simulating the whole design.
@end deffn
@node Export waveforms,Export hierarchy and references,Simulation options,Simulation runtime
-@anchor{using/Simulation export-waveforms}@anchor{133}@anchor{using/Simulation export-waves}@anchor{97}
+@anchor{using/Simulation export-waveforms}@anchor{183}@anchor{using/Simulation export-waves}@anchor{9b}
@section Export waveforms
@@ -4221,7 +4503,7 @@ All the waveform formats supported by GHDL are also supported by GTKWave@footnot
@end cartouche
@geindex ghdl command line option; --read-wave-opt
-@anchor{using/Simulation cmdoption-ghdl-read-wave-opt}@anchor{134}
+@anchor{using/Simulation cmdoption-ghdl-read-wave-opt}@anchor{184}
@deffn {Option} @w{-}@w{-}read@w{-}wave@w{-}opt=<FILENAME>
Filter signals to be dumped to the wave file according to the wave option file provided.
@@ -4258,7 +4540,7 @@ my_pkg.global_signal_a
@end deffn
@geindex ghdl command line option; --write-wave-opt
-@anchor{using/Simulation cmdoption-ghdl-write-wave-opt}@anchor{135}
+@anchor{using/Simulation cmdoption-ghdl-write-wave-opt}@anchor{185}
@deffn {Option} @w{-}@w{-}write@w{-}wave@w{-}opt=<FILENAME>
If the wave option file doesn’t exist, creates it with all the signals of the design.
@@ -4266,12 +4548,12 @@ Otherwise throws an error, because it won’t erase an existing file.
@end deffn
@geindex ghdl command line option; --vcd
-@anchor{using/Simulation cmdoption-ghdl-vcd}@anchor{99}
+@anchor{using/Simulation cmdoption-ghdl-vcd}@anchor{9d}
@deffn {Option} @w{-}@w{-}vcd=<FILENAME>
@end deffn
@geindex ghdl command line option; --vcdgz
-@anchor{using/Simulation cmdoption-ghdl-vcdgz}@anchor{9a}
+@anchor{using/Simulation cmdoption-ghdl-vcdgz}@anchor{9e}
@deffn {Option} @w{-}@w{-}vcdgz=<FILENAME>
@geindex vcd
@@ -4280,15 +4562,15 @@ Otherwise throws an error, because it won’t erase an existing file.
@geindex dump of signals
-Option @ref{99,,--vcd} dumps into the VCD file @cite{FILENAME} the signal values before each non-delta cycle.
+Option @ref{9d,,--vcd} dumps into the VCD file @cite{FILENAME} the signal values before each non-delta cycle.
If @cite{FILENAME} is @code{-}, then the standard output is used, otherwise a file is created or overwritten.
-The @ref{9a,,--vcdgz} option is the same as the @ref{99,,--vcd} option, but the output is compressed using the @cite{zlib}
+The @ref{9e,,--vcdgz} option is the same as the @ref{9d,,--vcd} option, but the output is compressed using the @cite{zlib}
(@cite{gzip} compression).
However, you can’t use the @code{-} filename.
Furthermore, only one VCD file can be written.
-@emph{VCD} (value change dump) is a file format defined by the @cite{verilog} standard and used by virtually any wave
+`VCD' (value change dump) is a file format defined by the @cite{verilog} standard and used by virtually any wave
viewer.
Since it comes from @cite{verilog}, only a few VHDL types can be dumped.
GHDL dumps only signals whose base type is of the following:
@@ -4335,20 +4617,20 @@ any integer type
@cartouche
@quotation Note
It is very unfortunate there is no standard or well-known wave file format supporting VHDL types.
-If you are aware of such a free format, please @ref{6a,,let us know}!
+If you are aware of such a free format, please @ref{6e,,let us know}!
@end quotation
@end cartouche
@end deffn
@geindex ghdl command line option; --vcd-nodate
-@anchor{using/Simulation cmdoption-ghdl-vcd-nodate}@anchor{136}
+@anchor{using/Simulation cmdoption-ghdl-vcd-nodate}@anchor{186}
@deffn {Option} @w{-}@w{-}vcd@w{-}nodate
Do not write date in the VCD file.
@end deffn
@geindex ghdl command line option; --vcd-4states
-@anchor{using/Simulation cmdoption-ghdl-vcd-4states}@anchor{137}
+@anchor{using/Simulation cmdoption-ghdl-vcd-4states}@anchor{187}
@deffn {Option} @w{-}@w{-}vcd@w{-}4states
Only use the verilog states @code{0/1/x/z} to represent @code{std_ulogic}
@@ -4358,7 +4640,7 @@ keeping states @code{U/W/L/H/-}), which is supported by several VCD readers.
@end deffn
@geindex ghdl command line option; --fst
-@anchor{using/Simulation cmdoption-ghdl-fst}@anchor{9b}
+@anchor{using/Simulation cmdoption-ghdl-fst}@anchor{9f}
@deffn {Option} @w{-}@w{-}fst=<FILENAME>
Write the waveforms into an @cite{fst} file.
@@ -4366,20 +4648,20 @@ The @cite{fst} files are much smaller than VCD or @cite{GHW} files, but it handl
@end deffn
@geindex ghdl command line option; --wave
-@anchor{using/Simulation cmdoption-ghdl-wave}@anchor{98}
+@anchor{using/Simulation cmdoption-ghdl-wave}@anchor{9c}
@deffn {Option} @w{-}@w{-}wave=<FILENAME>
-Write the waveforms into a @ref{4a,,GHDL Waveform (GHW)} file.
+Write the waveforms into a @ref{4e,,GHDL Waveform (GHW)} file.
Contrary to VCD files, any VHDL type can be dumped into a GHW file.
@end deffn
@node Export hierarchy and references,,Export waveforms,Simulation runtime
-@anchor{using/Simulation export-hierarchy-and-references}@anchor{138}
+@anchor{using/Simulation export-hierarchy-and-references}@anchor{188}
@section Export hierarchy and references
@geindex ghdl command line option; --disp-tree
-@anchor{using/Simulation cmdoption-ghdl-disp-tree}@anchor{132}
+@anchor{using/Simulation cmdoption-ghdl-disp-tree}@anchor{182}
@deffn {Option} @w{-}@w{-}disp@w{-}tree=<KIND>
@geindex display design hierarchy
@@ -4407,7 +4689,7 @@ If @cite{KIND} is not specified, the hierarchy is displayed with the @code{port}
@end deffn
@geindex ghdl command line option; --xref-html
-@anchor{using/Simulation cmdoption-ghdl-xref-html}@anchor{e8}
+@anchor{using/Simulation cmdoption-ghdl-xref-html}@anchor{ec}
@deffn {Option} @w{-}@w{-}xref@w{-}html [options] files...
To easily navigate through your sources, you may generate cross-references.
@@ -4417,11 +4699,11 @@ An index of the files is created too.
The set of @code{files} are analyzed, and then, if the analysis is successful, html files are generated in the directory
specified by the @code{-o <DIR>} option, or @code{html/} directory by default.
-The style of the html file can be modified with the @ref{e6,,--format} option.
+The style of the html file can be modified with the @ref{ea,,--format} option.
@end deffn
@geindex ghdl command line option; --psl-report
-@anchor{using/Simulation cmdoption-ghdl-psl-report}@anchor{139}
+@anchor{using/Simulation cmdoption-ghdl-psl-report}@anchor{189}
@deffn {Option} @w{-}@w{-}psl@w{-}report=<FILENAME>
Write a report for PSL at the end of simulation.
@@ -4430,7 +4712,7 @@ The file is written using the JSON format, but is still human readable.
@end deffn
@geindex ghdl command line option; --psl-report-uncovered
-@anchor{using/Simulation cmdoption-ghdl-psl-report-uncovered}@anchor{13a}
+@anchor{using/Simulation cmdoption-ghdl-psl-report-uncovered}@anchor{18a}
@deffn {Option} @w{-}@w{-}psl@w{-}report@w{-}uncovered
Reports warning for each uncovered PSL cover point when simulation ends.
@@ -4445,26 +4727,26 @@ Reports warning for each uncovered PSL cover point when simulation ends.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Synthesis,Additional Command Reference,Simulation runtime,Top
-@anchor{using/Synthesis doc}@anchor{13b}@anchor{using/Synthesis synthesis}@anchor{13c}@anchor{using/Synthesis using-synthesis}@anchor{49}
+@anchor{using/Synthesis doc}@anchor{18b}@anchor{using/Synthesis synthesis}@anchor{18c}@anchor{using/Synthesis using-synthesis}@anchor{4d}
@chapter Synthesis
@cartouche
@quotation Warning
This is experimental and work in progress! If you find crashes or unsupported features, please
-@ref{6a,,report them}!
+@ref{6e,,report them}!
@end quotation
@end cartouche
@@ -4483,7 +4765,7 @@ Hence, the netlists generated by GHDL are not optimised.
@end menu
@node Synthesis [--synth],Synthesis options,,Synthesis
-@anchor{using/Synthesis synth-command}@anchor{13d}@anchor{using/Synthesis synthesis-synth}@anchor{13e}
+@anchor{using/Synthesis synth-command}@anchor{18d}@anchor{using/Synthesis synthesis-synth}@anchor{18e}
@section Synthesis [@code{--synth}]
@@ -4495,23 +4777,23 @@ using a subset of VHDL 1993, GHDL’s synthesis features can be used as a prepro
versions of the standard, but which don’t provide the most recent features.
Currently, the default output is a generic netlist using a (very simple) subset of VHDL 1993.
-See @ref{13f,,--out} and #1174@footnote{https://github.com/ghdl/ghdl/issues/1174} for on-going discussion about other output formats.
+See @ref{18f,,--out} and #1174@footnote{https://github.com/ghdl/ghdl/issues/1174} for on-going discussion about other output formats.
@geindex ghdl command line option; --synth
-@anchor{using/Synthesis cmdoption-ghdl-synth}@anchor{140}
+@anchor{using/Synthesis cmdoption-ghdl-synth}@anchor{6f}
@deffn {Option} @w{-}@w{-}synth <[options...] [library.]top_unit [arch]>
Elaborates for synthesis the design whose top unit is indicated by @code{[library.]top_unit [arch]}.
@cartouche
@quotation Attention
-All the units must have been analyzed; that is, the artifacts of previously executed @ref{c4,,-a} calls must exist.
+All the units must have been analyzed; that is, the artifacts of previously executed @ref{c8,,-a} calls must exist.
@end quotation
@end cartouche
@end deffn
@geindex ghdl command line option; --synth
-@anchor{using/Synthesis cmdoption-ghdl-0}@anchor{6b}
+@anchor{using/Synthesis cmdoption-ghdl-0}@anchor{190}
@deffn {Option} @w{-}@w{-}synth <[options...] file... @w{-}e [top_unit [arch]]>
Analyses and elaborates for synthesis the files present on the command line only.
@@ -4530,7 +4812,7 @@ In corner cases, a filename might exist which matches the name of a primary unit
@end deffn
@node Synthesis options,Yosys plugin,Synthesis [--synth],Synthesis
-@anchor{using/Synthesis id1}@anchor{141}@anchor{using/Synthesis synthesis-options}@anchor{142}
+@anchor{using/Synthesis id1}@anchor{191}@anchor{using/Synthesis synthesis-options}@anchor{192}
@section Synthesis options
@@ -4557,10 +4839,10 @@ For example:
@end quotation
@end cartouche
-Due to GHDL’s modular architecture (see @ref{143,,Overview}), the synthesis kernel shares the VHDL parsing front-end
+Due to GHDL’s modular architecture (see @ref{193,,Overview}), the synthesis kernel shares the VHDL parsing front-end
with the simulation back-ends.
Hence, available options for synthesis are the same as for analysis and/or simulation elaboration
-(see @ref{c5,,Options}).
+(see @ref{c9,,Options}).
In addition to those options, there are some synthesis specific options.
@cartouche
@@ -4573,11 +4855,11 @@ You can find them in the file ghdlsynth.adb@footnote{https://github.com/ghdl/ghd
@end cartouche
@geindex ghdl command line option; -gNAME
-@anchor{using/Synthesis cmdoption-ghdl-gNAME}@anchor{144}@anchor{using/Synthesis cmdoption-ghdl-gname}@anchor{145}
+@anchor{using/Synthesis cmdoption-ghdl-gNAME}@anchor{194}@anchor{using/Synthesis cmdoption-ghdl-gname}@anchor{195}
@deffn {Option} @w{-}gNAME=VALUE
Override top unit generic @cite{NAME} with value @cite{VALUE}.
-Similar to the run-time option @ref{121,,-gGENERIC}.
+Similar to the run-time option @ref{171,,-gGENERIC}.
Example:
@@ -4587,40 +4869,40 @@ $ ghdl --synth --std=08 -gDEPTH=12 [library.]top_unit [arch]
@end deffn
@geindex ghdl command line option; --out
-@anchor{using/Synthesis cmdoption-ghdl-out}@anchor{13f}
+@anchor{using/Synthesis cmdoption-ghdl-out}@anchor{18f}
@deffn {Option} @w{-}@w{-}out=<vhdl|raw@w{-}vhdl|verilog|dot|none|raw|dump>
@itemize *
@item
-@strong{vhdl} @emph{(default)}: equivalent to @code{raw-vhdl}, but the original top-level unit is preserved unmodified, so the
+`vhdl' `(default)': equivalent to @code{raw-vhdl}, but the original top-level unit is preserved unmodified, so the
synthesized design can be simulated with the same testbench.
@item
-@strong{raw-vhdl}: all statements are converted to a simple VHDL 1993
+`raw-vhdl': all statements are converted to a simple VHDL 1993
netlist, for allowing instantiation in other synthesis tools
without modern VHDL support.
@item
-@strong{verilog}: generate a verilog netlist.
+`verilog': generate a verilog netlist.
@item
-@strong{dot}: generate a graphviz dot diagram of the netlist AST.
+`dot': generate a graphviz dot diagram of the netlist AST.
@item
-@strong{none}: perform the synthesis, but do not generate any output; useful for frequent checks.
+`none': perform the synthesis, but do not generate any output; useful for frequent checks.
@item
-@strong{raw}: print the internal representation of the design, for debugging purposes.
+`raw': print the internal representation of the design, for debugging purposes.
@item
-@strong{dump}: similar to @code{raw}, with even more internal details for debugging.
+`dump': similar to @code{raw}, with even more internal details for debugging.
@end itemize
@end deffn
@geindex ghdl command line option; --vendor-library
-@anchor{using/Synthesis cmdoption-ghdl-vendor-library}@anchor{146}
+@anchor{using/Synthesis cmdoption-ghdl-vendor-library}@anchor{196}
@deffn {Option} @w{-}@w{-}vendor@w{-}library=NAME
Any unit from library NAME is a black box.
@@ -4638,12 +4920,12 @@ $ ghdl --synth --std=08 --vendor-library=vendorlib [library.]top_unit [arch]
@end menu
@node Assertions PSL and formal verification,,,Synthesis options
-@anchor{using/Synthesis assertions-psl-and-formal-verification}@anchor{147}
+@anchor{using/Synthesis assertions-psl-and-formal-verification}@anchor{197}
@subsection Assertions, PSL and formal verification
@geindex ghdl command line option; --no-formal
-@anchor{using/Synthesis cmdoption-ghdl-no-formal}@anchor{148}
+@anchor{using/Synthesis cmdoption-ghdl-no-formal}@anchor{198}
@deffn {Option} @w{-}@w{-}no@w{-}formal
Neither synthesize assert nor PSL.
@@ -4656,7 +4938,7 @@ $ ghdl --synth --std=08 --no-formal [library.]top_unit [arch]
@end deffn
@geindex ghdl command line option; --no-assert-cover
-@anchor{using/Synthesis cmdoption-ghdl-no-assert-cover}@anchor{149}
+@anchor{using/Synthesis cmdoption-ghdl-no-assert-cover}@anchor{199}
@deffn {Option} @w{-}@w{-}no@w{-}assert@w{-}cover
Disable automatic cover PSL assertion activation. If this option isn’t used, GHDL generates
@@ -4670,12 +4952,12 @@ $ ghdl --synth --std=08 --no-assert-cover [library.]top_unit [arch]
@end deffn
@geindex ghdl command line option; --assert-assumes
-@anchor{using/Synthesis cmdoption-ghdl-assert-assumes}@anchor{14a}
+@anchor{using/Synthesis cmdoption-ghdl-assert-assumes}@anchor{19a}
@deffn {Option} @w{-}@w{-}assert@w{-}assumes
Treat all PSL asserts like PSL assumes. If this option is used, GHDL generates an @cite{assume} directive
for each @cite{assert} directive during synthesis. This is similar to the @cite{-assert-assumes}
-option of Yosys’ read_verilog@footnote{http://www.clifford.at/yosys/cmd_read_verilog.html} command.
+option of Yosys’ read_verilog@footnote{https://yosyshq.net/yosys/cmd_read_verilog.html} command.
Example:
@@ -4684,16 +4966,16 @@ $ ghdl --synth --std=08 --assert-assumes [library.]top_unit [arch]
@end example
As all PSL asserts are treated like PSL assumes, no @cite{cover} directives are automatically generated for them,
-regardless of using the @ref{149,,--no-assert-cover} or not.
+regardless of using the @ref{199,,--no-assert-cover} or not.
@end deffn
@geindex ghdl command line option; --assume-asserts
-@anchor{using/Synthesis cmdoption-ghdl-assume-asserts}@anchor{14b}
+@anchor{using/Synthesis cmdoption-ghdl-assume-asserts}@anchor{19b}
@deffn {Option} @w{-}@w{-}assume@w{-}asserts
Treat all PSL assumes like PSL asserts. If this option is used, GHDL generates an @cite{assert} directive
for each @cite{assume} directive during synthesis. This is similar to the @cite{-assume-asserts}
-option of Yosys’ read_verilog@footnote{http://www.clifford.at/yosys/cmd_read_verilog.html} command.
+option of Yosys’ read_verilog@footnote{https://yosyshq.net/yosys/cmd_read_verilog.html} command.
Example:
@@ -4702,20 +4984,20 @@ $ ghdl --synth --std=08 --assume-asserts [library.]top_unit [arch]
@end example
@cite{cover} directives are automatically generated for the resulting asserts (with an implication operator)
-if @ref{149,,--no-assert-cover} isn’t used.
+if @ref{199,,--no-assert-cover} isn’t used.
@end deffn
@node Yosys plugin,,Synthesis options,Synthesis
-@anchor{using/Synthesis synth-plugin}@anchor{6c}@anchor{using/Synthesis yosys-plugin}@anchor{14c}
+@anchor{using/Synthesis synth-plugin}@anchor{70}@anchor{using/Synthesis yosys-plugin}@anchor{19c}
@section Yosys plugin
-ghdl-yosys-plugin@footnote{https://github.com/ghdl/ghdl-yosys-plugin} is a module to use GHDL as a VHDL front-end for Yosys Open Synthesis Suite@footnote{http://www.clifford.at/yosys/}, a framework for optimised synthesis and technology mapping.
+ghdl-yosys-plugin@footnote{https://github.com/ghdl/ghdl-yosys-plugin} is a module to use GHDL as a VHDL front-end for Yosys Open Synthesis Suite@footnote{https://yosyshq.net/yosys/}, a framework for optimised synthesis and technology mapping.
Artifacts generated by Yosys can be used in multiple open source and vendor tools to achieve P&R, formal verification,
etc. A relevant feature of combining GHDL and Yosys is that mixed-language (VHDL-Verilog) synthesis with open source
tools is possible.
-The command line syntax for this plugin is the same as for @ref{6b,,--synth}, except that the command name (@code{--synth})
+The command line syntax for this plugin is the same as for @ref{6f,,--synth}, except that the command name (@code{--synth})
is neither required nor supported.
Instead, @code{yosys}, @code{yosys -m ghdl} or @code{yosys -m path/to/ghdl.so} need to be used, depending of how is the plugin
built.
@@ -4724,8 +5006,8 @@ guidelines.
@cartouche
@quotation Hint
-ghdl-yosys-plugin is a thin layer that converts the internal representation of @ref{6b,,--synth} to Yosys’ C API.
-Hence, it is suggested to check the designs with @ref{6b,,--synth} before running synthesis with Yosys.
+ghdl-yosys-plugin is a thin layer that converts the internal representation of @ref{6f,,--synth} to Yosys’ C API.
+Hence, it is suggested to check the designs with @ref{6f,,--synth} before running synthesis with Yosys.
@end quotation
@end cartouche
@@ -4735,7 +5017,7 @@ Hence, it is suggested to check the designs with @ref{6b,,--synth} before runnin
@end menu
@node Convert V HDL to other formats,,,Yosys plugin
-@anchor{using/Synthesis convert-v-hdl-to-other-formats}@anchor{14d}
+@anchor{using/Synthesis convert-v-hdl-to-other-formats}@anchor{19d}
@subsection Convert (V)HDL to other formats
@@ -4745,7 +5027,7 @@ sources can be converted to EDIF, SMT, BTOR2, etc.
@cartouche
@quotation Hint
For a comprehensive list of supported output formats (AIGER, BLIF, ILANG, JSON…), check out the
-Yosys documentation@footnote{http://www.clifford.at/yosys/documentation.html}.
+Yosys documentation@footnote{https://yosyshq.net/yosys/documentation.html}.
@end quotation
@end cartouche
@@ -4760,7 +5042,7 @@ Yosys documentation@footnote{http://www.clifford.at/yosys/documentation.html}.
@end menu
@node To Verilog,To EDIF,,Convert V HDL to other formats
-@anchor{using/Synthesis to-verilog}@anchor{14e}
+@anchor{using/Synthesis to-verilog}@anchor{19e}
@subsubsection To Verilog
@@ -4769,7 +5051,7 @@ yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_verilog filename.
@end example
@node To EDIF,To SMT,To Verilog,Convert V HDL to other formats
-@anchor{using/Synthesis to-edif}@anchor{14f}
+@anchor{using/Synthesis to-edif}@anchor{19f}
@subsubsection To EDIF
@@ -4778,7 +5060,7 @@ yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_edif filename.edi
@end example
@node To SMT,To BTOR2,To EDIF,Convert V HDL to other formats
-@anchor{using/Synthesis to-smt}@anchor{150}
+@anchor{using/Synthesis to-smt}@anchor{1a0}
@subsubsection To SMT
@@ -4787,7 +5069,7 @@ yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_smt2 filename.smt
@end example
@node To BTOR2,To FIRRTL,To SMT,Convert V HDL to other formats
-@anchor{using/Synthesis to-btor2}@anchor{151}
+@anchor{using/Synthesis to-btor2}@anchor{1a1}
@subsubsection To BTOR2
@@ -4796,7 +5078,7 @@ yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_btor filename.bto
@end example
@node To FIRRTL,To VHDL,To BTOR2,Convert V HDL to other formats
-@anchor{using/Synthesis to-firrtl}@anchor{152}
+@anchor{using/Synthesis to-firrtl}@anchor{1a2}
@subsubsection To FIRRTL
@@ -4805,7 +5087,7 @@ yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_firrtl filename.f
@end example
@node To VHDL,,To FIRRTL,Convert V HDL to other formats
-@anchor{using/Synthesis to-vhdl}@anchor{153}
+@anchor{using/Synthesis to-vhdl}@anchor{1a3}
@subsubsection To VHDL
@@ -4821,25 +5103,25 @@ a @code{write_vhdl} command to Yosys. That is the complement of what ghdl-yosys-
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Additional Command Reference,Implementation of VHDL,Synthesis,Top
-@anchor{using/CommandReference doc}@anchor{154}@anchor{using/CommandReference additional-command-reference}@anchor{155}@anchor{using/CommandReference ref-command}@anchor{66}
+@anchor{using/CommandReference doc}@anchor{1a4}@anchor{using/CommandReference additional-command-reference}@anchor{1a5}@anchor{using/CommandReference ref-command}@anchor{6a}
@chapter Additional Command Reference
@cartouche
@quotation Hint
-The most common commands and options are shown in section @ref{65,,Invoking GHDL}.
+The most common commands and options are shown in section @ref{69,,Invoking GHDL}.
Here the advanced and experimental features are described.
@end quotation
@end cartouche
@@ -4855,17 +5137,17 @@ Here the advanced and experimental features are described.
@end menu
@node Environment variables,Misc commands,,Additional Command Reference
-@anchor{using/CommandReference environment-variables}@anchor{156}
+@anchor{using/CommandReference environment-variables}@anchor{1a6}
@section Environment variables
@geindex environment variable; GHDL_PREFIX
-@anchor{using/CommandReference envvar-GHDL_PREFIX}@anchor{c0}
+@anchor{using/CommandReference envvar-GHDL_PREFIX}@anchor{c4}
@deffn {Environment Variable} GHDL_PREFIX
@end deffn
@node Misc commands,File commands,Environment variables,Additional Command Reference
-@anchor{using/CommandReference misc-commands}@anchor{157}
+@anchor{using/CommandReference misc-commands}@anchor{1a7}
@section Misc commands
@@ -4882,13 +5164,13 @@ There are a few GHDL commands which are seldom useful.
@end menu
@node Help [-h],Display config [--disp-config],,Misc commands
-@anchor{using/CommandReference help-h}@anchor{158}
+@anchor{using/CommandReference help-h}@anchor{1a8}
@subsection Help [@code{-h}]
@geindex ghdl command line option; --help
@geindex ghdl command line option; -h
-@anchor{using/CommandReference cmdoption-ghdl-help}@anchor{159}@anchor{using/CommandReference cmdoption-ghdl-h}@anchor{15a}
+@anchor{using/CommandReference cmdoption-ghdl-help}@anchor{1a9}@anchor{using/CommandReference cmdoption-ghdl-h}@anchor{1aa}
@deffn {Option} @w{-}@w{-}help, @w{-}h
@end deffn
@@ -4904,12 +5186,12 @@ ghdl -h command
@geindex cmd display configuration
@node Display config [--disp-config],Display standard [--disp-standard],Help [-h],Misc commands
-@anchor{using/CommandReference display-config-disp-config}@anchor{15b}
+@anchor{using/CommandReference display-config-disp-config}@anchor{1ab}
@subsection Display config [@code{--disp-config}]
@geindex ghdl command line option; --disp-config
-@anchor{using/CommandReference cmdoption-ghdl-disp-config}@anchor{c1}
+@anchor{using/CommandReference cmdoption-ghdl-disp-config}@anchor{c5}
@deffn {Option} @w{-}@w{-}disp@w{-}config <[options]>
@end deffn
@@ -4920,12 +5202,12 @@ Display the program paths and options used by GHDL. This may be useful to track
@geindex display `@w{`}std.standard`@w{`}
@node Display standard [--disp-standard],Version [--version],Display config [--disp-config],Misc commands
-@anchor{using/CommandReference display-standard-disp-standard}@anchor{15c}
+@anchor{using/CommandReference display-standard-disp-standard}@anchor{1ac}
@subsection Display standard [@code{--disp-standard}]
@geindex ghdl command line option; --disp-standard
-@anchor{using/CommandReference cmdoption-ghdl-disp-standard}@anchor{15d}
+@anchor{using/CommandReference cmdoption-ghdl-disp-standard}@anchor{1ad}
@deffn {Option} @w{-}@w{-}disp@w{-}standard <[options]>
@end deffn
@@ -4934,20 +5216,20 @@ Display the @code{std.standard} package.
@geindex cmd version
@node Version [--version],,Display standard [--disp-standard],Misc commands
-@anchor{using/CommandReference version-version}@anchor{15e}
+@anchor{using/CommandReference version-version}@anchor{1ae}
@subsection Version [@code{--version}]
@geindex ghdl command line option; --version
@geindex ghdl command line option; -v
-@anchor{using/CommandReference cmdoption-ghdl-version}@anchor{15f}@anchor{using/CommandReference cmdoption-ghdl-v}@anchor{160}
+@anchor{using/CommandReference cmdoption-ghdl-version}@anchor{1af}@anchor{using/CommandReference cmdoption-ghdl-v}@anchor{1b0}
@deffn {Option} @w{-}@w{-}version, @w{-}v
@end deffn
Display the GHDL version.
@node File commands,GCC/LLVM only commands,Misc commands,Additional Command Reference
-@anchor{using/CommandReference file-commands}@anchor{161}
+@anchor{using/CommandReference file-commands}@anchor{1b1}
@section File commands
@@ -4967,12 +5249,12 @@ These are not analyzed, therefore, they work even if a file has semantic errors.
@end menu
@node Format [fmt],Pretty print [--pp-html],,File commands
-@anchor{using/CommandReference format-fmt}@anchor{162}
+@anchor{using/CommandReference format-fmt}@anchor{1b2}
@subsection Format [@code{fmt}]
@geindex ghdl command line option; fmt
-@anchor{using/CommandReference cmdoption-ghdl-arg-fmt}@anchor{163}
+@anchor{using/CommandReference cmdoption-ghdl-arg-fmt}@anchor{1b3}
@deffn {Option} fmt <file>
@end deffn
@@ -4981,28 +5263,28 @@ Format on the standard output the input file.
@geindex vhdl to html
@node Pretty print [--pp-html],Find [-f],Format [fmt],File commands
-@anchor{using/CommandReference pretty-print-pp-html}@anchor{164}
+@anchor{using/CommandReference pretty-print-pp-html}@anchor{1b4}
@subsection Pretty print [@code{--pp-html}]
@geindex ghdl command line option; --pp-html
-@anchor{using/CommandReference cmdoption-ghdl-pp-html}@anchor{e7}
+@anchor{using/CommandReference cmdoption-ghdl-pp-html}@anchor{eb}
@deffn {Option} @w{-}@w{-}pp@w{-}html <[options] file...>
@end deffn
The files are just scanned and an html file with syntax highlighting is generated on standard output.
Since the files are not even parsed, erroneous files or incomplete designs can be pretty printed.
-The style of the html file can be modified with the @ref{e6,,--format} option.
+The style of the html file can be modified with the @ref{ea,,--format} option.
@geindex cmd file find
@node Find [-f],Chop [--chop],Pretty print [--pp-html],File commands
-@anchor{using/CommandReference find-f}@anchor{165}
+@anchor{using/CommandReference find-f}@anchor{1b5}
@subsection Find [@code{-f}]
@geindex ghdl command line option; -f
-@anchor{using/CommandReference cmdoption-ghdl-f}@anchor{166}
+@anchor{using/CommandReference cmdoption-ghdl-f}@anchor{1b6}
@deffn {Option} @w{-}f <file...>
@end deffn
@@ -5012,12 +5294,12 @@ Design units marked with two stars are candidates to be at the apex of a design
@geindex cmd file chop
@node Chop [--chop],Lines [--lines],Find [-f],File commands
-@anchor{using/CommandReference chop-chop}@anchor{167}
+@anchor{using/CommandReference chop-chop}@anchor{1b7}
@subsection Chop [@code{--chop}]
@geindex ghdl command line option; --chop
-@anchor{using/CommandReference cmdoption-ghdl-chop}@anchor{168}
+@anchor{using/CommandReference cmdoption-ghdl-chop}@anchor{1b8}
@deffn {Option} @w{-}@w{-}chop <files...>
@end deffn
@@ -5049,12 +5331,12 @@ The size of the executable is reduced too.
@geindex cmd file lines
@node Lines [--lines],XML tree generation [--file-to-xml],Chop [--chop],File commands
-@anchor{using/CommandReference lines-lines}@anchor{169}
+@anchor{using/CommandReference lines-lines}@anchor{1b9}
@subsection Lines [@code{--lines}]
@geindex ghdl command line option; --lines
-@anchor{using/CommandReference cmdoption-ghdl-lines}@anchor{16a}
+@anchor{using/CommandReference cmdoption-ghdl-lines}@anchor{1ba}
@deffn {Option} @w{-}@w{-}lines <files...>
@end deffn
@@ -5063,12 +5345,12 @@ Display on the standard output lines of files preceded by line number.
@geindex cmd XML generation
@node XML tree generation [--file-to-xml],,Lines [--lines],File commands
-@anchor{using/CommandReference xml-tree-generation-file-to-xml}@anchor{16b}
+@anchor{using/CommandReference xml-tree-generation-file-to-xml}@anchor{1bb}
@subsection XML tree generation [@code{--file-to-xml}]
@geindex ghdl command line option; --file-to-xml
-@anchor{using/CommandReference cmdoption-ghdl-file-to-xml}@anchor{16c}
+@anchor{using/CommandReference cmdoption-ghdl-file-to-xml}@anchor{1bc}
@deffn {Option} @w{-}@w{-}file@w{-}to@w{-}xml
Outputs an XML representation of the decorated syntax tree for the input file and its dependencies.
@@ -5093,7 +5375,7 @@ Note that at this time there is no XML dump of the elaborated design.
@end cartouche
@node GCC/LLVM only commands,Options<2>,File commands,Additional Command Reference
-@anchor{using/CommandReference gcc-llvm-only-commands}@anchor{16d}@anchor{using/CommandReference gccllvm-only-programs}@anchor{16e}
+@anchor{using/CommandReference gcc-llvm-only-commands}@anchor{1bd}@anchor{using/CommandReference gccllvm-only-programs}@anchor{1be}
@section GCC/LLVM only commands
@@ -5107,12 +5389,12 @@ Note that at this time there is no XML dump of the elaborated design.
@end menu
@node Bind [--bind],Link [--link],,GCC/LLVM only commands
-@anchor{using/CommandReference bind-bind}@anchor{16f}
+@anchor{using/CommandReference bind-bind}@anchor{1bf}
@subsection Bind [@code{--bind}]
@geindex ghdl command line option; --bind
-@anchor{using/CommandReference cmdoption-ghdl-bind}@anchor{170}
+@anchor{using/CommandReference cmdoption-ghdl-bind}@anchor{1c0}
@deffn {Option} @w{-}@w{-}bind <[options] [library.]top_unit [arch]>
@end deffn
@@ -5122,8 +5404,8 @@ This command should be used only when the main entry point is not GHDL.
@cartouche
@quotation Hint
-Currently, the objects generated by @ref{170,,--bind} are created in the working directory.
-This behaviour is different from other object files generated with @ref{c4,,-a}, which are always placed in the same
+Currently, the objects generated by @ref{1c0,,--bind} are created in the working directory.
+This behaviour is different from other object files generated with @ref{c8,,-a}, which are always placed in the same
directory as the @cite{WORK} library.
It is possible to provide an output path with @code{ghdl --bind -o path/top_unit [library.]top_unit [arch]}.
However, @code{ghdl --list-link} will only search in the current path.
@@ -5133,12 +5415,12 @@ However, @code{ghdl --list-link} will only search in the current path.
@geindex cmd GCC/LLVM linking
@node Link [--link],List link [--list-link],Bind [--bind],GCC/LLVM only commands
-@anchor{using/CommandReference link-link}@anchor{171}
+@anchor{using/CommandReference link-link}@anchor{1c1}
@subsection Link [@code{--link}]
@geindex ghdl command line option; --link
-@anchor{using/CommandReference cmdoption-ghdl-link}@anchor{ef}
+@anchor{using/CommandReference cmdoption-ghdl-link}@anchor{f3}
@deffn {Option} @w{-}@w{-}link <[options] [library.]top_unit [arch]>
@end deffn
@@ -5150,12 +5432,12 @@ The elaboration command is equivalent to the bind command followed by the link c
@geindex cmd GCC/LLVM list link
@node List link [--list-link],,Link [--link],GCC/LLVM only commands
-@anchor{using/CommandReference list-link-list-link}@anchor{172}
+@anchor{using/CommandReference list-link-list-link}@anchor{1c2}
@subsection List link [@code{--list-link}]
@geindex ghdl command line option; --list-link
-@anchor{using/CommandReference cmdoption-ghdl-list-link}@anchor{173}
+@anchor{using/CommandReference cmdoption-ghdl-list-link}@anchor{1c3}
@deffn {Option} @w{-}@w{-}list@w{-}link <[library.]top_unit [arch]>
@end deffn
@@ -5186,12 +5468,12 @@ Provide an additional non-anonymous version script: @code{-Wl,-Wl,--version-scri
@end cartouche
@node Options<2>,Passing options to other programs,GCC/LLVM only commands,Additional Command Reference
-@anchor{using/CommandReference options}@anchor{174}
+@anchor{using/CommandReference options}@anchor{1c4}
@section Options
@geindex ghdl command line option; --GHDL1
-@anchor{using/CommandReference cmdoption-ghdl-GHDL1}@anchor{175}@anchor{using/CommandReference cmdoption-ghdl-ghdl1}@anchor{176}
+@anchor{using/CommandReference cmdoption-ghdl-GHDL1}@anchor{1c5}@anchor{using/CommandReference cmdoption-ghdl-ghdl1}@anchor{1c6}
@deffn {Option} @w{-}@w{-}GHDL1<=COMMAND>
@end deffn
@@ -5199,7 +5481,7 @@ Use @code{COMMAND} as the command name for the compiler.
If @code{COMMAND} is not a path, then it is searched in the path.
@geindex ghdl command line option; --AS
-@anchor{using/CommandReference cmdoption-ghdl-AS}@anchor{177}@anchor{using/CommandReference cmdoption-ghdl-as}@anchor{178}
+@anchor{using/CommandReference cmdoption-ghdl-AS}@anchor{1c7}@anchor{using/CommandReference cmdoption-ghdl-as}@anchor{1c8}
@deffn {Option} @w{-}@w{-}AS<=COMMAND>
@end deffn
@@ -5208,7 +5490,7 @@ If @code{COMMAND} is not a path, then it is searched in the path.
The default is @code{as}.
@geindex ghdl command line option; --LINK
-@anchor{using/CommandReference cmdoption-ghdl-LINK}@anchor{179}
+@anchor{using/CommandReference cmdoption-ghdl-LINK}@anchor{1c9}
@deffn {Option} @w{-}@w{-}LINK<=COMMAND>
@end deffn
@@ -5217,7 +5499,7 @@ If @code{COMMAND} is not a path, then it is searched in the path.
The default is @code{gcc}.
@node Passing options to other programs,,Options<2>,Additional Command Reference
-@anchor{using/CommandReference id1}@anchor{17a}@anchor{using/CommandReference passing-options-to-other-programs}@anchor{17b}
+@anchor{using/CommandReference id1}@anchor{1ca}@anchor{using/CommandReference passing-options-to-other-programs}@anchor{1cb}
@section Passing options to other programs
@@ -5234,21 +5516,21 @@ Both the compiler and the linker are in fact GCC programs.
See the GCC manual for details on GCC options.
@geindex ghdl command line option; -Wc
-@anchor{using/CommandReference cmdoption-ghdl-Wc}@anchor{17c}@anchor{using/CommandReference cmdoption-ghdl-wc}@anchor{17d}
+@anchor{using/CommandReference cmdoption-ghdl-Wc}@anchor{1cc}@anchor{using/CommandReference cmdoption-ghdl-wc}@anchor{1cd}
@deffn {Option} @w{-}Wc,<OPTION>
@end deffn
Pass @cite{OPTION} as an option to the compiler.
@geindex ghdl command line option; -Wa
-@anchor{using/CommandReference cmdoption-ghdl-Wa}@anchor{17e}@anchor{using/CommandReference cmdoption-ghdl-wa}@anchor{17f}
+@anchor{using/CommandReference cmdoption-ghdl-Wa}@anchor{1ce}@anchor{using/CommandReference cmdoption-ghdl-wa}@anchor{1cf}
@deffn {Option} @w{-}Wa,<OPTION>
@end deffn
Pass @cite{OPTION} as an option to the assembler.
@geindex ghdl command line option; -Wl
-@anchor{using/CommandReference cmdoption-ghdl-Wl}@anchor{180}@anchor{using/CommandReference cmdoption-ghdl-wl}@anchor{181}
+@anchor{using/CommandReference cmdoption-ghdl-Wl}@anchor{1d0}@anchor{using/CommandReference cmdoption-ghdl-wl}@anchor{1d1}
@deffn {Option} @w{-}Wl,<OPTION>
@end deffn
@@ -5263,19 +5545,19 @@ Pass @cite{OPTION} as an option to the linker.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Implementation of VHDL,Implementation of VITAL,Additional Command Reference,Top
-@anchor{using/ImplementationOfVHDL doc}@anchor{182}@anchor{using/ImplementationOfVHDL implementation-of-vhdl}@anchor{183}@anchor{using/ImplementationOfVHDL ref-implvhdl}@anchor{67}
+@anchor{using/ImplementationOfVHDL doc}@anchor{1d2}@anchor{using/ImplementationOfVHDL implementation-of-vhdl}@anchor{1d3}@anchor{using/ImplementationOfVHDL ref-implvhdl}@anchor{6b}
@chapter Implementation of VHDL
@@ -5290,7 +5572,7 @@ Pass @cite{OPTION} as an option to the linker.
@end menu
@node VHDL standards,PSL support,,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL id1}@anchor{184}@anchor{using/ImplementationOfVHDL vhdl-standards}@anchor{87}
+@anchor{using/ImplementationOfVHDL id1}@anchor{1d4}@anchor{using/ImplementationOfVHDL vhdl-standards}@anchor{8b}
@section VHDL standards
@@ -5316,6 +5598,8 @@ Pass @cite{OPTION} as an option to the linker.
@geindex v08
+@geindex v19
+
Unfortunately, there are many versions of the VHDL
language, and they aren’t backward compatible.
@@ -5357,17 +5641,17 @@ the VHDL standard. This modification is also known as 1076a. Note that this
standard is not fully backward compatible with VHDL-93, since the type of a
shared variable must now be a protected type (there was no such restriction
before). This incompatibility can be bypassed with the
-@ref{de,,-frelaxed} option.
+@ref{e2,,-frelaxed} option.
Minor corrections were added by the 2002 revision of the VHDL standard. This
revision is not fully backward compatible with VHDL-00 since, for example,
the value of the @cite{‘instance_name} attribute has slightly changed.
-The latest version is 2008. Many features have been added, and GHDL
+The latest version is 2019. Many features have been added, and GHDL
doesn’t implement all of them.
You can select the VHDL standard expected by GHDL with the
-@ref{88,,--std=STANDARD} option, where @code{STANDARD} is one of the list below:
+@ref{8c,,--std=STANDARD} option, where @code{STANDARD} is one of the list below:
@table @asis
@@ -5383,7 +5667,7 @@ Select VHDL-93; VHDL-87 file declarations are not accepted.
@item 93c
-Same as 93 and @ref{de,,-frelaxed}.
+Same as 93 and @ref{e2,,-frelaxed}.
@item 00
@@ -5396,6 +5680,10 @@ Select VHDL-2002 standard.
@item 08
Select VHDL-2008 standard (partially implemented).
+
+@item 19
+
+Select VHDL-2019 standard (partially implemented).
@end table
Multiple standards can be used in a design:
@@ -5434,6 +5722,14 @@ VHDL Standard
08
+@item
+
+19
+
+@tab
+
+19
+
@end multitable
@@ -5444,7 +5740,7 @@ The standards in each group are considered compatible: you can elaborate a desig
@end cartouche
@node PSL support,Source representation,VHDL standards,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL psl-implementation}@anchor{e3}@anchor{using/ImplementationOfVHDL psl-support}@anchor{185}
+@anchor{using/ImplementationOfVHDL psl-implementation}@anchor{e7}@anchor{using/ImplementationOfVHDL psl-support}@anchor{1d5}
@section PSL support
@@ -5457,7 +5753,7 @@ GHDL implements a subset of PSL@footnote{https://en.wikipedia.org/wiki/Property_
@end menu
@node PSL implementation,PSL usage,,PSL support
-@anchor{using/ImplementationOfVHDL id2}@anchor{186}
+@anchor{using/ImplementationOfVHDL id2}@anchor{1d6}
@subsection PSL implementation
@@ -5487,19 +5783,19 @@ Currently the built-in functions are not implemented, see #662@footnote{https://
PSL functions @cite{prev()}, @cite{stable()}, @cite{rose()}, @cite{fell()}, @cite{onehot()} and @cite{onehot0()} are supported with GHDL synthesis.
@node PSL usage,,PSL implementation,PSL support
-@anchor{using/ImplementationOfVHDL psl-usage}@anchor{187}
+@anchor{using/ImplementationOfVHDL psl-usage}@anchor{1d7}
@subsection PSL usage
@menu
* PSL annotations embedded in comments::
-* PSL annotations (VHDL-2008 only): PSL annotations VHDL-2008 only.
-* PSL vunit files (VHDL-2008 / Synthesis only): PSL vunit files VHDL-2008 / Synthesis only.
+* PSL annotations (VHDL-2008 and later): PSL annotations VHDL-2008 and later.
+* PSL vunit files (VHDL-2008 and later@comma{} synthesis only): PSL vunit files VHDL-2008 and later synthesis only.
@end menu
-@node PSL annotations embedded in comments,PSL annotations VHDL-2008 only,,PSL usage
-@anchor{using/ImplementationOfVHDL psl-annotations-embedded-in-comments}@anchor{188}
+@node PSL annotations embedded in comments,PSL annotations VHDL-2008 and later,,PSL usage
+@anchor{using/ImplementationOfVHDL psl-annotations-embedded-in-comments}@anchor{1d8}
@subsubsection PSL annotations embedded in comments
@@ -5525,7 +5821,7 @@ To continue a PSL statement on the next line, just start a new comment.
@cartouche
@quotation Hint
As PSL annotations are embedded within comments, you must analyze
-your design with option @ref{e2,,-fpsl} to enable PSL annotations:
+your design with option @ref{e6,,-fpsl} to enable PSL annotations:
@example
ghdl -a -fpsl vhdl_design.vhdl
@@ -5534,9 +5830,9 @@ ghdl -e vhdl_design
@end quotation
@end cartouche
-@node PSL annotations VHDL-2008 only,PSL vunit files VHDL-2008 / Synthesis only,PSL annotations embedded in comments,PSL usage
-@anchor{using/ImplementationOfVHDL psl-annotations-vhdl-2008-only}@anchor{189}
-@subsubsection PSL annotations (VHDL-2008 only)
+@node PSL annotations VHDL-2008 and later,PSL vunit files VHDL-2008 and later synthesis only,PSL annotations embedded in comments,PSL usage
+@anchor{using/ImplementationOfVHDL psl-annotations-vhdl-2008-and-later}@anchor{1d9}
+@subsubsection PSL annotations (VHDL-2008 and later)
Since VHDL-2008 PSL is integrated in the VHDL language. You can use
@@ -5551,7 +5847,7 @@ end architecture rtl;
@cartouche
@quotation Hint
-You have to use the @ref{88,,--std=08} option:
+You have to use the @ref{8c,,--std=08} option:
@example
ghdl -a --std=08 vhdl_design.vhdl
@@ -5560,9 +5856,9 @@ ghdl -e --std=08 vhdl_design
@end quotation
@end cartouche
-@node PSL vunit files VHDL-2008 / Synthesis only,,PSL annotations VHDL-2008 only,PSL usage
-@anchor{using/ImplementationOfVHDL psl-vunit-files-vhdl-2008-synthesis-only}@anchor{18a}
-@subsubsection PSL vunit files (VHDL-2008 / Synthesis only)
+@node PSL vunit files VHDL-2008 and later synthesis only,,PSL annotations VHDL-2008 and later,PSL usage
+@anchor{using/ImplementationOfVHDL psl-vunit-files-vhdl-2008-and-later-synthesis-only}@anchor{1da}
+@subsubsection PSL vunit files (VHDL-2008 and later, synthesis only)
GHDL supports vunit (Verification Unit) files.
@@ -5607,7 +5903,7 @@ ghdl --synth --std=08 vhdl_design.vhdl vunit.psl -e vhdl_design
@end cartouche
@node Source representation,Library database,PSL support,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL source-representation}@anchor{18b}
+@anchor{using/ImplementationOfVHDL source-representation}@anchor{1db}
@section Source representation
@@ -5633,29 +5929,29 @@ analyzed). Therefore, if you delete or modify a source file of a unit
analyzed, GHDL will refuse to use it.
@node Library database,Top entity,Source representation,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL id3}@anchor{18c}@anchor{using/ImplementationOfVHDL library-database}@anchor{18d}
+@anchor{using/ImplementationOfVHDL id3}@anchor{1dc}@anchor{using/ImplementationOfVHDL library-database}@anchor{1dd}
@section Library database
Each design unit analyzed is placed into a design library. By default,
the name of this design library is @code{work}; however, this can be
-changed with the @ref{8c,,--work} option of GHDL.
+changed with the @ref{90,,--work} option of GHDL.
To keep the list of design units in a design library, GHDL creates
library files. The name of these files is @code{<LIB_NAME>-obj<GROUP>.cf}, where
@cite{<LIB_NAME>} is the name of the library, and @cite{<GROUP>} the VHDL version (87,
-93 or 08) used to analyze the design units.
+93, 08, or 19) used to analyze the design units.
-For details on @code{GROUP} values see section @ref{87,,VHDL standards}.
+For details on @code{GROUP} values see section @ref{8b,,VHDL standards}.
You don’t have to know how to read a library file. You can display it
-using the @emph{-d} of @cite{ghdl}. The file contains the name of the
+using the `-d' of @cite{ghdl}. The file contains the name of the
design units, as well as the location and the dependencies.
The format may change with the next version of GHDL.
@node Top entity,Using vendor libraries,Library database,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL id4}@anchor{18e}@anchor{using/ImplementationOfVHDL top-entity}@anchor{c7}
+@anchor{using/ImplementationOfVHDL id4}@anchor{1de}@anchor{using/ImplementationOfVHDL top-entity}@anchor{cb}
@section Top entity
@@ -5674,15 +5970,15 @@ The ports type must be constrained.
@end itemize
@node Using vendor libraries,,Top entity,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL using-vendor-libraries}@anchor{18f}
+@anchor{using/ImplementationOfVHDL using-vendor-libraries}@anchor{1df}
@section Using vendor libraries
Many vendors libraries have been analyzed with @cite{GHDL}. There are usually no problems. Be sure to use the
-@ref{8c,,--work} option. However, some problems have been encountered. @cite{GHDL} follows the @cite{VHDL} LRM (the manual which
+@ref{90,,--work} option. However, some problems have been encountered. @cite{GHDL} follows the @cite{VHDL} LRM (the manual which
defines @cite{VHDL}) more strictly than other @cite{VHDL} tools. You could try to relax the restrictions by using the
-@ref{88,,--std=93c}, @ref{8a,,-fexplicit}, @ref{8b,,-frelaxed-rules} and
-@ref{f8,,--warn-no-vital-generic}.
+@ref{8c,,--std=93c}, @ref{8e,,-fexplicit}, @ref{8f,,-frelaxed-rules} and
+@ref{111,,--warn-no-vital-generic}.
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@@ -5693,19 +5989,19 @@ defines @cite{VHDL}) more strictly than other @cite{VHDL} tools. You could try t
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Implementation of VITAL,Directory structure,Implementation of VHDL,Top
-@anchor{using/ImplementationOfVITAL doc}@anchor{190}@anchor{using/ImplementationOfVITAL implementation-of-vital}@anchor{191}@anchor{using/ImplementationOfVITAL ref-implvital}@anchor{68}
+@anchor{using/ImplementationOfVITAL doc}@anchor{1e0}@anchor{using/ImplementationOfVITAL implementation-of-vital}@anchor{1e1}@anchor{using/ImplementationOfVITAL ref-implvital}@anchor{6c}
@chapter Implementation of VITAL
@@ -5727,7 +6023,7 @@ really in a preliminary stage. Do not expect too much of it as of right now.
@end menu
@node VITAL packages,VHDL restrictions for VITAL,,Implementation of VITAL
-@anchor{using/ImplementationOfVITAL id1}@anchor{192}@anchor{using/ImplementationOfVITAL vital-packages}@anchor{e0}
+@anchor{using/ImplementationOfVITAL id1}@anchor{1e2}@anchor{using/ImplementationOfVITAL vital-packages}@anchor{e4}
@section VITAL packages
@@ -5745,7 +6041,7 @@ the VHDL 1993 standard (a few functions are made pure and a few
impure).
@node VHDL restrictions for VITAL,Backannotation,VITAL packages,Implementation of VITAL
-@anchor{using/ImplementationOfVITAL id2}@anchor{193}@anchor{using/ImplementationOfVITAL vhdl-restrictions-for-vital}@anchor{eb}
+@anchor{using/ImplementationOfVITAL id2}@anchor{1e3}@anchor{using/ImplementationOfVITAL vhdl-restrictions-for-vital}@anchor{ef}
@section VHDL restrictions for VITAL
@@ -5753,7 +6049,7 @@ The VITAL standard (partially) implemented is the IEEE 1076.4 standard
published in 1995.
This standard defines restriction of the VHDL language usage on VITAL
-model. A @emph{VITAL model} is a design unit (entity or architecture)
+model. A `VITAL model' is a design unit (entity or architecture)
decorated by the @cite{VITAL_Level0} or @cite{VITAL_Level1} attribute.
These attributes are defined in the @cite{ieee.VITAL_Timing} package.
@@ -5764,17 +6060,17 @@ Moreover, GHDL doesn’t check (yet) that timing generics are not read inside
a VITAL level 0 model prior the VITAL annotation.
The analysis of a non-conformant VITAL model fails. You can disable the
-checks of VITAL restrictions with the @emph{–no-vital-checks}. Even when
+checks of VITAL restrictions with the `–no-vital-checks'. Even when
restrictions are not checked, SDF annotation can be performed.
@node Backannotation,Negative constraint calculation,VHDL restrictions for VITAL,Implementation of VITAL
-@anchor{using/ImplementationOfVITAL backannotation}@anchor{12c}@anchor{using/ImplementationOfVITAL id3}@anchor{194}
+@anchor{using/ImplementationOfVITAL backannotation}@anchor{17c}@anchor{using/ImplementationOfVITAL id3}@anchor{1e4}
@section Backannotation
@geindex SDF
-@emph{Backannotation} is the process of setting VITAL generics with timing
+`Backannotation' is the process of setting VITAL generics with timing
information provided by an external files.
The external files must be SDF (Standard Delay Format) files. GHDL
@@ -5797,7 +6093,7 @@ just a proof of concept. Features will be added with the following GHDL
release.
@node Negative constraint calculation,,Backannotation,Implementation of VITAL
-@anchor{using/ImplementationOfVITAL negative-constraint-calculation}@anchor{195}
+@anchor{using/ImplementationOfVITAL negative-constraint-calculation}@anchor{1e5}
@section Negative constraint calculation
@@ -5817,19 +6113,19 @@ with negative constraint. I hope to be able to add this phase soon.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Directory structure,Building GHDL from Sources,Implementation of VITAL,Top
-@anchor{development/Directories doc}@anchor{196}@anchor{development/Directories build-dir-structure}@anchor{73}@anchor{development/Directories directory-structure}@anchor{197}
+@anchor{development/Directories doc}@anchor{1e6}@anchor{development/Directories build-dir-structure}@anchor{77}@anchor{development/Directories directory-structure}@anchor{1e7}
@chapter Directory structure
@@ -5859,7 +6155,7 @@ A continuous integration (CI) workflow is used to automatically build and deploy
@item
@code{scripts/vendors}: Vendors like Altera, Lattice and Xilinx have their own simulation libraries, especially for FPGA
primitives, soft and hard macros. These libraries cannot be shipped with GHDL, but we offer prepared compile scripts to
-pre-compile the vendor libraries, if the vendor tool is present on the computer. See @ref{54,,Precompile Vendor Primitives} for
+pre-compile the vendor libraries, if the vendor tool is present on the computer. See @ref{58,,Precompile Vendor Primitives} for
information on how to use them.
@item
@@ -5888,19 +6184,19 @@ information on how to use them.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Building GHDL from Sources,pyGHDL,Directory structure,Top
-@anchor{development/building/index doc}@anchor{198}@anchor{development/building/index build}@anchor{51}@anchor{development/building/index building-ghdl-from-sources}@anchor{199}
+@anchor{development/building/index doc}@anchor{1e8}@anchor{development/building/index build}@anchor{55}@anchor{development/building/index building-ghdl-from-sources}@anchor{1e9}
@chapter Building GHDL from Sources
@@ -5913,14 +6209,14 @@ information on how to use them.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@@ -5934,7 +6230,7 @@ information on how to use them.
@end menu
@node Sources,mcode backend,,Building GHDL from Sources
-@anchor{development/building/Sources doc}@anchor{19a}@anchor{development/building/Sources id1}@anchor{19b}@anchor{development/building/Sources sources}@anchor{19c}
+@anchor{development/building/Sources doc}@anchor{1ea}@anchor{development/building/Sources id1}@anchor{1eb}@anchor{development/building/Sources sources}@anchor{1ec}
@section Sources
@@ -5945,7 +6241,7 @@ github.com/ghdl/ghdl@footnote{https://github.com/ghdl/ghdl}. We do our best to k
published. See @cite{HINT} boxes below for instructions to get older releases.
@end quotation
@end cartouche
-@anchor{development/building/Sources release-sources-zip}@anchor{19d}
+@anchor{development/building/Sources release-sources-zip}@anchor{1ed}
@subsubheading Tarball/zip-file
@@ -5958,7 +6254,7 @@ To download a specific version of GHDL, use this alternative URL, where @code{<f
@code{https://codeload.github.com/ghdl/ghdl/<format>/<tag>}.
@end quotation
@end cartouche
-@anchor{development/building/Sources release-sources-gitclone}@anchor{19e}
+@anchor{development/building/Sources release-sources-gitclone}@anchor{1ee}
@subsubheading git clone
@@ -6035,19 +6331,19 @@ errors! All Windows command line instructions are intended for @code{Windows Pow
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node mcode backend,LLVM backend,Sources,Building GHDL from Sources
-@anchor{development/building/mcode doc}@anchor{19f}@anchor{development/building/mcode build-mcode}@anchor{1a0}@anchor{development/building/mcode mcode-backend}@anchor{1a1}
+@anchor{development/building/mcode doc}@anchor{1ef}@anchor{development/building/mcode build-mcode}@anchor{1f0}@anchor{development/building/mcode mcode-backend}@anchor{1f1}
@section mcode backend
@@ -6062,7 +6358,7 @@ options.
@end menu
@node GCC/GNAT GNU/Linux or Windows MinGW/MSYS2,GNAT GPL Windows,,mcode backend
-@anchor{development/building/mcode build-mcode-gnat}@anchor{1a2}@anchor{development/building/mcode gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{1a3}
+@anchor{development/building/mcode build-mcode-gnat}@anchor{1f2}@anchor{development/building/mcode gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{1f3}
@subsection GCC/GNAT: GNU/Linux or Windows (MinGW/MSYS2)
@@ -6116,7 +6412,7 @@ $ make install
@end example
@node GNAT GPL Windows,,GCC/GNAT GNU/Linux or Windows MinGW/MSYS2,mcode backend
-@anchor{development/building/mcode build-mcode-gnatgpl-windows}@anchor{1a4}@anchor{development/building/mcode gnat-gpl-windows}@anchor{1a5}
+@anchor{development/building/mcode build-mcode-gnatgpl-windows}@anchor{1f4}@anchor{development/building/mcode gnat-gpl-windows}@anchor{1f5}
@subsection GNAT GPL: Windows
@@ -6166,19 +6462,19 @@ CreatePackage options:
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node LLVM backend,GCC backend,mcode backend,Building GHDL from Sources
-@anchor{development/building/LLVM doc}@anchor{1a6}@anchor{development/building/LLVM build-llvm}@anchor{1a7}@anchor{development/building/LLVM llvm-backend}@anchor{1a8}
+@anchor{development/building/LLVM doc}@anchor{1f6}@anchor{development/building/LLVM build-llvm}@anchor{1f7}@anchor{development/building/LLVM llvm-backend}@anchor{1f8}
@section LLVM backend
@@ -6196,7 +6492,7 @@ GNAT (Ada compiler for GCC)
@item
LLVM (Low-Level-Virtual Machine) and CLANG (Compiler front-end for LLVM): 3.5, 3.8, 3.9, 4.0, 5.0, 6.0, 7.0, 8.0,
-9.0, 10.0, 11.0, 11.1 or 12.0
+9.0, 10.0, 11.0, 11.1, 12.0, 13.0 or 14.0
@end itemize
@menu
@@ -6205,7 +6501,7 @@ LLVM (Low-Level-Virtual Machine) and CLANG (Compiler front-end for LLVM): 3.5, 3
@end menu
@node GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>,,,LLVM backend
-@anchor{development/building/LLVM build-llvm-gnat}@anchor{1a9}@anchor{development/building/LLVM gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{1aa}
+@anchor{development/building/LLVM build-llvm-gnat}@anchor{1f9}@anchor{development/building/LLVM gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{1fa}
@subsection GCC/GNAT: GNU/Linux or Windows (MinGW/MSYS2)
@@ -6262,19 +6558,19 @@ If you want to have stack backtraces on errors (like assert failure or index of
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node GCC backend,TL;DR,LLVM backend,Building GHDL from Sources
-@anchor{development/building/GCC doc}@anchor{1ab}@anchor{development/building/GCC build-gcc}@anchor{1ac}@anchor{development/building/GCC gcc-backend}@anchor{1ad}
+@anchor{development/building/GCC doc}@anchor{1fb}@anchor{development/building/GCC build-gcc}@anchor{1fc}@anchor{development/building/GCC gcc-backend}@anchor{1fd}
@section GCC backend
@@ -6297,7 +6593,8 @@ GCC (Gnu Compiler Collection)
GNAT (Ada compiler for GCC)
@item
-GCC source files. Download and untar the sources of version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x, 10.x or 11.x (GCC mirror sites@footnote{https://gcc.gnu.org/mirrors.html}).
+GCC source files. Download and untar the sources of version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x, 10.x, 11.x or 12.x
+(GCC mirror sites@footnote{https://gcc.gnu.org/mirrors.html}).
@end itemize
@cartouche
@@ -6404,7 +6701,7 @@ For ppc64/ppc64le platform, the object file format contains an identifier for th
@end cartouche
GHDL can be downloaded as a tarball@footnote{https://github.com/ghdl/ghdl/archive/master.tar.gz}/zipfile@footnote{https://github.com/ghdl/ghdl/archive/master.zip}
-or cloned with @code{git clone} from GitHub. GitHub offers HTTPS and SSH as transfer protocols. See the @ref{19c,,Sources} page for
+or cloned with @code{git clone} from GitHub. GitHub offers HTTPS and SSH as transfer protocols. See the @ref{1ec,,Sources} page for
further details.
@cartouche
@@ -6417,8 +6714,8 @@ without registration from libre.adacore.com@footnote{http://libre.adacore.com/to
@cartouche
@quotation Hint
-The download page of @emph{GNAT Community Edition} provides the latest version (x86, 64 bits), with a graphical installer
-(@code{chmod +x *.bin} and execute it). Alternatively, you can find a link to @emph{More packages, platforms, versions and sources}
+The download page of `GNAT Community Edition' provides the latest version (x86, 64 bits), with a graphical installer
+(@code{chmod +x *.bin} and execute it). Alternatively, you can find a link to `More packages, platforms, versions and sources'
at the bottom of the page, where versions previous to 2018 are available as binaries ready to be installed
(@cite{untar} and run the @cite{doinstall} script). In any case, you must add @code{<GNAT_INSTALL_DIR>/bin} to your @code{PATH}.
@end quotation
@@ -6464,7 +6761,7 @@ Cons
@item
-@ref{1a0,,mcode}
+@ref{1f0,,mcode}
@tab
@@ -6498,7 +6795,7 @@ x86_64/i386 only
@item
-@ref{1a7,,LLVM}
+@ref{1f7,,LLVM}
@tab
@@ -6529,7 +6826,7 @@ Build is more complex than mcode
@item
-@ref{1ac,,GCC}
+@ref{1fc,,GCC}
@tab
@@ -6567,12 +6864,12 @@ Code coverage collection (@code{gcov}) is unique to GCC
@cartouche
@quotation Hint
The output of both GCC and LLVM is an executable file, but @cite{mcode} does not generate any. Therefore, if using GCC/LLVM,
-the call with argument @code{-r} can be replaced with direct execution of the binary. See section @ref{64,,Simulation}.
+the call with argument @code{-r} can be replaced with direct execution of the binary. See section @ref{68,,Simulation}.
@end quotation
@end cartouche
After making your choice, you can jump to the corresponding section.
-However, we suggest you to read @ref{73,,Directory structure} first, so that you
+However, we suggest you to read @ref{77,,Directory structure} first, so that you
know where the content will be placed and which files are expected to be
created.
@@ -6606,7 +6903,7 @@ For MacOS 10.15 (Catalina), see #1368@footnote{https://github.com/ghdl/ghdl/issu
@end cartouche
@node TL;DR,,GCC backend,Building GHDL from Sources
-@anchor{development/building/index tl-dr}@anchor{1ae}
+@anchor{development/building/index tl-dr}@anchor{1fe}
@section TL;DR
@@ -6650,24 +6947,28 @@ call to @code{configure}. For example, on Windows, you may want to set it to @co
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL,GHDL Waveform GHW,Building GHDL from Sources,Top
-@anchor{pyGHDL/pyGHDL doc}@anchor{1af}@anchor{pyGHDL/pyGHDL module-pyGHDL}@anchor{0}@anchor{pyGHDL/pyGHDL pyghdl}@anchor{1b0}
-@chapter pyGHDL
+@anchor{pyGHDL/pyGHDL doc}@anchor{1ff}@anchor{pyGHDL/pyGHDL module-pyGHDL}@anchor{0}@anchor{pyGHDL/pyGHDL pyghdl}@anchor{200}
+@chapter @code{pyGHDL}
@geindex module; pyGHDL
-@anchor{pyGHDL/pyGHDL python-interface}@anchor{1b1}
+@anchor{pyGHDL/pyGHDL python-interface}@anchor{201}
GHDL offers two Python interfaces and a language server protocol service. All
this is provided from a @code{pyGHDL} packages with four sub-packages:
@@ -6675,25 +6976,23 @@ this is provided from a @code{pyGHDL} packages with four sub-packages:
@itemize *
@item
-@code{pyGHDL.cli} - Command line interface (CLI) applications.
+@ref{1,,pyGHDL.cli} - Command line interface (CLI) applications.
@item
-@code{pyGHDL.dom} - A high-level API offering a document object model (DOM).
+@ref{4,,pyGHDL.dom} - A high-level API offering a document object model (DOM).
The underlying abstract VHDL language model is provided by pyVHDLModel@footnote{https://vhdl.github.io/pyVHDLModel/index.html}.
The DOM is using @code{libghdl} for file analysis and parsing.
@item
-@code{pyGHDL.libghdl} - A low-level API directly interacting with the shared library @code{libghdl....so}/@code{libghdl....dll}.
+@ref{1b,,pyGHDL.libghdl} - A low-level API directly interacting with the shared library @code{libghdl....so}/@code{libghdl....dll}.
This is a procedural and C-like interface. It comes with some Python generators for easier iterating linked lists.
@item
-@code{pyGHDL.lsp} - A language server protocol@footnote{https://en.wikipedia.org/wiki/Language_Server_Protocol} (LSP)
+@ref{3c,,pyGHDL.lsp} - A language server protocol@footnote{https://en.wikipedia.org/wiki/Language_Server_Protocol} (LSP)
written in Python. The implementation offers an HTTPS service that can be used e.g. by editors and IDEs supporting LSP.
@end itemize
-@c #-----------------------------------
-
-@strong{Submodules}
+`Submodules'
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@@ -6704,17 +7003,21 @@ written in Python. The implementation offers an HTTPS service that can be used e
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@menu
* pyGHDL.cli: pyGHDL cli.
* pyGHDL.dom: pyGHDL dom.
@@ -6724,15 +7027,13 @@ written in Python. The implementation offers an HTTPS service that can be used e
@end menu
@node pyGHDL cli,pyGHDL dom,,pyGHDL
-@anchor{pyGHDL/pyGHDL cli doc}@anchor{1b2}@anchor{pyGHDL/pyGHDL cli module-pyGHDL cli}@anchor{1}@anchor{pyGHDL/pyGHDL cli pyghdl-cli}@anchor{1b3}
-@section pyGHDL.cli
+@anchor{pyGHDL/pyGHDL cli doc}@anchor{202}@anchor{pyGHDL/pyGHDL cli module-pyGHDL cli}@anchor{1}@anchor{pyGHDL/pyGHDL cli pyghdl-cli}@anchor{203}
+@section @code{pyGHDL.cli}
@geindex module; pyGHDL.cli
-@c #-----------------------------------
-
-@strong{Submodules}
+`Submodules'
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@@ -6743,17 +7044,21 @@ written in Python. The implementation offers an HTTPS service that can be used e
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@menu
* pyGHDL.cli.dom: pyGHDL cli dom.
* pyGHDL.cli.lsp: pyGHDL cli lsp.
@@ -6761,498 +7066,376 @@ written in Python. The implementation offers an HTTPS service that can be used e
@end menu
@node pyGHDL cli dom,pyGHDL cli lsp,,pyGHDL cli
-@anchor{pyGHDL/pyGHDL cli dom doc}@anchor{1b4}@anchor{pyGHDL/pyGHDL cli dom module-pyGHDL cli dom}@anchor{2}@anchor{pyGHDL/pyGHDL cli dom pyghdl-cli-dom}@anchor{1b5}
-@subsection pyGHDL.cli.dom
+@anchor{pyGHDL/pyGHDL cli dom doc}@anchor{204}@anchor{pyGHDL/pyGHDL cli dom module-pyGHDL cli dom}@anchor{2}@anchor{pyGHDL/pyGHDL cli dom pyghdl-cli-dom}@anchor{205}
+@subsection @code{pyGHDL.cli.dom}
@geindex module; pyGHDL.cli.dom
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{1b6,,Application}:
+@ref{206,,Application}:
A mixin class (interface) to provide class-local terminal writing methods.
@end itemize
-@c #-----------------------------------
-
-@geindex Application (class in pyGHDL.cli.dom)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application}@anchor{1b6}
-@deffn {Class} pyGHDL.cli.dom.Application (*args, **kwargs)
-
-@subsubheading Inheritance
-
-@image{inheritance-5a259c619302a2ec2f1f98171ce3061e2d77bc31,,,[graphviz],png}
-@subsubheading Members
-
-
-@geindex HeadLine (pyGHDL.cli.dom.Application attribute)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HeadLine}@anchor{1b7}
-@deffn {Attribute} HeadLine = 'pyGHDL.dom @w{-} Test Application'
-@end deffn
-
-@geindex __PLATFORM (pyGHDL.cli.dom.Application attribute)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application __PLATFORM}@anchor{1b8}
-@deffn {Attribute} __PLATFORM = 'Darwin'
-@end deffn
-
-@geindex _design (pyGHDL.cli.dom.Application attribute)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _design}@anchor{1b9}
-@deffn {Attribute} _design: @ref{1ba,,pyGHDL.dom.NonStandard.Design}
-@end deffn
-
-@geindex Platform (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Platform}@anchor{1bb}
-@deffn {Property} Platform
-@end deffn
-
-@geindex PrintHeadline() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application PrintHeadline}@anchor{1bc}
-@deffn {Method} PrintHeadline ()
-@end deffn
-
-@geindex Run() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Run}@anchor{1bd}
-@deffn {Method} Run ()
-@end deffn
-
-@geindex HandleDefault() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandleDefault}@anchor{1be}
-@deffn {Method} HandleDefault (_)
-@end deffn
-
-@geindex HandleHelp() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandleHelp}@anchor{1bf}
-@deffn {Method} HandleHelp (args)
-@end deffn
-
-@geindex HandleInfo() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandleInfo}@anchor{1c0}
-@deffn {Method} HandleInfo (args)
-@end deffn
+__________________________________________________________________
-@geindex HandlePretty() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandlePretty}@anchor{1c1}
-@deffn {Method} HandlePretty (args)
-@end deffn
-@geindex addFile() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application addFile}@anchor{1c2}
-@deffn {Method} addFile (filename, library)
+`Classes'
-@*Return type:
-@ref{1c3,,Document}
+@geindex Application (class in pyGHDL.cli.dom)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application}@anchor{206}
+@deffn {Class} pyGHDL.cli.dom.Application (debug=False, verbose=False, quiet=False, sphinx=False)
-@end deffn
+@subsubheading Inheritance
-@geindex BaseIndent (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application BaseIndent}@anchor{1c4}
-@deffn {Property} BaseIndent: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@image{inheritance-81d5da4fa1d54acf0c7d6616c83c311f3956975e,,,[graphviz],png}
-@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@geindex __init__() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application __init__}@anchor{207}
+@deffn {Method} __init__ (debug=False, verbose=False, quiet=False, sphinx=False)
+Initializer of a line based terminal interface.
@end deffn
@geindex Debug (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Debug}@anchor{1c5}
-@deffn {Property} Debug: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Debug}@anchor{208}
+@deffn {Property} Debug: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
Returns true, if debug messages are enabled.
-
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
-
@end deffn
@geindex ExitOnPreviousErrors() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application ExitOnPreviousErrors}@anchor{1c6}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application ExitOnPreviousErrors}@anchor{209}
@deffn {Method} ExitOnPreviousErrors ()
Exit application if errors have been printed.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex ExitOnPreviousWarnings() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application ExitOnPreviousWarnings}@anchor{1c7}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application ExitOnPreviousWarnings}@anchor{20a}
@deffn {Method} ExitOnPreviousWarnings ()
Exit application if warnings have been printed.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
-@geindex FATAL_EXIT_CODE (pyGHDL.cli.dom.Application attribute)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application FATAL_EXIT_CODE}@anchor{1c8}
-@deffn {Attribute} FATAL_EXIT_CODE = 255
-@end deffn
-
@geindex Foreground (pyGHDL.cli.dom.Application attribute)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Foreground}@anchor{1c9}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Foreground}@anchor{20b}
@deffn {Attribute} Foreground = @{'BLUE': '\x1b[94m', 'CYAN': '\x1b[96m', 'DARK_BLUE': '\x1b[34m', 'DARK_CYAN': '\x1b[36m', 'DARK_GRAY': '\x1b[90m', 'DARK_GREEN': '\x1b[32m', 'DARK_RED': '\x1b[31m', 'DARK_YELLOW': '\x1b[33m', 'ERROR': '\x1b[91m', 'GRAY': '\x1b[37m', 'GREEN': '\x1b[92m', 'HEADLINE': '\x1b[95m', 'MAGENTA': '\x1b[95m', 'NOCOLOR': '\x1b[39m', 'RED': '\x1b[91m', 'WARNING': '\x1b[93m', 'WHITE': '\x1b[97m', 'YELLOW': '\x1b[93m'@}
Terminal colors
@end deffn
@geindex GetAttributes() (pyGHDL.cli.dom.Application static method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetAttributes}@anchor{1ca}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetAttributes}@anchor{20c}
@deffn {Method} static GetAttributes (method, filter=<class 'pyAttributes.Attribute'>)
Returns a list of pyAttributes attached to the given method.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{TAttr}, bound= @code{Attribute})]
+List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{TAttr}, bound= Attribute)]
-@end deffn
-@geindex GetMethods() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetMethods}@anchor{1cb}
-@deffn {Method} GetMethods (filter=<class 'pyAttributes.Attribute'>)
+@*Parameters:
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callable}, List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{TAttr}, bound= @code{Attribute})]], bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}]
+@itemize *
+
+@item
+@code{method} (Callable@footnote{https://docs.python.org/3/library/typing.html#typing.Callable}) –
+
+@item
+@code{filter} (@code{TAttr}@code{ | }Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@code{TAttr}@code{] }@code{| }@code{None}) –
+@end itemize
@end deffn
@geindex GetTerminalSize() (pyGHDL.cli.dom.Application static method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetTerminalSize}@anchor{1cc}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetTerminalSize}@anchor{20d}
@deffn {Method} static GetTerminalSize ()
Returns the terminal size as tuple (width, height) for Windows, Mac OS (Darwin), Linux, cygwin (Windows), MinGW32/64 (Windows).
@*Return type:
-Tuple@footnote{https://docs.python.org/3.6/library/typing.html#typing.Tuple}[int@footnote{https://docs.python.org/3.6/library/functions.html#int}, int@footnote{https://docs.python.org/3.6/library/functions.html#int}]
+Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[int@footnote{https://docs.python.org/3/library/functions.html#int}, int@footnote{https://docs.python.org/3/library/functions.html#int}]
@end deffn
@geindex HasAttribute() (pyGHDL.cli.dom.Application static method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HasAttribute}@anchor{1cd}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HasAttribute}@anchor{20e}
@deffn {Method} static HasAttribute (method, filter=<class 'pyAttributes.Attribute'>)
Returns true, if the given method has pyAttributes attached.
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{method} (Callable@footnote{https://docs.python.org/3/library/typing.html#typing.Callable}) –
+
+@item
+@code{filter} (@code{TAttr}@code{ | }Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@code{TAttr}@code{] }@code{| }@code{None}) –
+@end itemize
@end deffn
@geindex Height (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Height}@anchor{1ce}
-@deffn {Property} Height: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Height}@anchor{20f}
+@deffn {Property} Height: int@footnote{https://docs.python.org/3/library/functions.html#int}
Returns the current terminal window’s height.
-
-@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-
@end deffn
@geindex LogLevel (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application LogLevel}@anchor{1cf}
-@deffn {Property} LogLevel: pyTooling.TerminalUI.Severity
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application LogLevel}@anchor{210}
+@deffn {Property} LogLevel: Severity
Return the current minimal severity level for writing.
-
-@*Return type:
-@code{Severity}
-
@end deffn
@geindex MainParser (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application MainParser}@anchor{1d0}
-@deffn {Property} MainParser: argparse.ArgumentParser@footnote{https://docs.python.org/3.6/library/argparse.html#argparse.ArgumentParser}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application MainParser}@anchor{211}
+@deffn {Property} MainParser: ArgumentParser@footnote{https://docs.python.org/3/library/argparse.html#argparse.ArgumentParser}
Returns the main parser.
-
-@*Return type:
-ArgumentParser@footnote{https://docs.python.org/3.6/library/argparse.html#argparse.ArgumentParser}
-
@end deffn
@geindex Quiet (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Quiet}@anchor{1d1}
-@deffn {Property} Quiet: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Quiet}@anchor{212}
+@deffn {Property} Quiet: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
Returns true, if quiet mode is enabled.
-
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
-
@end deffn
@geindex SubParsers (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application SubParsers}@anchor{1d2}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application SubParsers}@anchor{213}
@deffn {Property} SubParsers
Returns the sub-parsers.
@end deffn
@geindex Terminal (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Terminal}@anchor{1d3}
-@deffn {Property} Terminal: pyTooling.TerminalUI.Terminal
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Terminal}@anchor{214}
+@deffn {Property} Terminal: Terminal
Return the local terminal instance.
-
-@*Return type:
-@code{Terminal}
-
-@end deffn
-
-@geindex TryWriteLine() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application TryWriteLine}@anchor{1d4}
-@deffn {Method} TryWriteLine (line)
-
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
-
@end deffn
@geindex Verbose (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Verbose}@anchor{1d5}
-@deffn {Property} Verbose: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Verbose}@anchor{215}
+@deffn {Property} Verbose: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
Returns true, if verbose messages are enabled.
-
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
-
@end deffn
@geindex Width (pyGHDL.cli.dom.Application property)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Width}@anchor{1d6}
-@deffn {Property} Width: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Width}@anchor{216}
+@deffn {Property} Width: int@footnote{https://docs.python.org/3/library/functions.html#int}
Returns the current terminal window’s width.
-
-@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-
@end deffn
@geindex WriteDebug() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteDebug}@anchor{1d7}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteDebug}@anchor{217}
@deffn {Method} WriteDebug (message, indent=2, appendLinebreak=True)
Write a debug message if @code{condition} is true.
@end deffn
@geindex WriteDryRun() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteDryRun}@anchor{1d8}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteDryRun}@anchor{218}
@deffn {Method} WriteDryRun (message, indent=2, appendLinebreak=True)
Write a dry-run message if @code{condition} is true.
@end deffn
@geindex WriteError() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteError}@anchor{1d9}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteError}@anchor{219}
@deffn {Method} WriteError (message, indent=0, appendLinebreak=True)
Write an error message if @code{condition} is true.
@end deffn
@geindex WriteFatal() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteFatal}@anchor{1da}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteFatal}@anchor{21a}
@deffn {Method} WriteFatal (message, indent=0, appendLinebreak=True, immediateExit=True)
Write a fatal message if @code{condition} is true.
@end deffn
@geindex WriteInfo() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteInfo}@anchor{1db}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteInfo}@anchor{21b}
@deffn {Method} WriteInfo (message, indent=0, appendLinebreak=True)
Write a info message if @code{condition} is true.
@end deffn
@geindex WriteLine() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteLine}@anchor{1dc}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteLine}@anchor{21c}
@deffn {Method} WriteLine (line)
Print a formatted line to the underlying terminal/console offered by the operating system.
+
+@*Parameters:
+@code{line} (@code{Line}) –
+
@end deffn
@geindex WriteNormal() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteNormal}@anchor{1dd}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteNormal}@anchor{21d}
@deffn {Method} WriteNormal (message, indent=0, appendLinebreak=True)
-Write a @emph{normal} message if @code{condition} is true.
+Write a `normal' message if @code{condition} is true.
@end deffn
@geindex WriteQuiet() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteQuiet}@anchor{1de}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteQuiet}@anchor{21e}
@deffn {Method} WriteQuiet (message, indent=0, appendLinebreak=True)
Write a message even in quiet mode if @code{condition} is true.
@end deffn
@geindex WriteVerbose() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteVerbose}@anchor{1df}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteVerbose}@anchor{21f}
@deffn {Method} WriteVerbose (message, indent=1, appendLinebreak=True)
Write a verbose message if @code{condition} is true.
@end deffn
@geindex WriteWarning() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteWarning}@anchor{1e0}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteWarning}@anchor{220}
@deffn {Method} WriteWarning (message, indent=0, appendLinebreak=True)
Write a warning message if @code{condition} is true.
@end deffn
-@geindex _EnabledAutoComplete() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _EnabledAutoComplete}@anchor{1e1}
-@deffn {Method} _EnabledAutoComplete ()
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
-
@geindex _LOG_MESSAGE_FORMAT__ (pyGHDL.cli.dom.Application attribute)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _LOG_MESSAGE_FORMAT__}@anchor{1e2}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _LOG_MESSAGE_FORMAT__}@anchor{221}
@deffn {Attribute} _LOG_MESSAGE_FORMAT__ = @{Severity.Debug: '@{DARK_GRAY@}@{message@}@{NOCOLOR@}', Severity.Verbose: '@{GRAY@}@{message@}@{NOCOLOR@}', Severity.Normal: '@{WHITE@}@{message@}@{NOCOLOR@}', Severity.DryRun: '@{DARK_CYAN@}[DRY] @{message@}@{NOCOLOR@}', Severity.Info: '@{WHITE@}@{message@}@{NOCOLOR@}', Severity.Warning: '@{YELLOW@}[WARNING]@{message@}@{NOCOLOR@}', Severity.Quiet: '@{WHITE@}@{message@}@{NOCOLOR@}', Severity.Error: '@{RED@}[ERROR] @{message@}@{NOCOLOR@}', Severity.Fatal: '@{DARK_RED@}[FATAL] @{message@}@{NOCOLOR@}'@}
Message formatting rules.
@end deffn
-@geindex _ParseArguments() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _ParseArguments}@anchor{1e3}
-@deffn {Method} _ParseArguments ()
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
-
-@geindex _RouteToHandler() (pyGHDL.cli.dom.Application method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _RouteToHandler}@anchor{1e4}
-@deffn {Method} _RouteToHandler (args)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
+@geindex __new__() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application __new__}@anchor{222}
+@deffn {Method} __new__ (**kwargs)
@end deffn
@geindex _height (pyGHDL.cli.dom.Application attribute)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _height}@anchor{1e5}
-@deffn {Attribute} _height: int@footnote{https://docs.python.org/3.6/library/functions.html#int} = None
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _height}@anchor{223}
+@deffn {Attribute} _height: int = None
Terminal height in characters
@end deffn
-@geindex _terminal (pyGHDL.cli.dom.Application attribute)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _terminal}@anchor{1e6}
-@deffn {Attribute} _terminal = None
-@end deffn
-
@geindex _width (pyGHDL.cli.dom.Application attribute)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _width}@anchor{1e7}
-@deffn {Attribute} _width: int@footnote{https://docs.python.org/3.6/library/functions.html#int} = None
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _width}@anchor{224}
+@deffn {Attribute} _width: int = None
Terminal width in characters
@end deffn
@geindex deinitColors() (pyGHDL.cli.dom.Application class method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application deinitColors}@anchor{1e8}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application deinitColors}@anchor{225}
@deffn {Method} classmethod deinitColors ()
Uninitialize the terminal for color support by colorama.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex exit() (pyGHDL.cli.dom.Application class method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application exit}@anchor{1e9}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application exit}@anchor{226}
@deffn {Method} classmethod exit (returnCode=0)
Exit the terminal application by uninitializing color support and returning an exit code.
@*Return type:
-NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
+NoReturn@footnote{https://docs.python.org/3/library/typing.html#typing.NoReturn}
+
+
+@*Parameters:
+@code{returnCode} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
@end deffn
@geindex fatalExit() (pyGHDL.cli.dom.Application class method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application fatalExit}@anchor{1ea}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application fatalExit}@anchor{227}
@deffn {Method} classmethod fatalExit (returnCode=0)
Exit the terminal application by uninitializing color support and returning a fatal exit code.
@*Return type:
-NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
+NoReturn@footnote{https://docs.python.org/3/library/typing.html#typing.NoReturn}
+
+
+@*Parameters:
+@code{returnCode} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
@end deffn
@geindex initColors() (pyGHDL.cli.dom.Application class method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application initColors}@anchor{1eb}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application initColors}@anchor{228}
@deffn {Method} classmethod initColors ()
Initialize the terminal for color support by colorama.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex printException() (pyGHDL.cli.dom.Application class method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printException}@anchor{1ec}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printException}@anchor{229}
@deffn {Method} classmethod printException (ex)
-Prints an exception of type Exception@footnote{https://docs.python.org/3.6/library/exceptions.html#Exception}.
-
-@*Return type:
-NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
-
-@end deffn
-
-@geindex printExceptionBase() (pyGHDL.cli.dom.Application class method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printExceptionBase}@anchor{1ed}
-@deffn {Method} classmethod printExceptionBase (ex)
+Prints an exception of type Exception@footnote{https://docs.python.org/3/library/exceptions.html#Exception}.
@*Return type:
-NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
+NoReturn@footnote{https://docs.python.org/3/library/typing.html#typing.NoReturn}
@end deffn
@geindex printNotImplementedError() (pyGHDL.cli.dom.Application class method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printNotImplementedError}@anchor{1ee}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printNotImplementedError}@anchor{22a}
@deffn {Method} classmethod printNotImplementedError (ex)
-Prints a not-implemented exception of type NotImplementedError@footnote{https://docs.python.org/3.6/library/exceptions.html#NotImplementedError}.
+Prints a not-implemented exception of type NotImplementedError@footnote{https://docs.python.org/3/library/exceptions.html#NotImplementedError}.
@*Return type:
-NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
+NoReturn@footnote{https://docs.python.org/3/library/typing.html#typing.NoReturn}
@end deffn
@geindex versionCheck() (pyGHDL.cli.dom.Application class method)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application versionCheck}@anchor{1ef}
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application versionCheck}@anchor{22b}
@deffn {Method} classmethod versionCheck (version)
Check if the used Python interpreter fulfills the minimum version requirements.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
-
-@geindex __mainParser (pyGHDL.cli.dom.Application attribute)
-@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application __mainParser}@anchor{1f0}
-@deffn {Attribute} __mainParser: ArgumentParser
-@end deffn
@end deffn
@c # Load pre-defined aliases and graphical characters like © from docutils
@@ -7264,49 +7447,51 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL cli lsp,,pyGHDL cli dom,pyGHDL cli
-@anchor{pyGHDL/pyGHDL cli lsp doc}@anchor{1f1}@anchor{pyGHDL/pyGHDL cli lsp module-pyGHDL cli lsp}@anchor{3}@anchor{pyGHDL/pyGHDL cli lsp pyghdl-cli-lsp}@anchor{1f2}
-@subsection pyGHDL.cli.lsp
+@anchor{pyGHDL/pyGHDL cli lsp doc}@anchor{22c}@anchor{pyGHDL/pyGHDL cli lsp module-pyGHDL cli lsp}@anchor{3}@anchor{pyGHDL/pyGHDL cli lsp pyghdl-cli-lsp}@anchor{22d}
+@subsection @code{pyGHDL.cli.lsp}
@geindex module; pyGHDL.cli.lsp
-@c #-----------------------------------
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{1f3,,main()}:
+@ref{22e,,main()}:
Entrypoint of GHDL’s Language Protocol Server.
@end itemize
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex main() (in module pyGHDL.cli.lsp)
-@anchor{pyGHDL/pyGHDL cli lsp pyGHDL cli lsp main}@anchor{1f3}
+@anchor{pyGHDL/pyGHDL cli lsp pyGHDL cli lsp main}@anchor{22e}
@deffn {Function} pyGHDL.cli.lsp.main ()
Entrypoint of GHDL’s Language Protocol Server.
@end deffn
-@c #-----------------------------------
-
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -7316,27 +7501,31 @@ Entrypoint of GHDL’s Language Protocol Server.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom,pyGHDL libghdl,pyGHDL cli,pyGHDL
-@anchor{pyGHDL/pyGHDL dom doc}@anchor{1f4}@anchor{pyGHDL/pyGHDL dom module-pyGHDL dom}@anchor{4}@anchor{pyGHDL/pyGHDL dom pyghdl-dom}@anchor{1f5}
-@section pyGHDL.dom
+@anchor{pyGHDL/pyGHDL dom doc}@anchor{22f}@anchor{pyGHDL/pyGHDL dom module-pyGHDL dom}@anchor{4}@anchor{pyGHDL/pyGHDL dom pyghdl-dom}@anchor{230}
+@section @code{pyGHDL.dom}
@geindex module; pyGHDL.dom
-@c #-----------------------------------
+Document object model (DOM) for @ref{1b,,pyGHDL.libghdl} based on pyVHDLModel@footnote{https://vhdl.github.io/pyVHDLModel/index.html}.
-@strong{Submodules}
+`Submodules'
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@@ -7347,17 +7536,21 @@ Entrypoint of GHDL’s Language Protocol Server.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@menu
* pyGHDL.dom.Aggregates: pyGHDL dom Aggregates.
* pyGHDL.dom.Attribute: pyGHDL dom Attribute.
@@ -7383,8 +7576,8 @@ Entrypoint of GHDL’s Language Protocol Server.
@end menu
@node pyGHDL dom Aggregates,pyGHDL dom Attribute,,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Aggregates doc}@anchor{1f6}@anchor{pyGHDL/pyGHDL dom Aggregates module-pyGHDL dom Aggregates}@anchor{7}@anchor{pyGHDL/pyGHDL dom Aggregates pyghdl-dom-aggregates}@anchor{1f7}
-@subsection pyGHDL.dom.Aggregates
+@anchor{pyGHDL/pyGHDL dom Aggregates doc}@anchor{231}@anchor{pyGHDL/pyGHDL dom Aggregates module-pyGHDL dom Aggregates}@anchor{7}@anchor{pyGHDL/pyGHDL dom Aggregates pyghdl-dom-aggregates}@anchor{232}
+@subsection @code{pyGHDL.dom.Aggregates}
@geindex module; pyGHDL.dom.Aggregates
@@ -7394,370 +7587,398 @@ This module contains all DOM classes for VHDL’s design units (@code{context},
@code{package body}, @code{context} and
@code{configuration}.
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{1f8,,SimpleAggregateElement}:
+@ref{233,,SimpleAggregateElement}:
A @code{AggregateElement} is a base-class for all aggregate elements.
@item
-@ref{1f9,,IndexedAggregateElement}:
+@ref{234,,IndexedAggregateElement}:
A @code{AggregateElement} is a base-class for all aggregate elements.
@item
-@ref{1fa,,RangedAggregateElement}:
+@ref{235,,RangedAggregateElement}:
A @code{AggregateElement} is a base-class for all aggregate elements.
@item
-@ref{1fb,,NamedAggregateElement}:
+@ref{236,,NamedAggregateElement}:
A @code{AggregateElement} is a base-class for all aggregate elements.
@item
-@ref{1fc,,OthersAggregateElement}:
+@ref{237,,OthersAggregateElement}:
A @code{AggregateElement} is a base-class for all aggregate elements.
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex SimpleAggregateElement (class in pyGHDL.dom.Aggregates)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement}@anchor{1f8}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement}@anchor{233}
@deffn {Class} pyGHDL.dom.Aggregates.SimpleAggregateElement (node, expression)
@subsubheading Inheritance
-@image{inheritance-094d035d8ac6263a8d2280282a3fcae0147d7cbb,,,[graphviz],png}
+@image{inheritance-e903e78ed47a0a158d33005ef454a6cb61b75617,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Expression (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Expression}@anchor{1fd}
-@deffn {Property} Expression
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Parent}@anchor{1fe}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Aggregates.SimpleAggregateElement method)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement __init__}@anchor{23b}
+@deffn {Method} __init__ (node, expression)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Position}@anchor{1ff}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _position}@anchor{201}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _expression (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _expression}@anchor{202}
-@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _parent}@anchor{209}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _parent}@anchor{23c}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _iirNode}@anchor{20a}
-@deffn {Attribute} _iirNode: Iir
+@geindex Parent (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Parent}@anchor{23d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Aggregates.SimpleAggregateElement method)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement __str__}@anchor{23e}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex IndexedAggregateElement (class in pyGHDL.dom.Aggregates)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement}@anchor{1f9}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement}@anchor{234}
@deffn {Class} pyGHDL.dom.Aggregates.IndexedAggregateElement (node, index, expression)
@subsubheading Inheritance
-@image{inheritance-fab95bc7356bae521e3948f44b940b59c8d99d71,,,[graphviz],png}
+@image{inheritance-564cdff4925a77558be7338e54af360e844ce9bd,,,[graphviz],png}
-@subsubheading Members
-
-
-@geindex Expression (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Expression}@anchor{20b}
-@deffn {Property} Expression
-@end deffn
+@*Parameters:
-@geindex Index (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Index}@anchor{20c}
-@deffn {Property} Index: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@itemize *
-@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{index} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Parent (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Parent}@anchor{20d}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Aggregates.IndexedAggregateElement method)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement __init__}@anchor{23f}
+@deffn {Method} __init__ (node, index, expression)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Position}@anchor{20e}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _position}@anchor{20f}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@item
+@code{index} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex _index (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _index}@anchor{210}
-@deffn {Attribute} _index: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _expression (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _expression}@anchor{211}
-@deffn {Attribute} _expression: ExpressionUnion
@end deffn
@geindex _parent (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _parent}@anchor{212}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _parent}@anchor{240}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _iirNode}@anchor{213}
-@deffn {Attribute} _iirNode: Iir
+@geindex Parent (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Parent}@anchor{241}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Aggregates.IndexedAggregateElement method)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement __str__}@anchor{242}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex RangedAggregateElement (class in pyGHDL.dom.Aggregates)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement}@anchor{1fa}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement}@anchor{235}
@deffn {Class} pyGHDL.dom.Aggregates.RangedAggregateElement (node, rng, expression)
@subsubheading Inheritance
-@image{inheritance-400bb08caf111e6fdeddb2d6eae4ecd3c34a8112,,,[graphviz],png}
-
-@subsubheading Members
-
+@image{inheritance-e253caa2fbb30f3590f52946e72180e133dcd133,,,[graphviz],png}
-@geindex Expression (pyGHDL.dom.Aggregates.RangedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Expression}@anchor{214}
-@deffn {Property} Expression
-@end deffn
+@*Parameters:
-@geindex Parent (pyGHDL.dom.Aggregates.RangedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Parent}@anchor{215}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-Returns a reference to the parent entity.
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{rng} (@ref{243,,Range}) –
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex Position (pyGHDL.dom.Aggregates.RangedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Position}@anchor{216}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-@*Return type:
-@ref{200,,Position}
+@geindex __init__() (pyGHDL.dom.Aggregates.RangedAggregateElement method)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement __init__}@anchor{244}
+@deffn {Method} __init__ (node, rng, expression)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Range (pyGHDL.dom.Aggregates.RangedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Range}@anchor{217}
-@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@*Parameters:
-@*Return type:
-Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _position}@anchor{218}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@item
+@code{rng} (@ref{243,,Range}) –
-@geindex _range (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _range}@anchor{219}
-@deffn {Attribute} _range: @ref{21a,,Range}
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _expression (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _expression}@anchor{21b}
-@deffn {Attribute} _expression: ExpressionUnion
@end deffn
@geindex _parent (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _parent}@anchor{21c}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _parent}@anchor{245}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _iirNode}@anchor{21d}
-@deffn {Attribute} _iirNode: Iir
+@geindex Parent (pyGHDL.dom.Aggregates.RangedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Parent}@anchor{246}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Aggregates.RangedAggregateElement method)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement __str__}@anchor{247}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex NamedAggregateElement (class in pyGHDL.dom.Aggregates)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement}@anchor{1fb}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement}@anchor{236}
@deffn {Class} pyGHDL.dom.Aggregates.NamedAggregateElement (node, name, expression)
@subsubheading Inheritance
-@image{inheritance-9a6481fcf200cfa752c3fe259793c105b1a4c2cd,,,[graphviz],png}
-
-@subsubheading Members
-
+@image{inheritance-bbbb1a95969c9b3aafc36457b024b73ca8dbdb3f,,,[graphviz],png}
-@geindex Expression (pyGHDL.dom.Aggregates.NamedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Expression}@anchor{21e}
-@deffn {Property} Expression
-@end deffn
+@*Parameters:
-@geindex Name (pyGHDL.dom.Aggregates.NamedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Name}@anchor{21f}
-@deffn {Property} Name: pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
+@itemize *
-@*Return type:
-Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{name} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex Parent (pyGHDL.dom.Aggregates.NamedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Parent}@anchor{220}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Aggregates.NamedAggregateElement method)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement __init__}@anchor{248}
+@deffn {Method} __init__ (node, name, expression)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Aggregates.NamedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Position}@anchor{221}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _position}@anchor{222}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@item
+@code{name} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex _name (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _name}@anchor{223}
-@deffn {Attribute} _name: pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _expression (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _expression}@anchor{224}
-@deffn {Attribute} _expression: ExpressionUnion
@end deffn
@geindex _parent (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _parent}@anchor{225}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _parent}@anchor{249}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _iirNode}@anchor{226}
-@deffn {Attribute} _iirNode: Iir
+@geindex Parent (pyGHDL.dom.Aggregates.NamedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Parent}@anchor{24a}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Aggregates.NamedAggregateElement method)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement __str__}@anchor{24b}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex OthersAggregateElement (class in pyGHDL.dom.Aggregates)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement}@anchor{1fc}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement}@anchor{237}
@deffn {Class} pyGHDL.dom.Aggregates.OthersAggregateElement (node, expression)
@subsubheading Inheritance
-@image{inheritance-e573fcf918da4f333a6d5ddfbd0f00b8ee3260c6,,,[graphviz],png}
+@image{inheritance-8d752ccb3ca7eef87bb40f8bd366d1fe9a094876,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Expression (pyGHDL.dom.Aggregates.OthersAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Expression}@anchor{227}
-@deffn {Property} Expression
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Aggregates.OthersAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Parent}@anchor{228}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Aggregates.OthersAggregateElement method)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement __init__}@anchor{24c}
+@deffn {Method} __init__ (node, expression)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Aggregates.OthersAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Position}@anchor{229}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _position}@anchor{22a}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _expression (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _expression}@anchor{22b}
-@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _parent}@anchor{22c}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _parent}@anchor{24d}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _iirNode}@anchor{22d}
-@deffn {Attribute} _iirNode: Iir
+@geindex Parent (pyGHDL.dom.Aggregates.OthersAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Parent}@anchor{24e}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Aggregates.OthersAggregateElement method)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement __str__}@anchor{24f}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@@ -7770,239 +7991,269 @@ Reference to a parent entity in the model.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom Attribute,pyGHDL dom Concurrent,pyGHDL dom Aggregates,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Attribute doc}@anchor{22e}@anchor{pyGHDL/pyGHDL dom Attribute module-pyGHDL dom Attribute}@anchor{8}@anchor{pyGHDL/pyGHDL dom Attribute pyghdl-dom-attribute}@anchor{22f}
-@subsection pyGHDL.dom.Attribute
+@anchor{pyGHDL/pyGHDL dom Attribute doc}@anchor{250}@anchor{pyGHDL/pyGHDL dom Attribute module-pyGHDL dom Attribute}@anchor{8}@anchor{pyGHDL/pyGHDL dom Attribute pyghdl-dom-attribute}@anchor{251}
+@subsection @code{pyGHDL.dom.Attribute}
@geindex module; pyGHDL.dom.Attribute
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{230,,Attribute}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{252,,Attribute}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{231,,AttributeSpecification}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{253,,AttributeSpecification}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex Attribute (class in pyGHDL.dom.Attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute}@anchor{230}
-@deffn {Class} pyGHDL.dom.Attribute.Attribute (node, identifier, subtype)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute}@anchor{252}
+@deffn {Class} pyGHDL.dom.Attribute.Attribute (node, identifier, subtype, documentation=None)
@subsubheading Inheritance
-@image{inheritance-e9f9fcfef112eb79a427bce041118413269950ce,,,[graphviz],png}
+@image{inheritance-07084f005f16b7dc4b372e5c25a95fd0598968d0,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Attribute.Attribute class method)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute parse}@anchor{232}
-@deffn {Method} classmethod parse (attributeNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{230,,Attribute}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex Identifier (pyGHDL.dom.Attribute.Attribute property)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Identifier}@anchor{233}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Attribute.Attribute method)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute __init__}@anchor{254}
+@deffn {Method} __init__ (node, identifier, subtype, documentation=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Parent (pyGHDL.dom.Attribute.Attribute property)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Parent}@anchor{234}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex Position (pyGHDL.dom.Attribute.Attribute property)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Position}@anchor{235}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Subtype (pyGHDL.dom.Attribute.Attribute property)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Subtype}@anchor{236}
-@deffn {Property} Subtype
+@geindex _parent (pyGHDL.dom.Attribute.Attribute attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _parent}@anchor{255}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Attribute.Attribute attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _position}@anchor{237}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Documentation (pyGHDL.dom.Attribute.Attribute property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Documentation}@anchor{256}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Attribute.Attribute property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Identifier}@anchor{257}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.Attribute.Attribute attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _subtype}@anchor{238}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifier (pyGHDL.dom.Attribute.Attribute property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute NormalizedIdentifier}@anchor{258}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Attribute.Attribute attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _parent}@anchor{23a}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Attribute.Attribute property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Parent}@anchor{259}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Attribute.Attribute attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _identifier}@anchor{23b}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _identifier}@anchor{25a}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Attribute.Attribute attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _iirNode}@anchor{23c}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Attribute.Attribute attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _normalizedIdentifier}@anchor{25b}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Attribute.Attribute attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _documentation}@anchor{25c}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex AttributeSpecification (class in pyGHDL.dom.Attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification}@anchor{231}
-@deffn {Class} pyGHDL.dom.Attribute.AttributeSpecification (node, identifiers, attribute, entityClass, expression)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification}@anchor{253}
+@deffn {Class} pyGHDL.dom.Attribute.AttributeSpecification (node, identifiers, attribute, entityClass, expression, documentation=None)
@subsubheading Inheritance
-@image{inheritance-8c59092819180816ebdb9591534a7408ef25f164,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-7fd8352ccec9114c76a887fa3308510580a73184,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.Attribute.AttributeSpecification class method)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification parse}@anchor{23d}
-@deffn {Method} classmethod parse (attributeNode)
+@itemize *
-@*Return type:
-@ref{231,,AttributeSpecification}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}@code{]}) –
-@geindex Attribute (pyGHDL.dom.Attribute.AttributeSpecification property)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Attribute}@anchor{23e}
-@deffn {Property} Attribute: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{attribute} (Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{entityClass} (EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Declaration.html#pyVHDLModel.Declaration.EntityClass}) –
-@end deffn
+@item
+@code{expression} (@code{<module 'pyGHDL.dom.Expression' from '/Users/gingold/devel/ghdl/pyGHDL/dom/Expression.py'>}) –
-@geindex EntityClass (pyGHDL.dom.Attribute.AttributeSpecification property)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification EntityClass}@anchor{23f}
-@deffn {Property} EntityClass: pyVHDLModel.EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.EntityClass}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.EntityClass}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Attribute.AttributeSpecification method)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification __init__}@anchor{25d}
+@deffn {Method} __init__ (node, identifiers, attribute, entityClass, expression, documentation=None)
-@geindex Expression (pyGHDL.dom.Attribute.AttributeSpecification property)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Expression}@anchor{240}
-@deffn {Property} Expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+Initializes a VHDL model entity.
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Identifiers (pyGHDL.dom.Attribute.AttributeSpecification property)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Identifiers}@anchor{241}
-@deffn {Property} Identifiers: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}@code{]}) –
-@end deffn
+@item
+@code{attribute} (Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
-@geindex Parent (pyGHDL.dom.Attribute.AttributeSpecification property)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Parent}@anchor{242}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{entityClass} (EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Declaration.html#pyVHDLModel.Declaration.EntityClass}) –
-Returns a reference to the parent entity.
+@item
+@code{expression} (@code{<module 'pyGHDL.dom.Expression' from '/Users/gingold/devel/ghdl/pyGHDL/dom/Expression.py'>}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Attribute.AttributeSpecification property)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Position}@anchor{243}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Attribute.AttributeSpecification attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _parent}@anchor{25e}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Attribute.AttributeSpecification attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _position}@anchor{244}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Documentation (pyGHDL.dom.Attribute.AttributeSpecification property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Documentation}@anchor{25f}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex _identifiers (pyGHDL.dom.Attribute.AttributeSpecification attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _identifiers}@anchor{245}
-@deffn {Attribute} _identifiers: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
-@end deffn
+Returns a model entity’s associated documentation.
-@geindex _attribute (pyGHDL.dom.Attribute.AttributeSpecification attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _attribute}@anchor{246}
-@deffn {Attribute} _attribute: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-@end deffn
+@*Returns:
+Associated documentation of a model entity.
-@geindex _entityClass (pyGHDL.dom.Attribute.AttributeSpecification attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _entityClass}@anchor{247}
-@deffn {Attribute} _entityClass: pyVHDLModel.EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.EntityClass}
@end deffn
-@geindex _expression (pyGHDL.dom.Attribute.AttributeSpecification attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _expression}@anchor{248}
-@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex Parent (pyGHDL.dom.Attribute.AttributeSpecification property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Parent}@anchor{260}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _parent (pyGHDL.dom.Attribute.AttributeSpecification attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _parent}@anchor{249}
-@deffn {Attribute} _parent: ModelEntity
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Attribute.AttributeSpecification attribute)
-@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _iirNode}@anchor{24a}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.Attribute.AttributeSpecification attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _documentation}@anchor{261}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@@ -8015,2308 +8266,2258 @@ Reference to a parent entity in the model.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom Concurrent,pyGHDL dom DesignUnit,pyGHDL dom Attribute,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Concurrent doc}@anchor{24b}@anchor{pyGHDL/pyGHDL dom Concurrent module-pyGHDL dom Concurrent}@anchor{9}@anchor{pyGHDL/pyGHDL dom Concurrent pyghdl-dom-concurrent}@anchor{24c}
-@subsection pyGHDL.dom.Concurrent
+@anchor{pyGHDL/pyGHDL dom Concurrent doc}@anchor{262}@anchor{pyGHDL/pyGHDL dom Concurrent module-pyGHDL dom Concurrent}@anchor{9}@anchor{pyGHDL/pyGHDL dom Concurrent pyghdl-dom-concurrent}@anchor{263}
+@subsection @code{pyGHDL.dom.Concurrent}
@geindex module; pyGHDL.dom.Concurrent
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{24d,,GenericAssociationItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{264,,GenericAssociationItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{24e,,PortAssociationItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{265,,PortAssociationItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{24f,,ParameterAssociationItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{266,,ParameterAssociationItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{250,,ComponentInstantiation}:
+@ref{267,,ComponentInstantiation}:
A @code{ConcurrentStatement} is a base-class for all concurrent statements.
@item
-@ref{251,,EntityInstantiation}:
+@ref{268,,EntityInstantiation}:
A @code{ConcurrentStatement} is a base-class for all concurrent statements.
@item
-@ref{252,,ConfigurationInstantiation}:
+@ref{269,,ConfigurationInstantiation}:
A @code{ConcurrentStatement} is a base-class for all concurrent statements.
@item
-@ref{253,,ConcurrentBlockStatement}:
+@ref{26a,,ConcurrentBlockStatement}:
A @code{ConcurrentStatement} is a base-class for all concurrent statements.
@item
-@ref{254,,ProcessStatement}:
+@ref{26b,,ProcessStatement}:
A @code{ConcurrentStatement} is a base-class for all concurrent statements.
@item
-@ref{255,,IfGenerateBranch}:
+@ref{26c,,IfGenerateBranch}:
A @code{GenerateBranch} is a base-class for all branches in a generate statements.
@item
-@ref{256,,ElsifGenerateBranch}:
+@ref{26d,,ElsifGenerateBranch}:
A @code{GenerateBranch} is a base-class for all branches in a generate statements.
@item
-@ref{257,,ElseGenerateBranch}:
+@ref{26e,,ElseGenerateBranch}:
A @code{GenerateBranch} is a base-class for all branches in a generate statements.
@item
-@ref{258,,IfGenerateStatement}:
+@ref{26f,,IfGenerateStatement}:
A @code{GenerateStatement} is a base-class for all generate statements.
@item
-@ref{259,,IndexedGenerateChoice}:
-A @code{ConcurrentChoice} is a base-class for all concurrent choices
+@ref{270,,IndexedGenerateChoice}:
+A @code{ConcurrentChoice} is a base-class for all concurrent choices (in case…generate statements).
@item
-@ref{25a,,RangedGenerateChoice}:
-A @code{ConcurrentChoice} is a base-class for all concurrent choices
+@ref{271,,RangedGenerateChoice}:
+A @code{ConcurrentChoice} is a base-class for all concurrent choices (in case…generate statements).
@item
-@ref{25b,,GenerateCase}:
+@ref{272,,GenerateCase}:
A @code{Case} is a base-class for all cases.
@item
-@ref{25c,,OthersGenerateCase}:
+@ref{273,,OthersGenerateCase}:
A @code{Case} is a base-class for all cases.
@item
-@ref{25d,,CaseGenerateStatement}:
+@ref{274,,CaseGenerateStatement}:
A @code{GenerateStatement} is a base-class for all generate statements.
@item
-@ref{25e,,ForGenerateStatement}:
+@ref{275,,ForGenerateStatement}:
A @code{GenerateStatement} is a base-class for all generate statements.
@item
-@ref{25f,,WaveformElement}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{276,,WaveformElement}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{260,,ConcurrentSimpleSignalAssignment}:
+@ref{277,,ConcurrentSimpleSignalAssignment}:
A @code{ConcurrentStatement} is a base-class for all concurrent statements.
@item
-@ref{261,,ConcurrentProcedureCall}:
+@ref{278,,ConcurrentProcedureCall}:
A @code{ConcurrentStatement} is a base-class for all concurrent statements.
@item
-@ref{262,,ConcurrentAssertStatement}:
+@ref{279,,ConcurrentAssertStatement}:
A @code{ConcurrentStatement} is a base-class for all concurrent statements.
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex GenericAssociationItem (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem}@anchor{24d}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem}@anchor{264}
@deffn {Class} pyGHDL.dom.Concurrent.GenericAssociationItem (associationNode, actual, formal=None)
@subsubheading Inheritance
-@image{inheritance-36b8be10ac616305455d8d6cfc107f390a8808d0,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-7cbd0f5f9e2a68d56d7cf66ea8e077a6902b5e7a,,,[graphviz],png}
+@*Parameters:
-@geindex Actual (pyGHDL.dom.Concurrent.GenericAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Actual}@anchor{263}
-@deffn {Property} Actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@itemize *
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{associationNode} (@code{Iir}) –
-@end deffn
+@item
+@code{actual} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Formal (pyGHDL.dom.Concurrent.GenericAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Formal}@anchor{264}
-@deffn {Property} Formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{formal} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Concurrent.GenericAssociationItem method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem __init__}@anchor{27a}
+@deffn {Method} __init__ (associationNode, actual, formal=None)
-@geindex Parent (pyGHDL.dom.Concurrent.GenericAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Parent}@anchor{265}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes a VHDL model entity.
-Returns a reference to the parent entity.
+@*Parameters:
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-@end deffn
+@item
+@code{associationNode} (@code{Iir}) –
-@geindex Position (pyGHDL.dom.Concurrent.GenericAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Position}@anchor{266}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{actual} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{formal} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
@end deffn
-@geindex _position (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _position}@anchor{267}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex _parent (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _parent}@anchor{27b}
+@deffn {Attribute} _parent: ModelEntity
-@geindex _formal (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _formal}@anchor{268}
-@deffn {Attribute} _formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+Reference to a parent entity in the model.
@end deffn
-@geindex _actual (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _actual}@anchor{269}
-@deffn {Attribute} _actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex Parent (pyGHDL.dom.Concurrent.GenericAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Parent}@anchor{27c}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _parent (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _parent}@anchor{26a}
-@deffn {Attribute} _parent: ModelEntity
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _iirNode}@anchor{26b}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Concurrent.GenericAssociationItem method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem __str__}@anchor{27d}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
@geindex PortAssociationItem (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem}@anchor{24e}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem}@anchor{265}
@deffn {Class} pyGHDL.dom.Concurrent.PortAssociationItem (associationNode, actual, formal=None)
@subsubheading Inheritance
-@image{inheritance-e0664eab34db930f4ca178d660c309c4c688ff45,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-057d5ab9a48a5ef4884153a7a8045ebe4b98563f,,,[graphviz],png}
+@*Parameters:
-@geindex Actual (pyGHDL.dom.Concurrent.PortAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Actual}@anchor{26c}
-@deffn {Property} Actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@itemize *
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{associationNode} (@code{Iir}) –
-@end deffn
+@item
+@code{actual} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Formal (pyGHDL.dom.Concurrent.PortAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Formal}@anchor{26d}
-@deffn {Property} Formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{formal} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Concurrent.PortAssociationItem method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem __init__}@anchor{27e}
+@deffn {Method} __init__ (associationNode, actual, formal=None)
-@geindex Parent (pyGHDL.dom.Concurrent.PortAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Parent}@anchor{26e}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes a VHDL model entity.
-Returns a reference to the parent entity.
+@*Parameters:
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-@end deffn
+@item
+@code{associationNode} (@code{Iir}) –
-@geindex Position (pyGHDL.dom.Concurrent.PortAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Position}@anchor{26f}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{actual} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{formal} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
@end deffn
-@geindex _position (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _position}@anchor{270}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex _parent (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _parent}@anchor{27f}
+@deffn {Attribute} _parent: ModelEntity
-@geindex _formal (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _formal}@anchor{271}
-@deffn {Attribute} _formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+Reference to a parent entity in the model.
@end deffn
-@geindex _actual (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _actual}@anchor{272}
-@deffn {Attribute} _actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex Parent (pyGHDL.dom.Concurrent.PortAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Parent}@anchor{280}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _parent (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _parent}@anchor{273}
-@deffn {Attribute} _parent: ModelEntity
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _iirNode}@anchor{274}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Concurrent.PortAssociationItem method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem __str__}@anchor{281}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
@geindex ParameterAssociationItem (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem}@anchor{24f}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem}@anchor{266}
@deffn {Class} pyGHDL.dom.Concurrent.ParameterAssociationItem (associationNode, actual, formal=None)
@subsubheading Inheritance
-@image{inheritance-586d854f8b8f3c872f988b92ee947faedbc695ff,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-15fb694f1e43ca5297e9c576af4618c6892b5666,,,[graphviz],png}
+@*Parameters:
-@geindex Actual (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Actual}@anchor{275}
-@deffn {Property} Actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@itemize *
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{associationNode} (@code{Iir}) –
-@end deffn
+@item
+@code{actual} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Formal (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Formal}@anchor{276}
-@deffn {Property} Formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{formal} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Concurrent.ParameterAssociationItem method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem __init__}@anchor{282}
+@deffn {Method} __init__ (associationNode, actual, formal=None)
-@geindex Parent (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Parent}@anchor{277}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes a VHDL model entity.
-Returns a reference to the parent entity.
+@*Parameters:
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-@end deffn
+@item
+@code{associationNode} (@code{Iir}) –
-@geindex Position (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Position}@anchor{278}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{actual} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{formal} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
@end deffn
-@geindex _position (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _position}@anchor{279}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex _parent (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _parent}@anchor{283}
+@deffn {Attribute} _parent: ModelEntity
-@geindex _formal (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _formal}@anchor{27a}
-@deffn {Attribute} _formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+Reference to a parent entity in the model.
@end deffn
-@geindex _actual (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _actual}@anchor{27b}
-@deffn {Attribute} _actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex Parent (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Parent}@anchor{284}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _parent (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _parent}@anchor{27c}
-@deffn {Attribute} _parent: ModelEntity
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _iirNode}@anchor{27d}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Concurrent.ParameterAssociationItem method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem __str__}@anchor{285}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
@geindex ComponentInstantiation (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation}@anchor{250}
-@deffn {Class} pyGHDL.dom.Concurrent.ComponentInstantiation (instantiationNode, label, componentName, genericAssociations=None, portAssociations=None)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation}@anchor{267}
+@deffn {Class} pyGHDL.dom.Concurrent.ComponentInstantiation (instantiationNode, label, componentSymbol, genericAssociations=None, portAssociations=None)
@subsubheading Inheritance
-@image{inheritance-5003c66a9271cbdb4ae9e751a83b119a3ccf23db,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-47d43e8817182605956ccc52df0d3a92963b694e,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.Concurrent.ComponentInstantiation class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation parse}@anchor{27e}
-@deffn {Method} classmethod parse (instantiationNode, instantiatedUnit, label)
+@itemize *
-@*Return type:
-@ref{250,,ComponentInstantiation}
+@item
+@code{instantiationNode} (@code{Iir}) –
-@end deffn
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex Component (pyGHDL.dom.Concurrent.ComponentInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Component}@anchor{27f}
-@deffn {Property} Component: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{componentSymbol} (@ref{286,,ComponentInstantiationSymbol}) –
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{genericAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{]}) –
-@end deffn
+@item
+@code{portAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{]}) –
+@end itemize
-@geindex GenericAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation GenericAssociations}@anchor{280}
-@deffn {Property} GenericAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+@geindex __init__() (pyGHDL.dom.Concurrent.ComponentInstantiation method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation __init__}@anchor{287}
+@deffn {Method} __init__ (instantiationNode, label, componentSymbol, genericAssociations=None, portAssociations=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Label (pyGHDL.dom.Concurrent.ComponentInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Label}@anchor{281}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Parameters:
-Returns a model entity’s label.
+@itemize *
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{instantiationNode} (@code{Iir}) –
-@end deffn
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex Parent (pyGHDL.dom.Concurrent.ComponentInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Parent}@anchor{282}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{componentSymbol} (@ref{286,,ComponentInstantiationSymbol}) –
-Returns a reference to the parent entity.
+@item
+@code{genericAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{] }@code{| }@code{None}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{portAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{] }@code{| }@code{None}) –
+@end itemize
@end deffn
-@geindex PortAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation PortAssociations}@anchor{283}
-@deffn {Property} PortAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+@geindex _parent (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _parent}@anchor{288}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Concurrent.ComponentInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Position}@anchor{284}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Label (pyGHDL.dom.Concurrent.ComponentInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Label}@anchor{289}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _position}@anchor{285}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _component (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _component}@anchor{286}
-@deffn {Attribute} _component: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-@end deffn
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.ComponentInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation NormalizedLabel}@anchor{28a}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex _genericAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _genericAssociations}@anchor{287}
-@deffn {Attribute} _genericAssociations: List[AssociationItem]
-@end deffn
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
-@geindex _portAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _portAssociations}@anchor{288}
-@deffn {Attribute} _portAssociations: List[AssociationItem]
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _parent}@anchor{289}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Concurrent.ComponentInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Parent}@anchor{28b}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _label}@anchor{28a}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _label}@anchor{28c}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _iirNode}@anchor{28b}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _normalizedLabel}@anchor{28d}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex EntityInstantiation (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation}@anchor{251}
-@deffn {Class} pyGHDL.dom.Concurrent.EntityInstantiation (instantiationNode, label, entityName, architectureName=None, genericAssociations=None, portAssociations=None)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation}@anchor{268}
+@deffn {Class} pyGHDL.dom.Concurrent.EntityInstantiation (instantiationNode, label, entitySymbol, architectureSymbol=None, genericAssociations=None, portAssociations=None)
@subsubheading Inheritance
-@image{inheritance-f3f099a8d81052a1754bad0f04b7903e962a84ef,,,[graphviz],png}
+@image{inheritance-a32a380d5825bd579a3a10a1a731f90873def47a,,,[graphviz],png}
-@subsubheading Members
-
-
-@geindex parse() (pyGHDL.dom.Concurrent.EntityInstantiation class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation parse}@anchor{28c}
-@deffn {Method} classmethod parse (instantiationNode, instantiatedUnit, label)
+@*Parameters:
-@*Return type:
-@ref{251,,EntityInstantiation}
+@itemize *
-@end deffn
+@item
+@code{instantiationNode} (@code{Iir}) –
-@geindex Architecture (pyGHDL.dom.Concurrent.EntityInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Architecture}@anchor{28d}
-@deffn {Property} Architecture: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{entitySymbol} (@ref{28e,,EntityInstantiationSymbol}) –
-@end deffn
+@item
+@code{architectureSymbol} (@ref{28f,,ArchitectureSymbol}) –
-@geindex Entity (pyGHDL.dom.Concurrent.EntityInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Entity}@anchor{28e}
-@deffn {Property} Entity: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{genericAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{]}) –
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{portAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{]}) –
+@end itemize
-@end deffn
-@geindex GenericAssociations (pyGHDL.dom.Concurrent.EntityInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation GenericAssociations}@anchor{28f}
-@deffn {Property} GenericAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+@geindex __init__() (pyGHDL.dom.Concurrent.EntityInstantiation method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation __init__}@anchor{290}
+@deffn {Method} __init__ (instantiationNode, label, entitySymbol, architectureSymbol=None, genericAssociations=None, portAssociations=None)
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Label (pyGHDL.dom.Concurrent.EntityInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Label}@anchor{290}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@itemize *
-Returns a model entity’s label.
+@item
+@code{instantiationNode} (@code{Iir}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{entitySymbol} (@ref{28e,,EntityInstantiationSymbol}) –
-@geindex Parent (pyGHDL.dom.Concurrent.EntityInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Parent}@anchor{291}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{architectureSymbol} (@ref{28f,,ArchitectureSymbol}@code{ | }@code{None}) –
-Returns a reference to the parent entity.
+@item
+@code{genericAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{] }@code{| }@code{None}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{portAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{] }@code{| }@code{None}) –
+@end itemize
@end deffn
-@geindex PortAssociations (pyGHDL.dom.Concurrent.EntityInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation PortAssociations}@anchor{292}
-@deffn {Property} PortAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+@geindex _parent (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _parent}@anchor{291}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Concurrent.EntityInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Position}@anchor{293}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Label (pyGHDL.dom.Concurrent.EntityInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Label}@anchor{292}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _position}@anchor{294}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _entity (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _entity}@anchor{295}
-@deffn {Attribute} _entity: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-@end deffn
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.EntityInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation NormalizedLabel}@anchor{293}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex _architecture (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _architecture}@anchor{296}
-@deffn {Attribute} _architecture: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-@end deffn
+Returns a model entity’s normalized (lower case) label.
-@geindex _genericAssociations (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _genericAssociations}@anchor{297}
-@deffn {Attribute} _genericAssociations: List[AssociationItem]
-@end deffn
+@*Returns:
+Normalized label of a model entity.
-@geindex _portAssociations (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _portAssociations}@anchor{298}
-@deffn {Attribute} _portAssociations: List[AssociationItem]
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _parent}@anchor{299}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Concurrent.EntityInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Parent}@anchor{294}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _label}@anchor{29a}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _label}@anchor{295}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _iirNode}@anchor{29b}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _normalizedLabel}@anchor{296}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex ConfigurationInstantiation (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation}@anchor{252}
-@deffn {Class} pyGHDL.dom.Concurrent.ConfigurationInstantiation (instantiationNode, label, configurationName, genericAssociations=None, portAssociations=None)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation}@anchor{269}
+@deffn {Class} pyGHDL.dom.Concurrent.ConfigurationInstantiation (instantiationNode, label, configurationSymbol, genericAssociations=None, portAssociations=None)
@subsubheading Inheritance
-@image{inheritance-c6ade273f2ac735f98b9c5aba822f49d8b229a27,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-b2de5a58e12e8043a61dcbbc4380b54ed7f9b796,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.Concurrent.ConfigurationInstantiation class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation parse}@anchor{29c}
-@deffn {Method} classmethod parse (instantiationNode, instantiatedUnit, label)
+@itemize *
-@*Return type:
-@ref{252,,ConfigurationInstantiation}
+@item
+@code{instantiationNode} (@code{Iir}) –
-@end deffn
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex Configuration (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Configuration}@anchor{29d}
-@deffn {Property} Configuration: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{configurationSymbol} (@ref{297,,ConfigurationInstantiationSymbol}) –
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@item
+@code{genericAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{]}) –
-@end deffn
+@item
+@code{portAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{]}) –
+@end itemize
-@geindex GenericAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation GenericAssociations}@anchor{29e}
-@deffn {Property} GenericAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+@geindex __init__() (pyGHDL.dom.Concurrent.ConfigurationInstantiation method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation __init__}@anchor{298}
+@deffn {Method} __init__ (instantiationNode, label, configurationSymbol, genericAssociations=None, portAssociations=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Label (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Label}@anchor{29f}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Parameters:
-Returns a model entity’s label.
+@itemize *
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{instantiationNode} (@code{Iir}) –
-@end deffn
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex Parent (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Parent}@anchor{2a0}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{configurationSymbol} (@ref{297,,ConfigurationInstantiationSymbol}) –
-Returns a reference to the parent entity.
+@item
+@code{genericAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{] }@code{| }@code{None}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{portAssociations} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Association.html#pyVHDLModel.Association.AssociationItem}@code{] }@code{| }@code{None}) –
+@end itemize
@end deffn
-@geindex PortAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation PortAssociations}@anchor{2a1}
-@deffn {Property} PortAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+@geindex _parent (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _parent}@anchor{299}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Position}@anchor{2a2}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Label (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Label}@anchor{29a}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _position}@anchor{2a3}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _configuration (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _configuration}@anchor{2a4}
-@deffn {Attribute} _configuration: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-@end deffn
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation NormalizedLabel}@anchor{29b}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex _genericAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _genericAssociations}@anchor{2a5}
-@deffn {Attribute} _genericAssociations: List[AssociationItem]
-@end deffn
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
-@geindex _portAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _portAssociations}@anchor{2a6}
-@deffn {Attribute} _portAssociations: List[AssociationItem]
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _parent}@anchor{2a7}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Parent}@anchor{29c}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _label}@anchor{2a8}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _label}@anchor{29d}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _iirNode}@anchor{2a9}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _normalizedLabel}@anchor{29e}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex ConcurrentBlockStatement (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement}@anchor{253}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement}@anchor{26a}
@deffn {Class} pyGHDL.dom.Concurrent.ConcurrentBlockStatement (blockNode, label, declaredItems=None, statements=None)
@subsubheading Inheritance
-@image{inheritance-d60a80b985c9ce43c0b732d8ef4ad2e9cb2a67d6,,,[graphviz],png}
+@image{inheritance-4aa862aa39934adc75799140fe684fd0474fcde6,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Concurrent.ConcurrentBlockStatement class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement parse}@anchor{2aa}
-@deffn {Method} classmethod parse (blockNode, label)
+@item
+@code{blockNode} (@code{Iir}) –
-@*Return type:
-@ref{253,,ConcurrentBlockStatement}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
-@geindex DeclaredItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement DeclaredItems}@anchor{2ab}
-@deffn {Property} DeclaredItems: List
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@code{ConcurrentStatement}@code{]}) –
+@end itemize
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Concurrent.ConcurrentBlockStatement method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement __init__}@anchor{29f}
+@deffn {Method} __init__ (blockNode, label, declaredItems=None, statements=None)
-@geindex Label (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Label}@anchor{2ac}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes a VHDL model entity.
-Returns a model entity’s label.
+@*Parameters:
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@itemize *
-@end deffn
+@item
+@code{blockNode} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Parent}@anchor{2ad}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-Returns a reference to the parent entity.
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{ | }@code{None}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{] }@code{| }@code{None}) –
+@end itemize
@end deffn
-@geindex PortItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement PortItems}@anchor{2ae}
-@deffn {Property} PortItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _parent}@anchor{2a0}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Position}@anchor{2af}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Documentation (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Documentation}@anchor{2a1}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex Statements (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Statements}@anchor{2b0}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@geindex Label (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Label}@anchor{2a2}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _position}@anchor{2b1}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _portItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _portItems}@anchor{2b2}
-@deffn {Attribute} _portItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement NormalizedLabel}@anchor{2a3}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _parent}@anchor{2b3}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Parent}@anchor{2a4}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _label}@anchor{2b4}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _label}@anchor{2a5}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _declaredItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _declaredItems}@anchor{2b5}
-@deffn {Attribute} _declaredItems: List
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _normalizedLabel}@anchor{2a6}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _statements (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _statements}@anchor{2b6}
-@deffn {Attribute} _statements: List[ConcurrentStatement]
+The normalized (lower case) label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _iirNode}@anchor{2b7}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _documentation}@anchor{2a7}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex ProcessStatement (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement}@anchor{254}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement}@anchor{26b}
@deffn {Class} pyGHDL.dom.Concurrent.ProcessStatement (processNode, label=None, declaredItems=None, statements=None, sensitivityList=None)
@subsubheading Inheritance
-@image{inheritance-d32e919a67a15de8b73cfb0570045ccc60382421,,,[graphviz],png}
+@image{inheritance-313dd791dc2af3bd1a1bc60edf105fea56f06e79,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Concurrent.ProcessStatement class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement parse}@anchor{2b8}
-@deffn {Method} classmethod parse (processNode, label, hasSensitivityList)
+@item
+@code{processNode} (@code{Iir}) –
-@*Return type:
-@ref{254,,ProcessStatement}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
-@geindex DeclaredItems (pyGHDL.dom.Concurrent.ProcessStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement DeclaredItems}@anchor{2b9}
-@deffn {Property} DeclaredItems: List
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{]}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+@item
+@code{sensitivityList} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}@code{]}) –
+@end itemize
-@end deffn
-@geindex Label (pyGHDL.dom.Concurrent.ProcessStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Label}@anchor{2ba}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Concurrent.ProcessStatement method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement __init__}@anchor{2a8}
+@deffn {Method} __init__ (processNode, label=None, declaredItems=None, statements=None, sensitivityList=None)
-Returns a model entity’s label.
+Initializes a VHDL model entity.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Parent (pyGHDL.dom.Concurrent.ProcessStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Parent}@anchor{2bb}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{processNode} (@code{Iir}) –
-Returns a reference to the parent entity.
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{ | }@code{None}) –
-@end deffn
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{] }@code{| }@code{None}) –
-@geindex Position (pyGHDL.dom.Concurrent.ProcessStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Position}@anchor{2bc}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{sensitivityList} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}@code{] }@code{| }@code{None}) –
+@end itemize
-@*Return type:
-@ref{200,,Position}
+@end deffn
+@geindex _parent (pyGHDL.dom.Concurrent.ProcessStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _parent}@anchor{2a9}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex SensitivityList (pyGHDL.dom.Concurrent.ProcessStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement SensitivityList}@anchor{2bd}
-@deffn {Property} SensitivityList: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex Documentation (pyGHDL.dom.Concurrent.ProcessStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Documentation}@anchor{2aa}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex Statements (pyGHDL.dom.Concurrent.ProcessStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Statements}@anchor{2be}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@geindex Label (pyGHDL.dom.Concurrent.ProcessStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Label}@anchor{2ab}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.ProcessStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _position}@anchor{2bf}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _sensitivityList (pyGHDL.dom.Concurrent.ProcessStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _sensitivityList}@anchor{2c0}
-@deffn {Attribute} _sensitivityList: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}] = None
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.ProcessStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement NormalizedLabel}@anchor{2ac}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.ProcessStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _parent}@anchor{2c1}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Concurrent.ProcessStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Parent}@anchor{2ad}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.ProcessStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _label}@anchor{2c2}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _label}@anchor{2ae}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _declaredItems (pyGHDL.dom.Concurrent.ProcessStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _declaredItems}@anchor{2c3}
-@deffn {Attribute} _declaredItems: List
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.ProcessStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _normalizedLabel}@anchor{2af}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _statements (pyGHDL.dom.Concurrent.ProcessStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _statements}@anchor{2c4}
-@deffn {Attribute} _statements: List[SequentialStatement]
+The normalized (lower case) label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.ProcessStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _iirNode}@anchor{2c5}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.Concurrent.ProcessStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _documentation}@anchor{2b0}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex IfGenerateBranch (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch}@anchor{255}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch}@anchor{26c}
@deffn {Class} pyGHDL.dom.Concurrent.IfGenerateBranch (branchNode, condition, declaredItems=None, statements=None, alternativeLabel=None)
@subsubheading Inheritance
-@image{inheritance-9fdc9d253083778482e4b45bb6e278aa1c1c025b,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-3e30d24cd75aa3e94b2f59d3511040b17b7266ae,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.Concurrent.IfGenerateBranch class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch parse}@anchor{2c6}
-@deffn {Method} classmethod parse (generateNode)
+@itemize *
-@*Return type:
-@ref{255,,IfGenerateBranch}
+@item
+@code{branchNode} (@code{Iir}) –
-@end deffn
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Condition (pyGHDL.dom.Concurrent.IfGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch Condition}@anchor{2c7}
-@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{]}) –
-@end deffn
+@item
+@code{alternativeLabel} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex DeclaredItems (pyGHDL.dom.Concurrent.IfGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch DeclaredItems}@anchor{2c8}
-@deffn {Property} DeclaredItems: List
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+@geindex __init__() (pyGHDL.dom.Concurrent.IfGenerateBranch method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch __init__}@anchor{2b1}
+@deffn {Method} __init__ (branchNode, condition, declaredItems=None, statements=None, alternativeLabel=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Parent (pyGHDL.dom.Concurrent.IfGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch Parent}@anchor{2c9}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{branchNode} (@code{Iir}) –
-@end deffn
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Statements (pyGHDL.dom.Concurrent.IfGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch Statements}@anchor{2ca}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{]}) –
-@end deffn
+@item
+@code{alternativeLabel} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex _alternativeLabel (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _alternativeLabel}@anchor{2cb}
-@deffn {Attribute} _alternativeLabel: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str} = None
@end deffn
@geindex _parent (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _parent}@anchor{2cc}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _parent}@anchor{2b2}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _declaredItems (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _declaredItems}@anchor{2cd}
-@deffn {Attribute} _declaredItems: List
-@end deffn
+@geindex Parent (pyGHDL.dom.Concurrent.IfGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch Parent}@anchor{2b3}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _statements (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _statements}@anchor{2ce}
-@deffn {Attribute} _statements: List[ConcurrentStatement]
-@end deffn
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-@geindex _condition (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _condition}@anchor{2cf}
-@deffn {Attribute} _condition: ExpressionUnion
@end deffn
@end deffn
@geindex ElsifGenerateBranch (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch}@anchor{256}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch}@anchor{26d}
@deffn {Class} pyGHDL.dom.Concurrent.ElsifGenerateBranch (branchNode, condition, declaredItems=None, statements=None, alternativeLabel=None)
@subsubheading Inheritance
-@image{inheritance-6767c6d2d990f3b47bb07b31c0f6a402a8fe4be7,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-b69fcc2bda431c6f06c570426021af8dfef4f37f,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.Concurrent.ElsifGenerateBranch class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch parse}@anchor{2d0}
-@deffn {Method} classmethod parse (generateNode, condition)
+@itemize *
-@*Return type:
-@ref{256,,ElsifGenerateBranch}
+@item
+@code{branchNode} (@code{Iir}) –
-@end deffn
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Condition (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch Condition}@anchor{2d1}
-@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{]}) –
-@end deffn
+@item
+@code{alternativeLabel} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex DeclaredItems (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch DeclaredItems}@anchor{2d2}
-@deffn {Property} DeclaredItems: List
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+@geindex __init__() (pyGHDL.dom.Concurrent.ElsifGenerateBranch method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch __init__}@anchor{2b4}
+@deffn {Method} __init__ (branchNode, condition, declaredItems=None, statements=None, alternativeLabel=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Parent (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch Parent}@anchor{2d3}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{branchNode} (@code{Iir}) –
-@end deffn
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Statements (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch Statements}@anchor{2d4}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{]}) –
-@end deffn
+@item
+@code{alternativeLabel} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex _alternativeLabel (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _alternativeLabel}@anchor{2d5}
-@deffn {Attribute} _alternativeLabel: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str} = None
@end deffn
@geindex _parent (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _parent}@anchor{2d6}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _parent}@anchor{2b5}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _declaredItems (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _declaredItems}@anchor{2d7}
-@deffn {Attribute} _declaredItems: List
-@end deffn
+@geindex Parent (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch Parent}@anchor{2b6}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _statements (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _statements}@anchor{2d8}
-@deffn {Attribute} _statements: List[ConcurrentStatement]
-@end deffn
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-@geindex _condition (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _condition}@anchor{2d9}
-@deffn {Attribute} _condition: ExpressionUnion
@end deffn
@end deffn
@geindex ElseGenerateBranch (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch}@anchor{257}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch}@anchor{26e}
@deffn {Class} pyGHDL.dom.Concurrent.ElseGenerateBranch (branchNode, declaredItems=None, statements=None, alternativeLabel=None)
@subsubheading Inheritance
-@image{inheritance-7614b3c8eafe710ae7296d2e11f6d21cfe45e9fe,,,[graphviz],png}
+@image{inheritance-36e83f80cc8097311847407a04eddb87d79ac706,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Concurrent.ElseGenerateBranch class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch parse}@anchor{2da}
-@deffn {Method} classmethod parse (generateNode)
+@item
+@code{branchNode} (@code{Iir}) –
-@*Return type:
-@ref{257,,ElseGenerateBranch}
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
-@end deffn
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{]}) –
-@geindex DeclaredItems (pyGHDL.dom.Concurrent.ElseGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch DeclaredItems}@anchor{2db}
-@deffn {Property} DeclaredItems: List
+@item
+@code{alternativeLabel} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Concurrent.ElseGenerateBranch method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch __init__}@anchor{2b7}
+@deffn {Method} __init__ (branchNode, declaredItems=None, statements=None, alternativeLabel=None)
-@geindex Parent (pyGHDL.dom.Concurrent.ElseGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch Parent}@anchor{2dc}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes a VHDL model entity.
-Returns a reference to the parent entity.
+@*Parameters:
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-@end deffn
+@item
+@code{branchNode} (@code{Iir}) –
-@geindex Statements (pyGHDL.dom.Concurrent.ElseGenerateBranch property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch Statements}@anchor{2dd}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{ | }@code{None}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{] }@code{| }@code{None}) –
-@end deffn
+@item
+@code{alternativeLabel} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
-@geindex _alternativeLabel (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _alternativeLabel}@anchor{2de}
-@deffn {Attribute} _alternativeLabel: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str} = None
@end deffn
@geindex _parent (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _parent}@anchor{2df}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _parent}@anchor{2b8}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _declaredItems (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _declaredItems}@anchor{2e0}
-@deffn {Attribute} _declaredItems: List
-@end deffn
+@geindex Parent (pyGHDL.dom.Concurrent.ElseGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch Parent}@anchor{2b9}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-@geindex _statements (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _statements}@anchor{2e1}
-@deffn {Attribute} _statements: List[ConcurrentStatement]
@end deffn
@end deffn
@geindex IfGenerateStatement (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement}@anchor{258}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement}@anchor{26f}
@deffn {Class} pyGHDL.dom.Concurrent.IfGenerateStatement (generateNode, label, ifBranch, elsifBranches=None, elseBranch=None)
@subsubheading Inheritance
-@image{inheritance-fd18a8c91cdb99e7d36716b13b5a135ae7982f9b,,,[graphviz],png}
+@image{inheritance-c1f2d5705bf65eea1cd9e547dd7edcf1889e8016,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Concurrent.IfGenerateStatement class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement parse}@anchor{2e2}
-@deffn {Method} classmethod parse (generateNode, label)
+@item
+@code{generateNode} (@code{Iir}) –
-@*Return type:
-@ref{258,,IfGenerateStatement}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{ifBranch} (@ref{26c,,IfGenerateBranch}) –
-@geindex ElseBranch (pyGHDL.dom.Concurrent.IfGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement ElseBranch}@anchor{2e3}
-@deffn {Property} ElseBranch: pyVHDLModel.SyntaxModel.ElseGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseGenerateBranch}
+@item
+@code{elsifBranches} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{26d,,ElsifGenerateBranch}@code{]}) –
-@*Return type:
-ElseGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseGenerateBranch}
+@item
+@code{elseBranch} (@ref{26e,,ElseGenerateBranch}) –
+@end itemize
-@end deffn
-@geindex ElsifBranches (pyGHDL.dom.Concurrent.IfGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement ElsifBranches}@anchor{2e4}
-@deffn {Property} ElsifBranches: List[pyVHDLModel.SyntaxModel.ElsifGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifGenerateBranch}]
+@geindex __init__() (pyGHDL.dom.Concurrent.IfGenerateStatement method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement __init__}@anchor{2ba}
+@deffn {Method} __init__ (generateNode, label, ifBranch, elsifBranches=None, elseBranch=None)
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ElsifGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifGenerateBranch}]
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex IfBranch (pyGHDL.dom.Concurrent.IfGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement IfBranch}@anchor{2e5}
-@deffn {Property} IfBranch: pyVHDLModel.SyntaxModel.IfGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfGenerateBranch}
+@itemize *
-@*Return type:
-IfGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfGenerateBranch}
+@item
+@code{generateNode} (@code{Iir}) –
-@end deffn
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex Label (pyGHDL.dom.Concurrent.IfGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Label}@anchor{2e6}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{ifBranch} (@ref{26c,,IfGenerateBranch}) –
-Returns a model entity’s label.
+@item
+@code{elsifBranches} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{26d,,ElsifGenerateBranch}@code{] }@code{| }@code{None}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{elseBranch} (@ref{26e,,ElseGenerateBranch}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Parent (pyGHDL.dom.Concurrent.IfGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Parent}@anchor{2e7}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-
-Returns a reference to the parent entity.
-
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _parent}@anchor{2bb}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Concurrent.IfGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Position}@anchor{2e8}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Label (pyGHDL.dom.Concurrent.IfGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Label}@anchor{2bc}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _position}@anchor{2e9}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
-@geindex _ifBranch (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _ifBranch}@anchor{2ea}
-@deffn {Attribute} _ifBranch: pyVHDLModel.SyntaxModel.IfGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfGenerateBranch}
-@end deffn
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.IfGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement NormalizedLabel}@anchor{2bd}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex _elsifBranches (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _elsifBranches}@anchor{2eb}
-@deffn {Attribute} _elsifBranches: List[pyVHDLModel.SyntaxModel.ElsifGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifGenerateBranch}]
-@end deffn
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
-@geindex _elseBranch (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _elseBranch}@anchor{2ec}
-@deffn {Attribute} _elseBranch: pyVHDLModel.SyntaxModel.ElseGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseGenerateBranch}
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _parent}@anchor{2ed}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Concurrent.IfGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Parent}@anchor{2be}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _label}@anchor{2ee}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _label}@anchor{2bf}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _iirNode}@anchor{2ef}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _normalizedLabel}@anchor{2c0}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex IndexedGenerateChoice (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice}@anchor{259}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice}@anchor{270}
@deffn {Class} pyGHDL.dom.Concurrent.IndexedGenerateChoice (node, expression)
@subsubheading Inheritance
-@image{inheritance-71726307c1d60faa3f91b329f348aa59a6d560bb,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-b2ada4fe65b796d82a6d8b6a3ebe217262d50d24,,,[graphviz],png}
+@*Parameters:
-@geindex Expression (pyGHDL.dom.Concurrent.IndexedGenerateChoice property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice Expression}@anchor{2f0}
-@deffn {Property} Expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Concurrent.IndexedGenerateChoice property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice Parent}@anchor{2f1}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Concurrent.IndexedGenerateChoice method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice __init__}@anchor{2c1}
+@deffn {Method} __init__ (node, expression)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Concurrent.IndexedGenerateChoice property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice Position}@anchor{2f2}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _position}@anchor{2f3}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _expression (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _expression}@anchor{2f4}
-@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _parent}@anchor{2f5}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _parent}@anchor{2c2}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _iirNode}@anchor{2f6}
-@deffn {Attribute} _iirNode: Iir
+@geindex Parent (pyGHDL.dom.Concurrent.IndexedGenerateChoice property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice Parent}@anchor{2c3}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Concurrent.IndexedGenerateChoice method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice __str__}@anchor{2c4}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex RangedGenerateChoice (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice}@anchor{25a}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice}@anchor{271}
@deffn {Class} pyGHDL.dom.Concurrent.RangedGenerateChoice (node, rng)
@subsubheading Inheritance
-@image{inheritance-a7e2d94cf868d241eaef284e94783ce7fe3cbf0e,,,[graphviz],png}
+@image{inheritance-a844e037b57f321bd50ed888e4d07c386c53af4b,,,[graphviz],png}
-@subsubheading Members
-
-
-@geindex Parent (pyGHDL.dom.Concurrent.RangedGenerateChoice property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice Parent}@anchor{2f7}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{rng} (@ref{243,,Range}) –
+@end itemize
-@geindex Position (pyGHDL.dom.Concurrent.RangedGenerateChoice property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice Position}@anchor{2f8}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-@*Return type:
-@ref{200,,Position}
+@geindex __init__() (pyGHDL.dom.Concurrent.RangedGenerateChoice method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice __init__}@anchor{2c5}
+@deffn {Method} __init__ (node, rng)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Range (pyGHDL.dom.Concurrent.RangedGenerateChoice property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice Range}@anchor{2f9}
-@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@*Parameters:
-@*Return type:
-Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _position}@anchor{2fa}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
-@end deffn
+@item
+@code{rng} (@ref{243,,Range}) –
+@end itemize
-@geindex _range (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _range}@anchor{2fb}
-@deffn {Attribute} _range: @ref{21a,,pyGHDL.dom.Range.Range}
@end deffn
@geindex _parent (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _parent}@anchor{2fc}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _parent}@anchor{2c6}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _iirNode}@anchor{2fd}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex Parent (pyGHDL.dom.Concurrent.RangedGenerateChoice property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice Parent}@anchor{2c7}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Concurrent.RangedGenerateChoice method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice __str__}@anchor{2c8}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex GenerateCase (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase}@anchor{25b}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase}@anchor{272}
@deffn {Class} pyGHDL.dom.Concurrent.GenerateCase (node, choices, declaredItems=None, statements=None, alternativeLabel=None)
@subsubheading Inheritance
-@image{inheritance-a3fd74dd600bca8a567b0b02fc9c03c8b2e1b3b7,,,[graphviz],png}
+@image{inheritance-42157635e30f84f5abaa8b8cc65494fb218ded64,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+
+@itemize *
+@item
+@code{node} (@code{Iir}) –
-@geindex parse() (pyGHDL.dom.Concurrent.GenerateCase class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase parse}@anchor{2fe}
-@deffn {Method} classmethod parse (caseNode, choices)
+@item
+@code{choices} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentChoice}@code{]}) –
-@*Return type:
-@ref{25b,,GenerateCase}
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
-@end deffn
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{]}) –
-@geindex Choices (pyGHDL.dom.Concurrent.GenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Choices}@anchor{2ff}
-@deffn {Property} Choices: List[pyVHDLModel.SyntaxModel.ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentChoice}]
+@item
+@code{alternativeLabel} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentChoice}]
-@end deffn
+@geindex __init__() (pyGHDL.dom.Concurrent.GenerateCase method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase __init__}@anchor{2c9}
+@deffn {Method} __init__ (node, choices, declaredItems=None, statements=None, alternativeLabel=None)
-@geindex DeclaredItems (pyGHDL.dom.Concurrent.GenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase DeclaredItems}@anchor{300}
-@deffn {Property} DeclaredItems: List
+Initializes a VHDL model entity.
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Label (pyGHDL.dom.Concurrent.GenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Label}@anchor{301}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{node} (@code{Iir}) –
-Returns a model entity’s label.
+@item
+@code{choices} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentChoice}@code{]}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{ | }@code{None}) –
-@end deffn
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{] }@code{| }@code{None}) –
-@geindex Parent (pyGHDL.dom.Concurrent.GenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Parent}@anchor{302}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{alternativeLabel} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
-Returns a reference to the parent entity.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Concurrent.GenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _parent}@anchor{2ca}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Concurrent.GenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Position}@anchor{303}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Label (pyGHDL.dom.Concurrent.GenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Label}@anchor{2cb}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s label.
+
+@*Returns:
+Label of a model entity.
@end deffn
-@geindex Statements (pyGHDL.dom.Concurrent.GenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Statements}@anchor{304}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.GenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase NormalizedLabel}@anchor{2cc}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+Returns a model entity’s normalized (lower case) label.
-@end deffn
+@*Returns:
+Normalized label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.GenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _position}@anchor{305}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _choices (pyGHDL.dom.Concurrent.GenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _choices}@anchor{306}
-@deffn {Attribute} _choices: List[pyVHDLModel.SyntaxModel.ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentChoice}]
+@geindex Parent (pyGHDL.dom.Concurrent.GenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Parent}@anchor{2cd}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.GenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _parent}@anchor{307}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Concurrent.GenerateCase method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase __str__}@anchor{2ce}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.GenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _label}@anchor{308}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _label}@anchor{2cf}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _declaredItems (pyGHDL.dom.Concurrent.GenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _declaredItems}@anchor{309}
-@deffn {Attribute} _declaredItems: List
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.GenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _normalizedLabel}@anchor{2d0}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _statements (pyGHDL.dom.Concurrent.GenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _statements}@anchor{30a}
-@deffn {Attribute} _statements: List[ConcurrentStatement]
-@end deffn
-
-@geindex _iirNode (pyGHDL.dom.Concurrent.GenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _iirNode}@anchor{30b}
-@deffn {Attribute} _iirNode: Iir
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex OthersGenerateCase (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase}@anchor{25c}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase}@anchor{273}
@deffn {Class} pyGHDL.dom.Concurrent.OthersGenerateCase (caseNode, declaredItems=None, statements=None, alternativeLabel=None)
@subsubheading Inheritance
-@image{inheritance-d07a807b1f2c4a9bc5d93c0d8b8441d3b0d93c98,,,[graphviz],png}
+@image{inheritance-a532495bb0d7f8464d61ac52209f60104d5e4ad9,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Concurrent.OthersGenerateCase class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase parse}@anchor{30c}
-@deffn {Method} classmethod parse (caseNode)
+@item
+@code{caseNode} (@code{Iir}) –
-@*Return type:
-@ref{25c,,OthersGenerateCase}
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
-@end deffn
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{]}) –
-@geindex DeclaredItems (pyGHDL.dom.Concurrent.OthersGenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase DeclaredItems}@anchor{30d}
-@deffn {Property} DeclaredItems: List
+@item
+@code{alternativeLabel} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Concurrent.OthersGenerateCase method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase __init__}@anchor{2d1}
+@deffn {Method} __init__ (caseNode, declaredItems=None, statements=None, alternativeLabel=None)
-@geindex Label (pyGHDL.dom.Concurrent.OthersGenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Label}@anchor{30e}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes a VHDL model entity.
-Returns a model entity’s label.
+@*Parameters:
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@itemize *
-@end deffn
+@item
+@code{caseNode} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Concurrent.OthersGenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Parent}@anchor{30f}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{ | }@code{None}) –
-Returns a reference to the parent entity.
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{] }@code{| }@code{None}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{alternativeLabel} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Concurrent.OthersGenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Position}@anchor{310}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex _parent (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _parent}@anchor{2d2}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-@ref{200,,Position}
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.OthersGenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Label}@anchor{2d3}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s label.
+
+@*Returns:
+Label of a model entity.
@end deffn
-@geindex Statements (pyGHDL.dom.Concurrent.OthersGenerateCase property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Statements}@anchor{311}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.OthersGenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase NormalizedLabel}@anchor{2d4}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _position}@anchor{312}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Parent (pyGHDL.dom.Concurrent.OthersGenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Parent}@anchor{2d5}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _parent}@anchor{313}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Concurrent.OthersGenerateCase method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase __str__}@anchor{2d6}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _label}@anchor{314}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _label}@anchor{2d7}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _declaredItems (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _declaredItems}@anchor{315}
-@deffn {Attribute} _declaredItems: List
-@end deffn
-
-@geindex _statements (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _statements}@anchor{316}
-@deffn {Attribute} _statements: List[ConcurrentStatement]
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _normalizedLabel}@anchor{2d8}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _iirNode (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _iirNode}@anchor{317}
-@deffn {Attribute} _iirNode: Iir
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex CaseGenerateStatement (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement}@anchor{25d}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement}@anchor{274}
@deffn {Class} pyGHDL.dom.Concurrent.CaseGenerateStatement (generateNode, label, expression, cases)
@subsubheading Inheritance
-@image{inheritance-7ad689913c655e5e9cae53c92b8f6c6a79612c88,,,[graphviz],png}
+@image{inheritance-24ad9c60b35d57ce2bd7fe2427b6d41a34a10bea,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Concurrent.CaseGenerateStatement class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement parse}@anchor{318}
-@deffn {Method} classmethod parse (generateNode, label)
+@item
+@code{generateNode} (@code{Iir}) –
-@*Return type:
-@ref{25d,,CaseGenerateStatement}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Cases (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Cases}@anchor{319}
-@deffn {Property} Cases: List[pyVHDLModel.SyntaxModel.GenerateCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenerateCase}]
+@item
+@code{cases} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentCase}@code{]}) –
+@end itemize
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenerateCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenerateCase}]
-@end deffn
+@geindex __init__() (pyGHDL.dom.Concurrent.CaseGenerateStatement method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement __init__}@anchor{2d9}
+@deffn {Method} __init__ (generateNode, label, expression, cases)
-@geindex Label (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Label}@anchor{31a}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes a VHDL model entity.
-Returns a model entity’s label.
+@*Parameters:
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@itemize *
-@end deffn
+@item
+@code{generateNode} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Parent}@anchor{31b}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-Returns a reference to the parent entity.
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{cases} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentCase}@code{]}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Position}@anchor{31c}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _parent}@anchor{2da}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex SelectExpression (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement SelectExpression}@anchor{31d}
-@deffn {Property} SelectExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex Label (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Label}@anchor{2db}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _position}@anchor{31e}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _expression (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _expression}@anchor{31f}
-@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement NormalizedLabel}@anchor{2dc}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
-@geindex _cases (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _cases}@anchor{320}
-@deffn {Attribute} _cases: List[pyVHDLModel.SyntaxModel.GenerateCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenerateCase}]
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _parent}@anchor{321}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Parent}@anchor{2dd}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _label}@anchor{322}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _label}@anchor{2de}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _iirNode}@anchor{323}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _normalizedLabel}@anchor{2df}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex ForGenerateStatement (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement}@anchor{25e}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement}@anchor{275}
@deffn {Class} pyGHDL.dom.Concurrent.ForGenerateStatement (generateNode, label, loopIndex, rng, declaredItems=None, statements=None)
@subsubheading Inheritance
-@image{inheritance-f8d351ab01ac3f396a8fcb46589325958ebe7d3b,,,[graphviz],png}
+@image{inheritance-570a7c4a9a439f8b38be0909077a13dd1b78727a,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Concurrent.ForGenerateStatement class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement parse}@anchor{324}
-@deffn {Method} classmethod parse (generateNode, label)
+@item
+@code{generateNode} (@code{Iir}) –
-@*Return type:
-@ref{25e,,ForGenerateStatement}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{loopIndex} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex DeclaredItems (pyGHDL.dom.Concurrent.ForGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement DeclaredItems}@anchor{325}
-@deffn {Property} DeclaredItems: List
+@item
+@code{rng} (@ref{243,,Range}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
-@end deffn
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{]}) –
+@end itemize
-@geindex Label (pyGHDL.dom.Concurrent.ForGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Label}@anchor{326}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s label.
+@geindex __init__() (pyGHDL.dom.Concurrent.ForGenerateStatement method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement __init__}@anchor{2e0}
+@deffn {Method} __init__ (generateNode, label, loopIndex, rng, declaredItems=None, statements=None)
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex LoopIndex (pyGHDL.dom.Concurrent.ForGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement LoopIndex}@anchor{327}
-@deffn {Property} LoopIndex: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@itemize *
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{generateNode} (@code{Iir}) –
-@end deffn
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex Parent (pyGHDL.dom.Concurrent.ForGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Parent}@anchor{328}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{loopIndex} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-Returns a reference to the parent entity.
+@item
+@code{rng} (@ref{243,,Range}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{ | }@code{None}) –
-@end deffn
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{] }@code{| }@code{None}) –
+@end itemize
-@geindex Position (pyGHDL.dom.Concurrent.ForGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Position}@anchor{329}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@end deffn
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _parent}@anchor{2e1}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Range (pyGHDL.dom.Concurrent.ForGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Range}@anchor{32a}
-@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@geindex Label (pyGHDL.dom.Concurrent.ForGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Label}@anchor{2e2}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+Returns a model entity’s label.
+
+@*Returns:
+Label of a model entity.
@end deffn
-@geindex Statements (pyGHDL.dom.Concurrent.ForGenerateStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Statements}@anchor{32b}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.ForGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement NormalizedLabel}@anchor{2e3}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+Returns a model entity’s normalized (lower case) label.
-@end deffn
+@*Returns:
+Normalized label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _position}@anchor{32c}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _loopIndex (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _loopIndex}@anchor{32d}
-@deffn {Attribute} _loopIndex: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+@geindex Parent (pyGHDL.dom.Concurrent.ForGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Parent}@anchor{2e4}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _range (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _range}@anchor{32e}
-@deffn {Attribute} _range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _parent (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _parent}@anchor{32f}
-@deffn {Attribute} _parent: ModelEntity
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _label}@anchor{330}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _label}@anchor{2e5}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _declaredItems (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _declaredItems}@anchor{331}
-@deffn {Attribute} _declaredItems: List
-@end deffn
-
-@geindex _statements (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _statements}@anchor{332}
-@deffn {Attribute} _statements: List[ConcurrentStatement]
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _normalizedLabel}@anchor{2e6}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _iirNode (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _iirNode}@anchor{333}
-@deffn {Attribute} _iirNode: Iir
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex WaveformElement (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement}@anchor{25f}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement}@anchor{276}
@deffn {Class} pyGHDL.dom.Concurrent.WaveformElement (waveNode, expression, after)
@subsubheading Inheritance
-@image{inheritance-624c6b611372ca31bbafbc5279c3c8d544519fb7,,,[graphviz],png}
-
-@subsubheading Members
-
-
-@geindex parse() (pyGHDL.dom.Concurrent.WaveformElement class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement parse}@anchor{334}
-@deffn {Method} classmethod parse (waveNode)
-@end deffn
+@image{inheritance-fa2b94ee8538534d04f8bcc17efb91623be28e74,,,[graphviz],png}
-@geindex After (pyGHDL.dom.Concurrent.WaveformElement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement After}@anchor{335}
-@deffn {Property} After: <property object at 0x10af9d8b8>
-
-@*Return type:
-<property object at 0x10af9d8b8>
-
-@end deffn
+@*Parameters:
-@geindex Expression (pyGHDL.dom.Concurrent.WaveformElement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement Expression}@anchor{336}
-@deffn {Property} Expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@itemize *
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{waveNode} (@code{Iir}) –
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Parent (pyGHDL.dom.Concurrent.WaveformElement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement Parent}@anchor{337}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{after} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Concurrent.WaveformElement method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement __init__}@anchor{2e7}
+@deffn {Method} __init__ (waveNode, expression, after)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Concurrent.WaveformElement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement Position}@anchor{338}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{waveNode} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.Concurrent.WaveformElement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _position}@anchor{339}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex _expression (pyGHDL.dom.Concurrent.WaveformElement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _expression}@anchor{33a}
-@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@item
+@code{after} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _after (pyGHDL.dom.Concurrent.WaveformElement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _after}@anchor{33b}
-@deffn {Attribute} _after: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Concurrent.WaveformElement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _parent}@anchor{33c}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _parent}@anchor{2e8}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Concurrent.WaveformElement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _iirNode}@anchor{33d}
-@deffn {Attribute} _iirNode: Iir
+@geindex Parent (pyGHDL.dom.Concurrent.WaveformElement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement Parent}@anchor{2e9}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
@end deffn
@geindex ConcurrentSimpleSignalAssignment (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment}@anchor{260}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment}@anchor{277}
@deffn {Class} pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment (assignmentNode, label, target, waveform)
@subsubheading Inheritance
-@image{inheritance-e2375023962df772ac00510b1490eaaba4321bf0,,,[graphviz],png}
+@image{inheritance-01f182da41719c74626b2084ebb2c78894f5a214,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment parse}@anchor{33e}
-@deffn {Method} classmethod parse (assignmentNode, label)
+@item
+@code{assignmentNode} (@code{Iir}) –
-@*Return type:
-@ref{260,,ConcurrentSimpleSignalAssignment}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{target} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex Label (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Label}@anchor{33f}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{waveform} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{276,,WaveformElement}@code{]}) –
+@end itemize
-Returns a model entity’s label.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment __init__}@anchor{2ea}
+@deffn {Method} __init__ (assignmentNode, label, target, waveform)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Parent}@anchor{340}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{assignmentNode} (@code{Iir}) –
-@end deffn
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex Position (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Position}@anchor{341}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{target} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{waveform} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{276,,WaveformElement}@code{]}) –
+@end itemize
@end deffn
-@geindex Target (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Target}@anchor{342}
-@deffn {Property} Target: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _parent}@anchor{2eb}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Waveform (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Waveform}@anchor{343}
-@deffn {Property} Waveform: List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+@geindex Label (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Label}@anchor{2ec}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _position}@anchor{344}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
-@geindex _waveform (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _waveform}@anchor{345}
-@deffn {Attribute} _waveform: List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment NormalizedLabel}@anchor{2ed}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _parent}@anchor{346}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Parent}@anchor{2ee}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _label}@anchor{347}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _label}@anchor{2ef}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _target (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _target}@anchor{348}
-@deffn {Attribute} _target: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _normalizedLabel}@anchor{2f0}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _iirNode}@anchor{349}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex ConcurrentProcedureCall (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall}@anchor{261}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall}@anchor{278}
@deffn {Class} pyGHDL.dom.Concurrent.ConcurrentProcedureCall (callNode, label, procedureName, parameterMappings)
@subsubheading Inheritance
-@image{inheritance-81b7bd59b9323c921dba35962e22e6fabff9aab1,,,[graphviz],png}
+@image{inheritance-7566fabf639a1f305d652a69d1306d47f84c31d5,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Concurrent.ConcurrentProcedureCall class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall parse}@anchor{34a}
-@deffn {Method} classmethod parse (concurrentCallNode, label)
+@item
+@code{callNode} (@code{Iir}) –
-@*Return type:
-@ref{261,,ConcurrentProcedureCall}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{procedureName} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex Label (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Label}@anchor{34b}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{parameterMappings} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
+@end itemize
-Returns a model entity’s label.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Concurrent.ConcurrentProcedureCall method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall __init__}@anchor{2f1}
+@deffn {Method} __init__ (callNode, label, procedureName, parameterMappings)
-@end deffn
+Initializes a VHDL model entity.
-@geindex ParameterMappings (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall ParameterMappings}@anchor{34c}
-@deffn {Property} ParameterMappings: List[pyVHDLModel.SyntaxModel.ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
+@*Parameters:
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
+@itemize *
-@end deffn
+@item
+@code{callNode} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Parent}@anchor{34d}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-Returns a reference to the parent entity.
+@item
+@code{procedureName} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{parameterMappings} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Position}@anchor{34e}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _parent}@anchor{2f2}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Procedure (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Procedure}@anchor{34f}
-@deffn {Property} Procedure: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Label (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Label}@anchor{2f3}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+Returns a model entity’s label.
+
+@*Returns:
+Label of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _position}@anchor{350}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall NormalizedLabel}@anchor{2f4}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _parent}@anchor{351}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Parent}@anchor{2f5}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _label}@anchor{352}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _label}@anchor{2f6}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _procedure (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _procedure}@anchor{353}
-@deffn {Attribute} _procedure: @ref{354,,Name}
-@end deffn
-
-@geindex _parameterMappings (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _parameterMappings}@anchor{355}
-@deffn {Attribute} _parameterMappings: List[@ref{24f,,ParameterAssociationItem}]
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _normalizedLabel}@anchor{2f7}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _iirNode}@anchor{356}
-@deffn {Attribute} _iirNode: Iir
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex ConcurrentAssertStatement (class in pyGHDL.dom.Concurrent)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement}@anchor{262}
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement}@anchor{279}
@deffn {Class} pyGHDL.dom.Concurrent.ConcurrentAssertStatement (assertNode, condition, message=None, severity=None, label=None)
@subsubheading Inheritance
-@image{inheritance-cb563367ff8b61efa7eb6991baeef45a5fe3ecca,,,[graphviz],png}
+@image{inheritance-f9616d8d547c255e5c7096ec4b93ed0af0d8319a,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Concurrent.ConcurrentAssertStatement class method)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement parse}@anchor{357}
-@deffn {Method} classmethod parse (assertNode, label)
+@item
+@code{assertNode} (@code{Iir}) –
-@*Return type:
-@ref{262,,ConcurrentAssertStatement}
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{message} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Condition (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Condition}@anchor{358}
-@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{severity} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Label (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Label}@anchor{359}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Concurrent.ConcurrentAssertStatement method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement __init__}@anchor{2f8}
+@deffn {Method} __init__ (assertNode, condition, message=None, severity=None, label=None)
-Returns a model entity’s label.
+Initializes a VHDL model entity.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Message (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Message}@anchor{35a}
-@deffn {Property} Message: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{assertNode} (@code{Iir}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{message} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Parent}@anchor{35b}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{severity} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _parent}@anchor{2f9}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Position}@anchor{35c}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Label (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Label}@anchor{2fa}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s label.
+
+@*Returns:
+Label of a model entity.
@end deffn
-@geindex Severity (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Severity}@anchor{35d}
-@deffn {Property} Severity: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex NormalizedLabel (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement NormalizedLabel}@anchor{2fb}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+Returns a model entity’s normalized (lower case) label.
-@end deffn
+@*Returns:
+Normalized label of a model entity.
-@geindex _position (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _position}@anchor{35e}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _parent}@anchor{35f}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Parent}@anchor{2fc}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-Reference to a parent entity in the model.
-@end deffn
+Returns a reference to the parent entity.
-@geindex _label (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _label}@anchor{360}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Parent entity.
-The label of a model entity.
@end deffn
-@geindex _condition (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _condition}@anchor{361}
-@deffn {Attribute} _condition: ExpressionUnion
-@end deffn
+@geindex _label (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _label}@anchor{2fd}
+@deffn {Attribute} _label: Nullable[str]
-@geindex _message (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _message}@anchor{362}
-@deffn {Attribute} _message: ExpressionUnion
+The label of a model entity.
@end deffn
-@geindex _severity (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _severity}@anchor{363}
-@deffn {Attribute} _severity: ExpressionUnion
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _normalizedLabel}@anchor{2fe}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _iirNode}@anchor{364}
-@deffn {Attribute} _iirNode: Iir
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@@ -10329,1446 +10530,2249 @@ The label of a model entity.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom DesignUnit,pyGHDL dom Expression,pyGHDL dom Concurrent,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom DesignUnit doc}@anchor{365}@anchor{pyGHDL/pyGHDL dom DesignUnit module-pyGHDL dom DesignUnit}@anchor{a}@anchor{pyGHDL/pyGHDL dom DesignUnit pyghdl-dom-designunit}@anchor{366}
-@subsection pyGHDL.dom.DesignUnit
+@anchor{pyGHDL/pyGHDL dom DesignUnit doc}@anchor{2ff}@anchor{pyGHDL/pyGHDL dom DesignUnit module-pyGHDL dom DesignUnit}@anchor{a}@anchor{pyGHDL/pyGHDL dom DesignUnit pyghdl-dom-designunit}@anchor{300}
+@subsection @code{pyGHDL.dom.DesignUnit}
@geindex module; pyGHDL.dom.DesignUnit
-This module contains all DOM classes for VHDL’s design units (@ref{367,,context},
-@ref{368,,architecture}, @ref{369,,package},
-@ref{36a,,package body}, @ref{36b,,context} and
-@ref{36c,,configuration}.
-
-@c #-----------------------------------
+This module contains all DOM classes for VHDL’s design units (@ref{301,,context},
+@ref{302,,architecture}, @ref{303,,package},
+@ref{304,,package body}, @ref{305,,context} and
+@ref{306,,configuration}.
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{36d,,LibraryClause}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{307,,LibraryClause}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{36e,,UseClause}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{308,,UseClause}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{36f,,ContextReference}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{309,,ContextReference}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{367,,Entity}:
+@ref{301,,Entity}:
A @code{PrimaryUnit} is a base-class for all primary units.
@item
-@ref{368,,Architecture}:
+@ref{302,,Architecture}:
A @code{SecondaryUnit} is a base-class for all secondary units.
@item
-@ref{370,,Component}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{30a,,Component}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{369,,Package}:
+@ref{303,,Package}:
A @code{PrimaryUnit} is a base-class for all primary units.
@item
-@ref{36a,,PackageBody}:
+@ref{304,,PackageBody}:
A @code{SecondaryUnit} is a base-class for all secondary units.
@item
-@ref{371,,PackageInstantiation}:
+@ref{30b,,PackageInstantiation}:
A @code{PrimaryUnit} is a base-class for all primary units.
@item
-@ref{36b,,Context}:
+@ref{305,,Context}:
A @code{PrimaryUnit} is a base-class for all primary units.
@item
-@ref{36c,,Configuration}:
+@ref{306,,Configuration}:
A @code{PrimaryUnit} is a base-class for all primary units.
@end itemize
-@c #-----------------------------------
-@geindex LibraryClause (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause}@anchor{36d}
-@deffn {Class} pyGHDL.dom.DesignUnit.LibraryClause (libraryNode, names)
+__________________________________________________________________
-@subsubheading Inheritance
-@image{inheritance-07a8570d4ba3ec9cf4acadd20c7ac2a064f6df5d,,,[graphviz],png}
+`Classes'
-@subsubheading Members
+@geindex LibraryClause (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause}@anchor{307}
+@deffn {Class} pyGHDL.dom.DesignUnit.LibraryClause (libraryNode, symbols)
+@subsubheading Inheritance
-@geindex Names (pyGHDL.dom.DesignUnit.LibraryClause property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause Names}@anchor{372}
-@deffn {Property} Names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@image{inheritance-62729eeb258976419cef597a8cb5431eb3a56244,,,[graphviz],png}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Parent (pyGHDL.dom.DesignUnit.LibraryClause property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause Parent}@anchor{373}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{libraryNode} (@code{Iir}) –
-Returns a reference to the parent entity.
+@item
+@code{symbols} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}@code{]}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.DesignUnit.LibraryClause method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause __init__}@anchor{30c}
+@deffn {Method} __init__ (libraryNode, symbols)
-@geindex Position (pyGHDL.dom.DesignUnit.LibraryClause property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause Position}@anchor{374}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex _position (pyGHDL.dom.DesignUnit.LibraryClause attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _position}@anchor{375}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
-@end deffn
+@item
+@code{libraryNode} (@code{Iir}) –
+
+@item
+@code{symbols} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}@code{]}) –
+@end itemize
-@geindex _names (pyGHDL.dom.DesignUnit.LibraryClause attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _names}@anchor{376}
-@deffn {Attribute} _names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
@end deffn
@geindex _parent (pyGHDL.dom.DesignUnit.LibraryClause attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _parent}@anchor{377}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _parent}@anchor{30d}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.LibraryClause attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _iirNode}@anchor{378}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex Parent (pyGHDL.dom.DesignUnit.LibraryClause property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause Parent}@anchor{30e}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
@end deffn
@geindex UseClause (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause}@anchor{36e}
-@deffn {Class} pyGHDL.dom.DesignUnit.UseClause (useNode, names)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause}@anchor{308}
+@deffn {Class} pyGHDL.dom.DesignUnit.UseClause (useNode, symbols)
@subsubheading Inheritance
-@image{inheritance-536e0cb0adc72a2129c9ff0b4193c412c8b27f2d,,,[graphviz],png}
-
-@subsubheading Members
-
-
-@geindex parse() (pyGHDL.dom.DesignUnit.UseClause class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause parse}@anchor{379}
-@deffn {Method} classmethod parse (useNode)
-@end deffn
+@image{inheritance-81bdeec33a0ee1dd2a5bac99cbe188c7a361e6fb,,,[graphviz],png}
-@geindex Names (pyGHDL.dom.DesignUnit.UseClause property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause Names}@anchor{37a}
-@deffn {Property} Names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@*Parameters:
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@itemize *
-@end deffn
+@item
+@code{useNode} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.DesignUnit.UseClause property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause Parent}@anchor{37b}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{symbols} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}@code{]}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.DesignUnit.UseClause method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause __init__}@anchor{30f}
+@deffn {Method} __init__ (useNode, symbols)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.DesignUnit.UseClause property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause Position}@anchor{37c}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{useNode} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.DesignUnit.UseClause attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _position}@anchor{37d}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
-@end deffn
+@item
+@code{symbols} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}@code{]}) –
+@end itemize
-@geindex _names (pyGHDL.dom.DesignUnit.UseClause attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _names}@anchor{37e}
-@deffn {Attribute} _names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
@end deffn
@geindex _parent (pyGHDL.dom.DesignUnit.UseClause attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _parent}@anchor{37f}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _parent}@anchor{310}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.UseClause attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _iirNode}@anchor{380}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex Parent (pyGHDL.dom.DesignUnit.UseClause property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause Parent}@anchor{311}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
@end deffn
@geindex ContextReference (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference}@anchor{36f}
-@deffn {Class} pyGHDL.dom.DesignUnit.ContextReference (contextNode, names)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference}@anchor{309}
+@deffn {Class} pyGHDL.dom.DesignUnit.ContextReference (contextNode, symbols)
@subsubheading Inheritance
-@image{inheritance-fdc824edecb8cc089da7ebd5e971d1ff117830de,,,[graphviz],png}
+@image{inheritance-6a912771954cc30a36ba1441dcab396f9a1468e3,,,[graphviz],png}
-@subsubheading Members
-
-
-@geindex parse() (pyGHDL.dom.DesignUnit.ContextReference class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference parse}@anchor{381}
-@deffn {Method} classmethod parse (contextNode)
-@end deffn
-
-@geindex Names (pyGHDL.dom.DesignUnit.ContextReference property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference Names}@anchor{382}
-@deffn {Property} Names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@*Parameters:
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@itemize *
-@end deffn
+@item
+@code{contextNode} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.DesignUnit.ContextReference property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference Parent}@anchor{383}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{symbols} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}@code{]}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.DesignUnit.ContextReference method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference __init__}@anchor{312}
+@deffn {Method} __init__ (contextNode, symbols)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.DesignUnit.ContextReference property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference Position}@anchor{384}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{contextNode} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.DesignUnit.ContextReference attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _position}@anchor{385}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
-@end deffn
+@item
+@code{symbols} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}@code{]}) –
+@end itemize
-@geindex _names (pyGHDL.dom.DesignUnit.ContextReference attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _names}@anchor{386}
-@deffn {Attribute} _names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
@end deffn
@geindex _parent (pyGHDL.dom.DesignUnit.ContextReference attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _parent}@anchor{387}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _parent}@anchor{313}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.ContextReference attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _iirNode}@anchor{388}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex Parent (pyGHDL.dom.DesignUnit.ContextReference property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference Parent}@anchor{314}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
@end deffn
@geindex Entity (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity}@anchor{367}
-@deffn {Class} pyGHDL.dom.DesignUnit.Entity (node, identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity}@anchor{301}
+@deffn {Class} pyGHDL.dom.DesignUnit.Entity (node, identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-3e67f1a5a421dfc98e10e6d5f761bd48b0c4b30f,,,[graphviz],png}
+@image{inheritance-03b696cfbe34bf1d2d7661b2274b92a32490c420,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.DesignUnit.Entity class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity parse}@anchor{389}
-@deffn {Method} classmethod parse (entityNode, contextItems)
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Architectures (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Architectures}@anchor{38a}
-@deffn {Property} Architectures: List[pyVHDLModel.SyntaxModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
+@item
+@code{contextItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{307,,LibraryClause}@code{ | }@ref{308,,UseClause}@code{ | }@ref{309,,ContextReference}@code{]}) –
-@end deffn
+@item
+@code{genericItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}@code{]}) –
-@geindex ContextItems (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity ContextItems}@anchor{38b}
-@deffn {Property} ContextItems: List[LibraryClauseUseClauseContextReference]
+@item
+@code{portItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.PortInterfaceItem}@code{]}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
+
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@code{ConcurrentStatement}@code{]}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.DesignUnit.Entity method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity __init__}@anchor{315}
+@deffn {Method} __init__ (node, identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None, documentation=None)
+
+Initializes a design unit.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Identifier (name) of the design unit.
+
+@item
+@code{contextItems} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}[Union@footnote{https://docs.python.org/3/library/typing.html#typing.Union}[@ref{307,,LibraryClause}, @ref{308,,UseClause}, @ref{309,,ContextReference}]]]) – A sequence of library, use or context clauses.
+
+@item
+@code{documentation} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]) – Associated documentation of the design unit.
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{genericItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}@code{] }@code{| }@code{None}) –
+
+@item
+@code{portItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.PortInterfaceItem}@code{] }@code{| }@code{None}) –
+
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{ | }@code{None}) –
+
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{] }@code{| }@code{None}) –
+@end itemize
@end deffn
-@geindex ContextReferences (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity ContextReferences}@anchor{38c}
-@deffn {Property} ContextReferences: List[@ref{36f,,ContextReference}]
+@geindex _parent (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _parent}@anchor{316}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex ContextItems (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity ContextItems}@anchor{317}
+@deffn {Property} ContextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{307,,LibraryClause} | @ref{308,,UseClause} | @ref{309,,ContextReference}]
+
+Read-only property to access the sequence of all context items comprising library, use and context clauses
+(@ref{318,,_contextItems}).
+
+@*Returns:
+Sequence of context items.
@end deffn
-@geindex DeclaredItems (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity DeclaredItems}@anchor{38d}
-@deffn {Property} DeclaredItems: List
+@geindex ContextReferences (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity ContextReferences}@anchor{319}
+@deffn {Property} ContextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+Read-only property to access the sequence of context clauses (@ref{31a,,_contextReferences}).
+
+@*Returns:
+Sequence of context clauses.
@end deffn
-@geindex GenericItems (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity GenericItems}@anchor{38e}
-@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@geindex Documentation (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Documentation}@anchor{31b}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
@geindex Identifier (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Identifier}@anchor{38f}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Identifier}@anchor{31c}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Library (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Library}@anchor{390}
-@deffn {Property} Library: @ref{391,,Library}
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity LibraryReferences}@anchor{31d}
+@deffn {Property} LibraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
-@*Return type:
-@ref{391,,Library}
+Read-only property to access the sequence of library clauses (@ref{31e,,_libraryReferences}).
+
+@*Returns:
+Sequence of library clauses.
@end deffn
-@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity LibraryReferences}@anchor{392}
-@deffn {Property} LibraryReferences: List[@ref{36d,,LibraryClause}]
+@geindex NormalizedIdentifier (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity NormalizedIdentifier}@anchor{31f}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
@end deffn
@geindex PackageReferences (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PackageReferences}@anchor{393}
-@deffn {Property} PackageReferences: List[@ref{36e,,UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PackageReferences}@anchor{320}
+@deffn {Property} PackageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
+Read-only property to access the sequence of use clauses (@ref{321,,_packageReferences}).
+
+@*Returns:
+Sequence of use clauses.
@end deffn
@geindex Parent (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Parent}@anchor{394}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Parent}@anchor{322}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex PortItems (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PortItems}@anchor{395}
-@deffn {Property} PortItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+@geindex __repr__() (pyGHDL.dom.DesignUnit.Entity method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity __repr__}@anchor{323}
+@deffn {Method} __repr__ ()
+
+Return repr(self).
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@end deffn
-@geindex Position (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Position}@anchor{396}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex __str__() (pyGHDL.dom.DesignUnit.Entity method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity __str__}@anchor{324}
+@deffn {Method} __str__ ()
+
+Return str(self).
@*Return type:
-@ref{200,,Position}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@end deffn
-@geindex Statements (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Statements}@anchor{397}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@geindex _library (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _library}@anchor{325}
+@deffn {Attribute} _library: Library
+The VHDL library, the design unit was analyzed into.
@end deffn
-@geindex _position (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _position}@anchor{398}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex _contextItems (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _contextItems}@anchor{318}
+@deffn {Attribute} _contextItems: List['ContextUnion']
-@geindex _genericItems (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _genericItems}@anchor{399}
-@deffn {Attribute} _genericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+List of all context items (library, use and context clauses).
@end deffn
-@geindex _portItems (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _portItems}@anchor{39a}
-@deffn {Attribute} _portItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _libraryReferences}@anchor{31e}
+@deffn {Attribute} _libraryReferences: List['LibraryClause']
+
+List of library clauses.
@end deffn
-@geindex _declaredItems (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _declaredItems}@anchor{39b}
-@deffn {Attribute} _declaredItems: List
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _packageReferences}@anchor{321}
+@deffn {Attribute} _packageReferences: List['UseClause']
+
+List of use clauses.
@end deffn
-@geindex _statements (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _statements}@anchor{39c}
-@deffn {Attribute} _statements: List[ConcurrentStatement]
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _contextReferences}@anchor{31a}
+@deffn {Attribute} _contextReferences: List['ContextReference']
+
+List of context clauses.
@end deffn
-@geindex _architectures (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _architectures}@anchor{39d}
-@deffn {Attribute} _architectures: List[@ref{368,,Architecture}]
+@geindex _referencedLibraries (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _referencedLibraries}@anchor{326}
+@deffn {Attribute} _referencedLibraries: Dict[str, 'Library']
+
+Referenced libraries based on explicit library clauses or implicit inheritance
@end deffn
-@geindex _parent (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _parent}@anchor{39e}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _referencedPackages (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _referencedPackages}@anchor{327}
+@deffn {Attribute} _referencedPackages: Dict[str, Dict[str, 'Package']]
-Reference to a parent entity in the model.
+Referenced packages based on explicit use clauses or implicit inheritance
@end deffn
-@geindex _identifier (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _identifier}@anchor{39f}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _referencedContexts (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _referencedContexts}@anchor{328}
+@deffn {Attribute} _referencedContexts: Dict[str, 'Context']
-The identifier of a model entity.
+Referenced contexts based on explicit context references or implicit inheritance
@end deffn
-@geindex _contextItems (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _contextItems}@anchor{3a0}
-@deffn {Attribute} _contextItems: Nullable[List['ContextUnion']]
+@geindex _dependencyVertex (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _dependencyVertex}@anchor{329}
+@deffn {Attribute} _dependencyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the dependency graph
@end deffn
-@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _libraryReferences}@anchor{3a1}
-@deffn {Attribute} _libraryReferences: Nullable[List['LibraryClause']]
+@geindex _hierarchyVertex (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _hierarchyVertex}@anchor{32a}
+@deffn {Attribute} _hierarchyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the hierarchy graph
@end deffn
-@geindex _packageReferences (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _packageReferences}@anchor{3a2}
-@deffn {Attribute} _packageReferences: Nullable[List['UseClause']]
+@geindex _identifier (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _identifier}@anchor{32b}
+@deffn {Attribute} _identifier: str
+
+The identifier of a model entity.
@end deffn
-@geindex _contextReferences (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _contextReferences}@anchor{3a3}
-@deffn {Attribute} _contextReferences: Nullable[List['ContextReference']]
+@geindex _normalizedIdentifier (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _normalizedIdentifier}@anchor{32c}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _iirNode}@anchor{3a4}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _documentation}@anchor{32d}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex Architecture (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture}@anchor{368}
-@deffn {Class} pyGHDL.dom.DesignUnit.Architecture (node, identifier, entity, contextItems=None, declaredItems=None, statements=None)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture}@anchor{302}
+@deffn {Class} pyGHDL.dom.DesignUnit.Architecture (node, identifier, entity, contextItems=None, declaredItems=None, statements=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-dfb031daa891f26c3ef44d93b12b38c8b61fba73,,,[graphviz],png}
+@image{inheritance-6c6e8c0bbb90b7568610dc099851c726c2203d8c,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.DesignUnit.Architecture class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture parse}@anchor{3a5}
-@deffn {Method} classmethod parse (architectureNode, contextItems)
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex ContextItems (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture ContextItems}@anchor{3a6}
-@deffn {Property} ContextItems: List[LibraryClauseUseClauseContextReference]
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
+@item
+@code{entity} (@ref{32e,,EntitySymbol}) –
+
+@item
+@code{contextItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{307,,LibraryClause}@code{ | }@ref{308,,UseClause}@code{ | }@ref{309,,ContextReference}@code{]}) –
+
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
+
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@code{ConcurrentStatement}@code{]}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.DesignUnit.Architecture method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture __init__}@anchor{32f}
+@deffn {Method} __init__ (node, identifier, entity, contextItems=None, declaredItems=None, statements=None, documentation=None)
+
+Initializes a design unit.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Identifier (name) of the design unit.
+
+@item
+@code{contextItems} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}[Union@footnote{https://docs.python.org/3/library/typing.html#typing.Union}[@ref{307,,LibraryClause}, @ref{308,,UseClause}, @ref{309,,ContextReference}]]]) – A sequence of library, use or context clauses.
+
+@item
+@code{documentation} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]) – Associated documentation of the design unit.
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{entity} (@ref{32e,,EntitySymbol}) –
+
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{ | }@code{None}) –
+
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Concurrent.html#pyVHDLModel.Concurrent.ConcurrentStatement}@code{] }@code{| }@code{None}) –
+@end itemize
@end deffn
-@geindex ContextReferences (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture ContextReferences}@anchor{3a7}
-@deffn {Property} ContextReferences: List[@ref{36f,,ContextReference}]
+@geindex _parent (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _parent}@anchor{330}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex ContextItems (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture ContextItems}@anchor{331}
+@deffn {Property} ContextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{307,,LibraryClause} | @ref{308,,UseClause} | @ref{309,,ContextReference}]
+
+Read-only property to access the sequence of all context items comprising library, use and context clauses
+(@ref{332,,_contextItems}).
+
+@*Returns:
+Sequence of context items.
@end deffn
-@geindex DeclaredItems (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture DeclaredItems}@anchor{3a8}
-@deffn {Property} DeclaredItems: List
+@geindex ContextReferences (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture ContextReferences}@anchor{333}
+@deffn {Property} ContextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
+
+Read-only property to access the sequence of context clauses (@ref{334,,_contextReferences}).
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+@*Returns:
+Sequence of context clauses.
@end deffn
-@geindex Entity (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Entity}@anchor{3a9}
-@deffn {Property} Entity: pyVHDLModel.SyntaxModel.EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EntitySymbol}
+@geindex Documentation (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Documentation}@anchor{335}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EntitySymbol}
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
@geindex Identifier (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Identifier}@anchor{3aa}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Identifier}@anchor{336}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Library (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Library}@anchor{3ab}
-@deffn {Property} Library: pyVHDLModel.SyntaxModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture LibraryReferences}@anchor{337}
+@deffn {Property} LibraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
-@*Return type:
-Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}
+Read-only property to access the sequence of library clauses (@ref{338,,_libraryReferences}).
+
+@*Returns:
+Sequence of library clauses.
@end deffn
-@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture LibraryReferences}@anchor{3ac}
-@deffn {Property} LibraryReferences: List[@ref{36d,,LibraryClause}]
+@geindex NormalizedIdentifier (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture NormalizedIdentifier}@anchor{339}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
@end deffn
@geindex PackageReferences (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture PackageReferences}@anchor{3ad}
-@deffn {Property} PackageReferences: List[@ref{36e,,UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture PackageReferences}@anchor{33a}
+@deffn {Property} PackageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
+Read-only property to access the sequence of use clauses (@ref{33b,,_packageReferences}).
+
+@*Returns:
+Sequence of use clauses.
@end deffn
@geindex Parent (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Parent}@anchor{3ae}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Parent}@anchor{33c}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Position}@anchor{3af}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex __repr__() (pyGHDL.dom.DesignUnit.Architecture method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture __repr__}@anchor{33d}
+@deffn {Method} __repr__ ()
+
+Return repr(self).
@*Return type:
-@ref{200,,Position}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@end deffn
-@geindex Statements (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Statements}@anchor{3b0}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+@geindex __str__() (pyGHDL.dom.DesignUnit.Architecture method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture __str__}@anchor{33e}
+@deffn {Method} __str__ ()
+
+Return str(self).
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@end deffn
@geindex _library (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _library}@anchor{3b1}
-@deffn {Attribute} _library: pyVHDLModel.SyntaxModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library} = None
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _library}@anchor{33f}
+@deffn {Attribute} _library: Library = None
+
+The VHDL library, the design unit was analyzed into.
+@end deffn
+
+@geindex _contextItems (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _contextItems}@anchor{332}
+@deffn {Attribute} _contextItems: List['ContextUnion']
+
+List of all context items (library, use and context clauses).
@end deffn
-@geindex _position (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _position}@anchor{3b2}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _libraryReferences}@anchor{338}
+@deffn {Attribute} _libraryReferences: List['LibraryClause']
+
+List of library clauses.
@end deffn
-@geindex _entity (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _entity}@anchor{3b3}
-@deffn {Attribute} _entity: pyVHDLModel.SyntaxModel.EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EntitySymbol}
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _packageReferences}@anchor{33b}
+@deffn {Attribute} _packageReferences: List['UseClause']
+
+List of use clauses.
@end deffn
-@geindex _declaredItems (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _declaredItems}@anchor{3b4}
-@deffn {Attribute} _declaredItems: List
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _contextReferences}@anchor{334}
+@deffn {Attribute} _contextReferences: List['ContextReference']
+
+List of context clauses.
@end deffn
-@geindex _statements (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _statements}@anchor{3b5}
-@deffn {Attribute} _statements: List[ConcurrentStatement]
+@geindex _referencedLibraries (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _referencedLibraries}@anchor{340}
+@deffn {Attribute} _referencedLibraries: Dict[str, 'Library']
+
+Referenced libraries based on explicit library clauses or implicit inheritance
@end deffn
-@geindex _parent (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _parent}@anchor{3b6}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _referencedPackages (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _referencedPackages}@anchor{341}
+@deffn {Attribute} _referencedPackages: Dict[str, Dict[str, 'Package']]
-Reference to a parent entity in the model.
+Referenced packages based on explicit use clauses or implicit inheritance
@end deffn
-@geindex _identifier (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _identifier}@anchor{3b7}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _referencedContexts (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _referencedContexts}@anchor{342}
+@deffn {Attribute} _referencedContexts: Dict[str, 'Context']
-The identifier of a model entity.
+Referenced contexts based on explicit context references or implicit inheritance
@end deffn
-@geindex _contextItems (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _contextItems}@anchor{3b8}
-@deffn {Attribute} _contextItems: Nullable[List['ContextUnion']]
+@geindex _dependencyVertex (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _dependencyVertex}@anchor{343}
+@deffn {Attribute} _dependencyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the dependency graph
@end deffn
-@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _libraryReferences}@anchor{3b9}
-@deffn {Attribute} _libraryReferences: Nullable[List['LibraryClause']]
+@geindex _hierarchyVertex (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _hierarchyVertex}@anchor{344}
+@deffn {Attribute} _hierarchyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the hierarchy graph
@end deffn
-@geindex _packageReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _packageReferences}@anchor{3ba}
-@deffn {Attribute} _packageReferences: Nullable[List['UseClause']]
+@geindex _identifier (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _identifier}@anchor{345}
+@deffn {Attribute} _identifier: str
+
+The identifier of a model entity.
@end deffn
-@geindex _contextReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _contextReferences}@anchor{3bb}
-@deffn {Attribute} _contextReferences: Nullable[List['ContextReference']]
+@geindex _normalizedIdentifier (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _normalizedIdentifier}@anchor{346}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _iirNode}@anchor{3bc}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _documentation}@anchor{347}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex Component (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component}@anchor{370}
-@deffn {Class} pyGHDL.dom.DesignUnit.Component (node, identifier, genericItems=None, portItems=None)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component}@anchor{30a}
+@deffn {Class} pyGHDL.dom.DesignUnit.Component (node, identifier, genericItems=None, portItems=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-de07f962c582211c552765aa6eba99a12681080a,,,[graphviz],png}
+@image{inheritance-d416acc82d89a70a429be80d48121a897db34421,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.DesignUnit.Component class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component parse}@anchor{3bd}
-@deffn {Method} classmethod parse (componentNode)
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex GenericItems (pyGHDL.dom.DesignUnit.Component property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component GenericItems}@anchor{3be}
-@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@item
+@code{genericItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}@code{]}) –
-@end deffn
+@item
+@code{portItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.PortInterfaceItem}@code{]}) –
-@geindex Identifier (pyGHDL.dom.DesignUnit.Component property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Identifier}@anchor{3bf}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.DesignUnit.Component method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component __init__}@anchor{348}
+@deffn {Method} __init__ (node, identifier, genericItems=None, portItems=None, documentation=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Parent (pyGHDL.dom.DesignUnit.Component property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Parent}@anchor{3c0}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex PortItems (pyGHDL.dom.DesignUnit.Component property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component PortItems}@anchor{3c1}
-@deffn {Property} PortItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+@item
+@code{genericItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}@code{] }@code{| }@code{None}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+@item
+@code{portItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.PortInterfaceItem}@code{] }@code{| }@code{None}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
-@geindex Position (pyGHDL.dom.DesignUnit.Component property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Position}@anchor{3c2}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@end deffn
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.DesignUnit.Component attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _parent}@anchor{349}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.DesignUnit.Component attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _position}@anchor{3c3}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@geindex Documentation (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Documentation}@anchor{34a}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
+
@end deffn
-@geindex _genericItems (pyGHDL.dom.DesignUnit.Component attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _genericItems}@anchor{3c4}
-@deffn {Attribute} _genericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@geindex Identifier (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Identifier}@anchor{34b}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
+
@end deffn
-@geindex _portItems (pyGHDL.dom.DesignUnit.Component attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _portItems}@anchor{3c5}
-@deffn {Attribute} _portItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+@geindex NormalizedIdentifier (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component NormalizedIdentifier}@anchor{34c}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.DesignUnit.Component attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _parent}@anchor{3c6}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Parent}@anchor{34d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.DesignUnit.Component attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _identifier}@anchor{3c7}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _identifier}@anchor{34e}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.Component attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _iirNode}@anchor{3c8}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.DesignUnit.Component attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _normalizedIdentifier}@anchor{34f}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.DesignUnit.Component attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _documentation}@anchor{350}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex Package (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package}@anchor{369}
-@deffn {Class} pyGHDL.dom.DesignUnit.Package (node, identifier, contextItems=None, genericItems=None, declaredItems=None)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package}@anchor{303}
+@deffn {Class} pyGHDL.dom.DesignUnit.Package (node, identifier, contextItems=None, genericItems=None, declaredItems=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-7b21337f7dc131879686c0f37259a8bbf944d411,,,[graphviz],png}
+@image{inheritance-5bdb3c1856333443ebe67e6eaa560916e045a021,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.DesignUnit.Package class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package parse}@anchor{3c9}
-@deffn {Method} classmethod parse (packageNode, contextItems)
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex ContextItems (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package ContextItems}@anchor{3ca}
-@deffn {Property} ContextItems: List[LibraryClauseUseClauseContextReference]
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
+@item
+@code{contextItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{307,,LibraryClause}@code{ | }@ref{308,,UseClause}@code{ | }@ref{309,,ContextReference}@code{]}) –
+
+@item
+@code{genericItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}@code{]}) –
+
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.DesignUnit.Package method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package __init__}@anchor{351}
+@deffn {Method} __init__ (node, identifier, contextItems=None, genericItems=None, declaredItems=None, documentation=None)
+
+Initializes a design unit.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Identifier (name) of the design unit.
+
+@item
+@code{contextItems} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}[Union@footnote{https://docs.python.org/3/library/typing.html#typing.Union}[@ref{307,,LibraryClause}, @ref{308,,UseClause}, @ref{309,,ContextReference}]]]) – A sequence of library, use or context clauses.
+
+@item
+@code{documentation} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]) – Associated documentation of the design unit.
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{genericItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}@code{] }@code{| }@code{None}) –
+
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex ContextReferences (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package ContextReferences}@anchor{3cb}
-@deffn {Property} ContextReferences: List[@ref{36f,,ContextReference}]
+@geindex _parent (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _parent}@anchor{352}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex ContextItems (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package ContextItems}@anchor{353}
+@deffn {Property} ContextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{307,,LibraryClause} | @ref{308,,UseClause} | @ref{309,,ContextReference}]
+
+Read-only property to access the sequence of all context items comprising library, use and context clauses
+(@ref{354,,_contextItems}).
+
+@*Returns:
+Sequence of context items.
@end deffn
-@geindex DeclaredItems (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package DeclaredItems}@anchor{3cc}
-@deffn {Property} DeclaredItems: List
+@geindex ContextReferences (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package ContextReferences}@anchor{355}
+@deffn {Property} ContextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+Read-only property to access the sequence of context clauses (@ref{356,,_contextReferences}).
+
+@*Returns:
+Sequence of context clauses.
@end deffn
-@geindex GenericItems (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package GenericItems}@anchor{3cd}
-@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@geindex Documentation (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Documentation}@anchor{357}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
@geindex Identifier (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Identifier}@anchor{3ce}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Identifier}@anchor{358}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Library (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Library}@anchor{3cf}
-@deffn {Property} Library: @ref{391,,Library}
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package LibraryReferences}@anchor{359}
+@deffn {Property} LibraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
-@*Return type:
-@ref{391,,Library}
+Read-only property to access the sequence of library clauses (@ref{35a,,_libraryReferences}).
+
+@*Returns:
+Sequence of library clauses.
@end deffn
-@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package LibraryReferences}@anchor{3d0}
-@deffn {Property} LibraryReferences: List[@ref{36d,,LibraryClause}]
+@geindex NormalizedIdentifier (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package NormalizedIdentifier}@anchor{35b}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
@end deffn
@geindex PackageReferences (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package PackageReferences}@anchor{3d1}
-@deffn {Property} PackageReferences: List[@ref{36e,,UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package PackageReferences}@anchor{35c}
+@deffn {Property} PackageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
+Read-only property to access the sequence of use clauses (@ref{35d,,_packageReferences}).
+
+@*Returns:
+Sequence of use clauses.
@end deffn
@geindex Parent (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Parent}@anchor{3d2}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Parent}@anchor{35e}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __repr__() (pyGHDL.dom.DesignUnit.Package method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package __repr__}@anchor{35f}
+@deffn {Method} __repr__ ()
+
+Return repr(self).
+
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@end deffn
-@geindex Position (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Position}@anchor{3d3}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex __str__() (pyGHDL.dom.DesignUnit.Package method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package __str__}@anchor{360}
+@deffn {Method} __str__ ()
+
+Return str(self).
@*Return type:
-@ref{200,,Position}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@end deffn
-@geindex _position (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _position}@anchor{3d4}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _library (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _library}@anchor{361}
+@deffn {Attribute} _library: Library
+
+The VHDL library, the design unit was analyzed into.
@end deffn
-@geindex _genericItems (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _genericItems}@anchor{3d5}
-@deffn {Attribute} _genericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@geindex _contextItems (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _contextItems}@anchor{354}
+@deffn {Attribute} _contextItems: List['ContextUnion']
+
+List of all context items (library, use and context clauses).
@end deffn
-@geindex _declaredItems (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _declaredItems}@anchor{3d6}
-@deffn {Attribute} _declaredItems: List
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _libraryReferences}@anchor{35a}
+@deffn {Attribute} _libraryReferences: List['LibraryClause']
+
+List of library clauses.
@end deffn
-@geindex _parent (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _parent}@anchor{3d7}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _packageReferences}@anchor{35d}
+@deffn {Attribute} _packageReferences: List['UseClause']
-Reference to a parent entity in the model.
+List of use clauses.
@end deffn
-@geindex _identifier (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _identifier}@anchor{3d8}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _contextReferences}@anchor{356}
+@deffn {Attribute} _contextReferences: List['ContextReference']
-The identifier of a model entity.
+List of context clauses.
@end deffn
-@geindex _contextItems (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _contextItems}@anchor{3d9}
-@deffn {Attribute} _contextItems: Nullable[List['ContextUnion']]
+@geindex _referencedLibraries (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _referencedLibraries}@anchor{362}
+@deffn {Attribute} _referencedLibraries: Dict[str, 'Library']
+
+Referenced libraries based on explicit library clauses or implicit inheritance
@end deffn
-@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _libraryReferences}@anchor{3da}
-@deffn {Attribute} _libraryReferences: Nullable[List['LibraryClause']]
+@geindex _referencedPackages (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _referencedPackages}@anchor{363}
+@deffn {Attribute} _referencedPackages: Dict[str, Dict[str, 'Package']]
+
+Referenced packages based on explicit use clauses or implicit inheritance
@end deffn
-@geindex _packageReferences (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _packageReferences}@anchor{3db}
-@deffn {Attribute} _packageReferences: Nullable[List['UseClause']]
+@geindex _referencedContexts (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _referencedContexts}@anchor{364}
+@deffn {Attribute} _referencedContexts: Dict[str, 'Context']
+
+Referenced contexts based on explicit context references or implicit inheritance
@end deffn
-@geindex _contextReferences (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _contextReferences}@anchor{3dc}
-@deffn {Attribute} _contextReferences: Nullable[List['ContextReference']]
+@geindex _dependencyVertex (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _dependencyVertex}@anchor{365}
+@deffn {Attribute} _dependencyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the dependency graph
+@end deffn
+
+@geindex _hierarchyVertex (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _hierarchyVertex}@anchor{366}
+@deffn {Attribute} _hierarchyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the hierarchy graph
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _identifier}@anchor{367}
+@deffn {Attribute} _identifier: str
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _normalizedIdentifier (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _normalizedIdentifier}@anchor{368}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _iirNode}@anchor{3dd}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _documentation}@anchor{369}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex PackageBody (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody}@anchor{36a}
-@deffn {Class} pyGHDL.dom.DesignUnit.PackageBody (node, identifier, contextItems=None, declaredItems=None)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody}@anchor{304}
+@deffn {Class} pyGHDL.dom.DesignUnit.PackageBody (node, packageSymbol, contextItems=None, declaredItems=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-ef9c0172bd32056025e509085e1fa7f8712ac057,,,[graphviz],png}
+@image{inheritance-741204c96ae865b37f1d89c0bf2d3a5314bb9032,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{packageSymbol} (@ref{36a,,PackageSymbol}) –
+
+@item
+@code{contextItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{307,,LibraryClause}@code{ | }@ref{308,,UseClause}@code{ | }@ref{309,,ContextReference}@code{]}) –
+
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.DesignUnit.PackageBody method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody __init__}@anchor{36b}
+@deffn {Method} __init__ (node, packageSymbol, contextItems=None, declaredItems=None, documentation=None)
+
+Initializes a design unit.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} – Identifier (name) of the design unit.
+
+@item
+@code{contextItems} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}[Union@footnote{https://docs.python.org/3/library/typing.html#typing.Union}[@ref{307,,LibraryClause}, @ref{308,,UseClause}, @ref{309,,ContextReference}]]]) – A sequence of library, use or context clauses.
+
+@item
+@code{documentation} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]) – Associated documentation of the design unit.
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{packageSymbol} (@ref{36a,,PackageSymbol}) –
-@subsubheading Members
+@item
+@code{declaredItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{ | }@code{None}) –
+@end itemize
+@end deffn
-@geindex parse() (pyGHDL.dom.DesignUnit.PackageBody class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody parse}@anchor{3de}
-@deffn {Method} classmethod parse (packageBodyNode, contextItems)
+@geindex _parent (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _parent}@anchor{36c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
@geindex ContextItems (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody ContextItems}@anchor{3df}
-@deffn {Property} ContextItems: List[LibraryClauseUseClauseContextReference]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody ContextItems}@anchor{36d}
+@deffn {Property} ContextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{307,,LibraryClause} | @ref{308,,UseClause} | @ref{309,,ContextReference}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
+Read-only property to access the sequence of all context items comprising library, use and context clauses
+(@ref{36e,,_contextItems}).
+
+@*Returns:
+Sequence of context items.
@end deffn
@geindex ContextReferences (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody ContextReferences}@anchor{3e0}
-@deffn {Property} ContextReferences: List[@ref{36f,,ContextReference}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody ContextReferences}@anchor{36f}
+@deffn {Property} ContextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
+Read-only property to access the sequence of context clauses (@ref{370,,_contextReferences}).
+
+@*Returns:
+Sequence of context clauses.
@end deffn
-@geindex DeclaredItems (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody DeclaredItems}@anchor{3e1}
-@deffn {Property} DeclaredItems: List
+@geindex Documentation (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Documentation}@anchor{371}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
@geindex Identifier (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Identifier}@anchor{3e2}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Identifier}@anchor{372}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
@geindex LibraryReferences (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody LibraryReferences}@anchor{3e3}
-@deffn {Property} LibraryReferences: List[@ref{36d,,LibraryClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody LibraryReferences}@anchor{373}
+@deffn {Property} LibraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
+Read-only property to access the sequence of library clauses (@ref{374,,_libraryReferences}).
+
+@*Returns:
+Sequence of library clauses.
@end deffn
-@geindex Package (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Package}@anchor{3e4}
-@deffn {Property} Package: pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
+@geindex NormalizedIdentifier (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody NormalizedIdentifier}@anchor{375}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
@end deffn
@geindex PackageReferences (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody PackageReferences}@anchor{3e5}
-@deffn {Property} PackageReferences: List[@ref{36e,,UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody PackageReferences}@anchor{376}
+@deffn {Property} PackageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
+Read-only property to access the sequence of use clauses (@ref{377,,_packageReferences}).
+
+@*Returns:
+Sequence of use clauses.
@end deffn
@geindex Parent (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Parent}@anchor{3e6}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Parent}@anchor{378}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __repr__() (pyGHDL.dom.DesignUnit.PackageBody method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody __repr__}@anchor{379}
+@deffn {Method} __repr__ ()
+
+Return repr(self).
+
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@end deffn
-@geindex Position (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Position}@anchor{3e7}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex __str__() (pyGHDL.dom.DesignUnit.PackageBody method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody __str__}@anchor{37a}
+@deffn {Method} __str__ ()
+
+Return str(self).
@*Return type:
-@ref{200,,Position}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@end deffn
-@geindex _position (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _position}@anchor{3e8}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _library (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _library}@anchor{37b}
+@deffn {Attribute} _library: Library
+
+The VHDL library, the design unit was analyzed into.
@end deffn
-@geindex _package (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _package}@anchor{3e9}
-@deffn {Attribute} _package: pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
+@geindex _contextItems (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _contextItems}@anchor{36e}
+@deffn {Attribute} _contextItems: List['ContextUnion']
+
+List of all context items (library, use and context clauses).
@end deffn
-@geindex _declaredItems (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _declaredItems}@anchor{3ea}
-@deffn {Attribute} _declaredItems: List
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _libraryReferences}@anchor{374}
+@deffn {Attribute} _libraryReferences: List['LibraryClause']
+
+List of library clauses.
@end deffn
-@geindex _parent (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _parent}@anchor{3eb}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _packageReferences}@anchor{377}
+@deffn {Attribute} _packageReferences: List['UseClause']
-Reference to a parent entity in the model.
+List of use clauses.
@end deffn
-@geindex _identifier (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _identifier}@anchor{3ec}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _contextReferences}@anchor{370}
+@deffn {Attribute} _contextReferences: List['ContextReference']
-The identifier of a model entity.
+List of context clauses.
@end deffn
-@geindex _contextItems (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _contextItems}@anchor{3ed}
-@deffn {Attribute} _contextItems: Nullable[List['ContextUnion']]
+@geindex _referencedLibraries (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _referencedLibraries}@anchor{37c}
+@deffn {Attribute} _referencedLibraries: Dict[str, 'Library']
+
+Referenced libraries based on explicit library clauses or implicit inheritance
@end deffn
-@geindex _libraryReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _libraryReferences}@anchor{3ee}
-@deffn {Attribute} _libraryReferences: Nullable[List['LibraryClause']]
+@geindex _referencedPackages (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _referencedPackages}@anchor{37d}
+@deffn {Attribute} _referencedPackages: Dict[str, Dict[str, 'Package']]
+
+Referenced packages based on explicit use clauses or implicit inheritance
@end deffn
-@geindex _packageReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _packageReferences}@anchor{3ef}
-@deffn {Attribute} _packageReferences: Nullable[List['UseClause']]
+@geindex _referencedContexts (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _referencedContexts}@anchor{37e}
+@deffn {Attribute} _referencedContexts: Dict[str, 'Context']
+
+Referenced contexts based on explicit context references or implicit inheritance
@end deffn
-@geindex _contextReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _contextReferences}@anchor{3f0}
-@deffn {Attribute} _contextReferences: Nullable[List['ContextReference']]
+@geindex _dependencyVertex (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _dependencyVertex}@anchor{37f}
+@deffn {Attribute} _dependencyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the dependency graph
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _iirNode}@anchor{3f1}
-@deffn {Attribute} _iirNode: Iir
+@geindex _hierarchyVertex (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _hierarchyVertex}@anchor{380}
+@deffn {Attribute} _hierarchyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the hierarchy graph
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _identifier}@anchor{381}
+@deffn {Attribute} _identifier: str
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _normalizedIdentifier (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _normalizedIdentifier}@anchor{382}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _documentation}@anchor{383}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex PackageInstantiation (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation}@anchor{371}
-@deffn {Class} pyGHDL.dom.DesignUnit.PackageInstantiation (node, identifier, uninstantiatedPackageName)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation}@anchor{30b}
+@deffn {Class} pyGHDL.dom.DesignUnit.PackageInstantiation (node, identifier, uninstantiatedPackageName, documentation=None)
@subsubheading Inheritance
-@image{inheritance-c4ffa4678b32333e31b894da6a90e02a4e2d7726,,,[graphviz],png}
+@image{inheritance-1d5be6499a40f0489d94ffdff8f04c5803706c3d,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
-@subsubheading Members
+@item
+@code{node} (@code{Iir}) –
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{uninstantiatedPackageName} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.DesignUnit.PackageInstantiation method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation __init__}@anchor{384}
+@deffn {Method} __init__ (node, identifier, uninstantiatedPackageName, documentation=None)
+
+Initializes a design unit.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Identifier (name) of the design unit.
+
+@item
+@code{contextItems} – A sequence of library, use or context clauses.
+
+@item
+@code{documentation} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]) – Associated documentation of the design unit.
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{uninstantiatedPackageName} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
-@geindex parse() (pyGHDL.dom.DesignUnit.PackageInstantiation class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation parse}@anchor{3f2}
-@deffn {Method} classmethod parse (packageNode)
@end deffn
-@geindex GenericAssociations (pyGHDL.dom.DesignUnit.PackageInstantiation property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation GenericAssociations}@anchor{3f3}
-@deffn {Property} GenericAssociations: List[pyVHDLModel.SyntaxModel.GenericAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericAssociationItem}]
+@geindex _parent (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _parent}@anchor{385}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericAssociationItem}]
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex ContextItems (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation ContextItems}@anchor{386}
+@deffn {Property} ContextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{307,,LibraryClause} | @ref{308,,UseClause} | @ref{309,,ContextReference}]
+
+Read-only property to access the sequence of all context items comprising library, use and context clauses
+(@ref{387,,_contextItems}).
+
+@*Returns:
+Sequence of context items.
+
+@end deffn
+
+@geindex ContextReferences (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation ContextReferences}@anchor{388}
+@deffn {Property} ContextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
+
+Read-only property to access the sequence of context clauses (@ref{389,,_contextReferences}).
+
+@*Returns:
+Sequence of context clauses.
+
+@end deffn
+
+@geindex Documentation (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Documentation}@anchor{38a}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
@geindex Identifier (pyGHDL.dom.DesignUnit.PackageInstantiation property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Identifier}@anchor{3f4}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Identifier}@anchor{38b}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Library (pyGHDL.dom.DesignUnit.PackageInstantiation property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Library}@anchor{3f5}
-@deffn {Property} Library: @ref{391,,Library}
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation LibraryReferences}@anchor{38c}
+@deffn {Property} LibraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
-@*Return type:
-@ref{391,,Library}
+Read-only property to access the sequence of library clauses (@ref{38d,,_libraryReferences}).
+
+@*Returns:
+Sequence of library clauses.
@end deffn
-@geindex PackageReference (pyGHDL.dom.DesignUnit.PackageInstantiation property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation PackageReference}@anchor{3f6}
-@deffn {Property} PackageReference: Union[@ref{369,,Package}, PackageSymbol]
+@geindex NormalizedIdentifier (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation NormalizedIdentifier}@anchor{38e}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}, PackageSymbol]
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
+@end deffn
+
+@geindex PackageReferences (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation PackageReferences}@anchor{38f}
+@deffn {Property} PackageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
+
+Read-only property to access the sequence of use clauses (@ref{390,,_packageReferences}).
+
+@*Returns:
+Sequence of use clauses.
@end deffn
@geindex Parent (pyGHDL.dom.DesignUnit.PackageInstantiation property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Parent}@anchor{3f7}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Parent}@anchor{391}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.DesignUnit.PackageInstantiation property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Position}@anchor{3f8}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex _library (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _library}@anchor{392}
+@deffn {Attribute} _library: Library
-@*Return type:
-@ref{200,,Position}
+The VHDL library, the design unit was analyzed into.
+@end deffn
+
+@geindex _contextItems (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _contextItems}@anchor{387}
+@deffn {Attribute} _contextItems: List['ContextUnion']
+
+List of all context items (library, use and context clauses).
+@end deffn
+
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _libraryReferences}@anchor{38d}
+@deffn {Attribute} _libraryReferences: List['LibraryClause']
+List of library clauses.
@end deffn
-@geindex _position (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _position}@anchor{3f9}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _packageReferences}@anchor{390}
+@deffn {Attribute} _packageReferences: List['UseClause']
+
+List of use clauses.
@end deffn
-@geindex _packageReference (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _packageReference}@anchor{3fa}
-@deffn {Attribute} _packageReference: pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _contextReferences}@anchor{389}
+@deffn {Attribute} _contextReferences: List['ContextReference']
+
+List of context clauses.
@end deffn
-@geindex _genericAssociations (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _genericAssociations}@anchor{3fb}
-@deffn {Attribute} _genericAssociations: List[pyVHDLModel.SyntaxModel.GenericAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericAssociationItem}]
+@geindex _referencedLibraries (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _referencedLibraries}@anchor{393}
+@deffn {Attribute} _referencedLibraries: Dict[str, 'Library']
+
+Referenced libraries based on explicit library clauses or implicit inheritance
@end deffn
-@geindex _parent (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _parent}@anchor{3fc}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _referencedPackages (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _referencedPackages}@anchor{394}
+@deffn {Attribute} _referencedPackages: Dict[str, Dict[str, 'Package']]
-Reference to a parent entity in the model.
+Referenced packages based on explicit use clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedContexts (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _referencedContexts}@anchor{395}
+@deffn {Attribute} _referencedContexts: Dict[str, 'Context']
+
+Referenced contexts based on explicit context references or implicit inheritance
+@end deffn
+
+@geindex _dependencyVertex (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _dependencyVertex}@anchor{396}
+@deffn {Attribute} _dependencyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the dependency graph
+@end deffn
+
+@geindex _hierarchyVertex (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _hierarchyVertex}@anchor{397}
+@deffn {Attribute} _hierarchyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the hierarchy graph
@end deffn
@geindex _identifier (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _identifier}@anchor{3fd}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _identifier}@anchor{398}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _iirNode}@anchor{3fe}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _normalizedIdentifier}@anchor{399}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _documentation}@anchor{39a}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex Context (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context}@anchor{36b}
-@deffn {Class} pyGHDL.dom.DesignUnit.Context (node, identifier, libraryReferences=None, packageReferences=None)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context}@anchor{305}
+@deffn {Class} pyGHDL.dom.DesignUnit.Context (node, identifier, references=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-99e8e67e567af4d34d9fc0760c5b924a6a1918ea,,,[graphviz],png}
+@image{inheritance-b27c97ffc17a8c3ad9d979712cf0840ecbd9ba98,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{references} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{307,,LibraryClause}@code{ | }@ref{308,,UseClause}@code{ | }@ref{309,,ContextReference}@code{]}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.DesignUnit.Context method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context __init__}@anchor{39b}
+@deffn {Method} __init__ (node, identifier, references=None, documentation=None)
+
+Initializes a design unit.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Identifier (name) of the design unit.
+
+@item
+@code{contextItems} – A sequence of library, use or context clauses.
+
+@item
+@code{documentation} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]) – Associated documentation of the design unit.
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{references} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{307,,LibraryClause}@code{ | }@ref{308,,UseClause}@code{ | }@ref{309,,ContextReference}@code{] }@code{| }@code{None}) –
+@end itemize
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _parent}@anchor{39c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex ContextItems (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context ContextItems}@anchor{39d}
+@deffn {Property} ContextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{307,,LibraryClause} | @ref{308,,UseClause} | @ref{309,,ContextReference}]
+
+Read-only property to access the sequence of all context items comprising library, use and context clauses
+(@ref{39e,,_contextItems}).
+
+@*Returns:
+Sequence of context items.
+@end deffn
+
+@geindex ContextReferences (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context ContextReferences}@anchor{39f}
+@deffn {Property} ContextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
+
+Read-only property to access the sequence of context clauses (@ref{3a0,,_contextReferences}).
+
+@*Returns:
+Sequence of context clauses.
+
+@end deffn
+
+@geindex Documentation (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Documentation}@anchor{3a1}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
-@geindex parse() (pyGHDL.dom.DesignUnit.Context class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context parse}@anchor{3ff}
-@deffn {Method} classmethod parse (contextNode)
@end deffn
@geindex Identifier (pyGHDL.dom.DesignUnit.Context property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Identifier}@anchor{400}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Identifier}@anchor{3a2}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Library (pyGHDL.dom.DesignUnit.Context property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Library}@anchor{401}
-@deffn {Property} Library: @ref{391,,Library}
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context LibraryReferences}@anchor{3a3}
+@deffn {Property} LibraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
-@*Return type:
-@ref{391,,Library}
+Read-only property to access the sequence of library clauses (@ref{3a4,,_libraryReferences}).
+
+@*Returns:
+Sequence of library clauses.
@end deffn
-@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Context property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context LibraryReferences}@anchor{402}
-@deffn {Property} LibraryReferences: List[pyVHDLModel.SyntaxModel.LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.LibraryClause}]
+@geindex NormalizedIdentifier (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context NormalizedIdentifier}@anchor{3a5}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.LibraryClause}]
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
@end deffn
@geindex PackageReferences (pyGHDL.dom.DesignUnit.Context property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context PackageReferences}@anchor{403}
-@deffn {Property} PackageReferences: List[pyVHDLModel.SyntaxModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context PackageReferences}@anchor{3a6}
+@deffn {Property} PackageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UseClause}]
+Read-only property to access the sequence of use clauses (@ref{3a7,,_packageReferences}).
+
+@*Returns:
+Sequence of use clauses.
@end deffn
@geindex Parent (pyGHDL.dom.DesignUnit.Context property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Parent}@anchor{404}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Parent}@anchor{3a8}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.DesignUnit.Context property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Position}@anchor{405}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex __str__() (pyGHDL.dom.DesignUnit.Context method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context __str__}@anchor{3a9}
+@deffn {Method} __str__ ()
-@*Return type:
-@ref{200,,Position}
+Return str(self).
+@end deffn
+
+@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _libraryReferences}@anchor{3a4}
+@deffn {Attribute} _libraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
+List of library clauses.
@end deffn
-@geindex _position (pyGHDL.dom.DesignUnit.Context attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _position}@anchor{406}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@geindex _packageReferences (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _packageReferences}@anchor{3a7}
+@deffn {Attribute} _packageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
+
+List of use clauses.
@end deffn
-@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Context attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _libraryReferences}@anchor{407}
-@deffn {Attribute} _libraryReferences: List[pyVHDLModel.SyntaxModel.LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.LibraryClause}]
+@geindex _contextReferences (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _contextReferences}@anchor{3a0}
+@deffn {Attribute} _contextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
+
+List of context clauses.
@end deffn
-@geindex _packageReferences (pyGHDL.dom.DesignUnit.Context attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _packageReferences}@anchor{408}
-@deffn {Attribute} _packageReferences: List[pyVHDLModel.SyntaxModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UseClause}]
+@geindex _library (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _library}@anchor{3aa}
+@deffn {Attribute} _library: Library
+
+The VHDL library, the design unit was analyzed into.
@end deffn
-@geindex _parent (pyGHDL.dom.DesignUnit.Context attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _parent}@anchor{409}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _contextItems (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _contextItems}@anchor{39e}
+@deffn {Attribute} _contextItems: List['ContextUnion']
-Reference to a parent entity in the model.
+List of all context items (library, use and context clauses).
+@end deffn
+
+@geindex _referencedLibraries (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _referencedLibraries}@anchor{3ab}
+@deffn {Attribute} _referencedLibraries: Dict[str, 'Library']
+
+Referenced libraries based on explicit library clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedPackages (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _referencedPackages}@anchor{3ac}
+@deffn {Attribute} _referencedPackages: Dict[str, Dict[str, 'Package']]
+
+Referenced packages based on explicit use clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedContexts (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _referencedContexts}@anchor{3ad}
+@deffn {Attribute} _referencedContexts: Dict[str, 'Context']
+
+Referenced contexts based on explicit context references or implicit inheritance
+@end deffn
+
+@geindex _dependencyVertex (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _dependencyVertex}@anchor{3ae}
+@deffn {Attribute} _dependencyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the dependency graph
+@end deffn
+
+@geindex _hierarchyVertex (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _hierarchyVertex}@anchor{3af}
+@deffn {Attribute} _hierarchyVertex: Vertex[str, 'DesignUnit', None, None]
+
+The vertex in the hierarchy graph
@end deffn
@geindex _identifier (pyGHDL.dom.DesignUnit.Context attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _identifier}@anchor{40a}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _identifier}@anchor{3b0}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.Context attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _iirNode}@anchor{40b}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _normalizedIdentifier}@anchor{3b1}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _documentation}@anchor{3b2}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex Configuration (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration}@anchor{36c}
-@deffn {Class} pyGHDL.dom.DesignUnit.Configuration (node, identifier, contextItems=None)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration}@anchor{306}
+@deffn {Class} pyGHDL.dom.DesignUnit.Configuration (node, identifier, contextItems=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-03d3eeb04690489d8913bc07e91130b81987c758,,,[graphviz],png}
+@image{inheritance-71385c421bf582263fae665ec42eea8484bcecfd,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{contextItems} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{305,,Context}@code{]}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.DesignUnit.Configuration method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration __init__}@anchor{3b3}
+@deffn {Method} __init__ (node, identifier, contextItems=None, documentation=None)
+
+Initializes a design unit.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Identifier (name) of the design unit.
+
+@item
+@code{contextItems} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}[@ref{305,,Context}]]) – A sequence of library, use or context clauses.
+
+@item
+@code{documentation} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]) – Associated documentation of the design unit.
+
+@item
+@code{node} (@code{Iir}) –
+@end itemize
-@subsubheading Members
+@end deffn
+@geindex _parent (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _parent}@anchor{3b4}
+@deffn {Attribute} _parent: ModelEntity
-@geindex parse() (pyGHDL.dom.DesignUnit.Configuration class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration parse}@anchor{40c}
-@deffn {Method} classmethod parse (configurationNode, contextItems)
+Reference to a parent entity in the model.
@end deffn
@geindex ContextItems (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration ContextItems}@anchor{40d}
-@deffn {Property} ContextItems: List[LibraryClauseUseClauseContextReference]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration ContextItems}@anchor{3b5}
+@deffn {Property} ContextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{307,,LibraryClause} | @ref{308,,UseClause} | @ref{309,,ContextReference}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
+Read-only property to access the sequence of all context items comprising library, use and context clauses
+(@ref{3b6,,_contextItems}).
+
+@*Returns:
+Sequence of context items.
@end deffn
@geindex ContextReferences (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration ContextReferences}@anchor{40e}
-@deffn {Property} ContextReferences: List[@ref{36f,,ContextReference}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration ContextReferences}@anchor{3b7}
+@deffn {Property} ContextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
+Read-only property to access the sequence of context clauses (@ref{3b8,,_contextReferences}).
+
+@*Returns:
+Sequence of context clauses.
+
+@end deffn
+
+@geindex Documentation (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Documentation}@anchor{3b9}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
@geindex Identifier (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Identifier}@anchor{40f}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Identifier}@anchor{3ba}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Library (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Library}@anchor{410}
-@deffn {Property} Library: @ref{391,,Library}
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration LibraryReferences}@anchor{3bb}
+@deffn {Property} LibraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
-@*Return type:
-@ref{391,,Library}
+Read-only property to access the sequence of library clauses (@ref{3bc,,_libraryReferences}).
+
+@*Returns:
+Sequence of library clauses.
@end deffn
-@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration LibraryReferences}@anchor{411}
-@deffn {Property} LibraryReferences: List[@ref{36d,,LibraryClause}]
+@geindex NormalizedIdentifier (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration NormalizedIdentifier}@anchor{3bd}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
@end deffn
@geindex PackageReferences (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration PackageReferences}@anchor{412}
-@deffn {Property} PackageReferences: List[@ref{36e,,UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration PackageReferences}@anchor{3be}
+@deffn {Property} PackageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
+Read-only property to access the sequence of use clauses (@ref{3bf,,_packageReferences}).
+
+@*Returns:
+Sequence of use clauses.
@end deffn
@geindex Parent (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Parent}@anchor{413}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Parent}@anchor{3c0}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Position}@anchor{414}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex __repr__() (pyGHDL.dom.DesignUnit.Configuration method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration __repr__}@anchor{3c1}
+@deffn {Method} __repr__ ()
+
+Return repr(self).
@*Return type:
-@ref{200,,Position}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@end deffn
-@geindex _position (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _position}@anchor{415}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex __str__() (pyGHDL.dom.DesignUnit.Configuration method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration __str__}@anchor{3c2}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _parent}@anchor{416}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-Reference to a parent entity in the model.
@end deffn
-@geindex _identifier (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _identifier}@anchor{417}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _library (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _library}@anchor{3c3}
+@deffn {Attribute} _library: Library
-The identifier of a model entity.
+The VHDL library, the design unit was analyzed into.
@end deffn
@geindex _contextItems (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _contextItems}@anchor{418}
-@deffn {Attribute} _contextItems: Nullable[List['ContextUnion']]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _contextItems}@anchor{3b6}
+@deffn {Attribute} _contextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextUnion]
+
+List of all context items (library, use and context clauses).
@end deffn
@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _libraryReferences}@anchor{419}
-@deffn {Attribute} _libraryReferences: Nullable[List['LibraryClause']]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _libraryReferences}@anchor{3bc}
+@deffn {Attribute} _libraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause]
+
+List of library clauses.
@end deffn
@geindex _packageReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _packageReferences}@anchor{41a}
-@deffn {Attribute} _packageReferences: Nullable[List['UseClause']]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _packageReferences}@anchor{3bf}
+@deffn {Attribute} _packageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause]
+
+List of use clauses.
@end deffn
@geindex _contextReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _contextReferences}@anchor{41b}
-@deffn {Attribute} _contextReferences: Nullable[List['ContextReference']]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _contextReferences}@anchor{3b8}
+@deffn {Attribute} _contextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference]
+
+List of context clauses.
+@end deffn
+
+@geindex _referencedLibraries (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _referencedLibraries}@anchor{3c4}
+@deffn {Attribute} _referencedLibraries: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Library]
+
+Referenced libraries based on explicit library clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedPackages (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _referencedPackages}@anchor{3c5}
+@deffn {Attribute} _referencedPackages: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Package]]
+
+Referenced packages based on explicit use clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedContexts (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _referencedContexts}@anchor{3c6}
+@deffn {Attribute} _referencedContexts: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Context]
+
+Referenced contexts based on explicit context references or implicit inheritance
+@end deffn
+
+@geindex _dependencyVertex (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _dependencyVertex}@anchor{3c7}
+@deffn {Attribute} _dependencyVertex: @code{Vertex}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, DesignUnit, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+The vertex in the dependency graph
+@end deffn
+
+@geindex _hierarchyVertex (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _hierarchyVertex}@anchor{3c8}
+@deffn {Attribute} _hierarchyVertex: @code{Vertex}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, DesignUnit, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+The vertex in the hierarchy graph
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _identifier}@anchor{3c9}
+@deffn {Attribute} _identifier: str
+
+The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _iirNode}@anchor{41c}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _normalizedIdentifier}@anchor{3ca}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _documentation}@anchor{3cb}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@@ -11781,3815 +12785,3521 @@ The identifier of a model entity.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom Expression,pyGHDL dom InterfaceItem,pyGHDL dom DesignUnit,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Expression doc}@anchor{41d}@anchor{pyGHDL/pyGHDL dom Expression module-pyGHDL dom Expression}@anchor{b}@anchor{pyGHDL/pyGHDL dom Expression pyghdl-dom-expression}@anchor{41e}
-@subsection pyGHDL.dom.Expression
+@anchor{pyGHDL/pyGHDL dom Expression doc}@anchor{3cc}@anchor{pyGHDL/pyGHDL dom Expression module-pyGHDL dom Expression}@anchor{b}@anchor{pyGHDL/pyGHDL dom Expression pyghdl-dom-expression}@anchor{3cd}
+@subsection @code{pyGHDL.dom.Expression}
@geindex module; pyGHDL.dom.Expression
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{41f,,InverseExpression}:
+@ref{3ce,,InverseExpression}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{420,,IdentityExpression}:
+@ref{3cf,,IdentityExpression}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{421,,NegationExpression}:
+@ref{3d0,,NegationExpression}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{422,,AbsoluteExpression}:
+@ref{3d1,,AbsoluteExpression}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{423,,ParenthesisExpression}:
+@ref{3d2,,ParenthesisExpression}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{205,,TypeConversion}:
+@ref{23a,,TypeConversion}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{204,,FunctionCall}:
+@ref{239,,FunctionCall}:
A @code{BaseExpression} is a base-class for all expressions.
@item
-@ref{424,,AscendingRangeExpression}:
+@ref{3d3,,AscendingRangeExpression}:
A @code{BinaryExpression} is a base-class for all binary expressions.
@item
-@ref{425,,DescendingRangeExpression}:
+@ref{3d4,,DescendingRangeExpression}:
A @code{BinaryExpression} is a base-class for all binary expressions.
@item
-@ref{426,,AdditionExpression}:
+@ref{3d5,,AdditionExpression}:
A @code{AddingExpression} is a base-class for all adding expressions.
@item
-@ref{427,,SubtractionExpression}:
+@ref{3d6,,SubtractionExpression}:
A @code{AddingExpression} is a base-class for all adding expressions.
@item
-@ref{428,,ConcatenationExpression}:
+@ref{3d7,,ConcatenationExpression}:
A @code{AddingExpression} is a base-class for all adding expressions.
@item
-@ref{429,,MultiplyExpression}:
+@ref{3d8,,MultiplyExpression}:
A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
@item
-@ref{42a,,DivisionExpression}:
+@ref{3d9,,DivisionExpression}:
A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
@item
-@ref{42b,,RemainderExpression}:
+@ref{3da,,RemainderExpression}:
A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
@item
-@ref{42c,,ModuloExpression}:
+@ref{3db,,ModuloExpression}:
A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
@item
-@ref{42d,,ExponentiationExpression}:
+@ref{3dc,,ExponentiationExpression}:
A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
@item
-@ref{42e,,AndExpression}:
+@ref{3dd,,AndExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{42f,,NandExpression}:
+@ref{3de,,NandExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{430,,OrExpression}:
+@ref{3df,,OrExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{431,,NorExpression}:
+@ref{3e0,,NorExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{432,,XorExpression}:
+@ref{3e1,,XorExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{433,,XnorExpression}:
+@ref{3e2,,XnorExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{434,,EqualExpression}:
+@ref{3e3,,EqualExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{435,,UnequalExpression}:
+@ref{3e4,,UnequalExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{436,,LessThanExpression}:
+@ref{3e5,,LessThanExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{437,,LessEqualExpression}:
+@ref{3e6,,LessEqualExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{438,,GreaterThanExpression}:
+@ref{3e7,,GreaterThanExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{439,,GreaterEqualExpression}:
+@ref{3e8,,GreaterEqualExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{43a,,MatchingEqualExpression}:
+@ref{3e9,,MatchingEqualExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{43b,,MatchingUnequalExpression}:
+@ref{3ea,,MatchingUnequalExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{43c,,MatchingLessThanExpression}:
+@ref{3eb,,MatchingLessThanExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{43d,,MatchingLessEqualExpression}:
+@ref{3ec,,MatchingLessEqualExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{43e,,MatchingGreaterThanExpression}:
+@ref{3ed,,MatchingGreaterThanExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{43f,,MatchingGreaterEqualExpression}:
+@ref{3ee,,MatchingGreaterEqualExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{440,,ShiftRightLogicExpression}:
+@ref{3ef,,ShiftRightLogicExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{441,,ShiftLeftLogicExpression}:
+@ref{3f0,,ShiftLeftLogicExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{442,,ShiftRightArithmeticExpression}:
+@ref{3f1,,ShiftRightArithmeticExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{443,,ShiftLeftArithmeticExpression}:
+@ref{3f2,,ShiftLeftArithmeticExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{444,,RotateRightExpression}:
+@ref{3f3,,RotateRightExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{445,,RotateLeftExpression}:
+@ref{3f4,,RotateLeftExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{203,,QualifiedExpression}:
+@ref{238,,QualifiedExpression}:
A @code{BaseExpression} is a base-class for all expressions.
@item
-@ref{446,,SubtypeAllocation}:
+@ref{3f5,,SubtypeAllocation}:
A @code{BaseExpression} is a base-class for all expressions.
@item
-@ref{447,,QualifiedExpressionAllocation}:
+@ref{3f6,,QualifiedExpressionAllocation}:
A @code{BaseExpression} is a base-class for all expressions.
@item
-@ref{448,,Aggregate}:
+@ref{3f7,,Aggregate}:
A @code{BaseExpression} is a base-class for all expressions.
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex InverseExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression}@anchor{41f}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression}@anchor{3ce}
@deffn {Class} pyGHDL.dom.Expression.InverseExpression (node, operand)
@subsubheading Inheritance
-@image{inheritance-56f436712a11e89e3412c987fbad5293d54d2c84,,,[graphviz],png}
+@image{inheritance-ce54138d27c8577be7e89681c4095cefdde012a0,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Operand (pyGHDL.dom.Expression.InverseExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Operand}@anchor{449}
-@deffn {Property} Operand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.InverseExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Parent}@anchor{44a}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.InverseExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression __init__}@anchor{3f8}
+@deffn {Method} __init__ (node, operand)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Expression.InverseExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Position}@anchor{44b}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.InverseExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _FORMAT}@anchor{44c}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('not ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.InverseExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _position}@anchor{44d}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.InverseExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _parent}@anchor{3f9}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.InverseExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression parse}@anchor{44e}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.InverseExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Parent}@anchor{3fa}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _operand (pyGHDL.dom.Expression.InverseExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _operand}@anchor{44f}
-@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.InverseExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _parent}@anchor{450}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Expression.InverseExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression __str__}@anchor{3fb}
+@deffn {Method} __str__ ()
-Reference to a parent entity in the model.
-@end deffn
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.InverseExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _iirNode}@anchor{451}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex IdentityExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression}@anchor{420}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression}@anchor{3cf}
@deffn {Class} pyGHDL.dom.Expression.IdentityExpression (node, operand)
@subsubheading Inheritance
-@image{inheritance-ee8baa4148627c08618260d55b784d831191a9e7,,,[graphviz],png}
+@image{inheritance-70dc6c3afaf7698c8a88e3ecb18fc769157b2778,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Operand (pyGHDL.dom.Expression.IdentityExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Operand}@anchor{452}
-@deffn {Property} Operand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.IdentityExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Parent}@anchor{453}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.IdentityExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression __init__}@anchor{3fc}
+@deffn {Method} __init__ (node, operand)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Expression.IdentityExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Position}@anchor{454}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.IdentityExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _FORMAT}@anchor{455}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('+', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.IdentityExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _position}@anchor{456}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.IdentityExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _parent}@anchor{3fd}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.IdentityExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression parse}@anchor{457}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.IdentityExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Parent}@anchor{3fe}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _operand (pyGHDL.dom.Expression.IdentityExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _operand}@anchor{458}
-@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.IdentityExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _parent}@anchor{459}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Expression.IdentityExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression __str__}@anchor{3ff}
+@deffn {Method} __str__ ()
-Reference to a parent entity in the model.
-@end deffn
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.IdentityExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _iirNode}@anchor{45a}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex NegationExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression}@anchor{421}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression}@anchor{3d0}
@deffn {Class} pyGHDL.dom.Expression.NegationExpression (node, operand)
@subsubheading Inheritance
-@image{inheritance-44c5e969a17106ec0f133e44505846769f0a493e,,,[graphviz],png}
+@image{inheritance-b7a49bcfa57ad0bb06974920431008919692ea55,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
-@subsubheading Members
+@item
+@code{node} (@code{Iir}) –
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex Operand (pyGHDL.dom.Expression.NegationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Operand}@anchor{45b}
-@deffn {Property} Operand
-@end deffn
-@geindex Parent (pyGHDL.dom.Expression.NegationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Parent}@anchor{45c}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.NegationExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression __init__}@anchor{400}
+@deffn {Method} __init__ (node, operand)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Expression.NegationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Position}@anchor{45d}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.NegationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _FORMAT}@anchor{45e}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{-}', '@w{'})
-@end deffn
+@geindex _parent (pyGHDL.dom.Expression.NegationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _parent}@anchor{401}
+@deffn {Attribute} _parent: ModelEntity
-@geindex _position (pyGHDL.dom.Expression.NegationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _position}@anchor{45f}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.NegationExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression parse}@anchor{460}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.NegationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Parent}@anchor{402}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _operand (pyGHDL.dom.Expression.NegationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _operand}@anchor{461}
-@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.NegationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _parent}@anchor{462}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Expression.NegationExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression __str__}@anchor{403}
+@deffn {Method} __str__ ()
-Reference to a parent entity in the model.
-@end deffn
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.NegationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _iirNode}@anchor{463}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex AbsoluteExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression}@anchor{422}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression}@anchor{3d1}
@deffn {Class} pyGHDL.dom.Expression.AbsoluteExpression (node, operand)
@subsubheading Inheritance
-@image{inheritance-575681bab10b680d6e8e784c322fa4b73b690586,,,[graphviz],png}
+@image{inheritance-503e0eba9c000567ab11d86eba815e25c8486e24,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Operand (pyGHDL.dom.Expression.AbsoluteExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Operand}@anchor{464}
-@deffn {Property} Operand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.AbsoluteExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Parent}@anchor{465}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.AbsoluteExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression __init__}@anchor{404}
+@deffn {Method} __init__ (node, operand)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Expression.AbsoluteExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Position}@anchor{466}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.AbsoluteExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _FORMAT}@anchor{467}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('abs ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.AbsoluteExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _position}@anchor{468}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.AbsoluteExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _parent}@anchor{405}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.AbsoluteExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression parse}@anchor{469}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.AbsoluteExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Parent}@anchor{406}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _operand (pyGHDL.dom.Expression.AbsoluteExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _operand}@anchor{46a}
-@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.AbsoluteExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _parent}@anchor{46b}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Expression.AbsoluteExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression __str__}@anchor{407}
+@deffn {Method} __str__ ()
-Reference to a parent entity in the model.
-@end deffn
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.AbsoluteExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _iirNode}@anchor{46c}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ParenthesisExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression}@anchor{423}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression}@anchor{3d2}
@deffn {Class} pyGHDL.dom.Expression.ParenthesisExpression (node, operand)
@subsubheading Inheritance
-@image{inheritance-2afdebb6e7889b14f6fb9c67052d25df7ea5d010,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-28245ec7c6d778f1a93ed1bbb3c1c49f68d20858,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.Expression.ParenthesisExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression parse}@anchor{46d}
-@deffn {Method} classmethod parse (node)
+@itemize *
-@*Return type:
-@ref{423,,ParenthesisExpression}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex Operand (pyGHDL.dom.Expression.ParenthesisExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Operand}@anchor{46e}
-@deffn {Property} Operand
-@end deffn
-@geindex Parent (pyGHDL.dom.Expression.ParenthesisExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Parent}@anchor{46f}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.ParenthesisExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression __init__}@anchor{408}
+@deffn {Method} __init__ (node, operand)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Expression.ParenthesisExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Position}@anchor{470}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _FORMAT}@anchor{471}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('(', ')')
-@end deffn
+@geindex _parent (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _parent}@anchor{409}
+@deffn {Attribute} _parent: ModelEntity
-@geindex _position (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _position}@anchor{472}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+Reference to a parent entity in the model.
@end deffn
-@geindex _operand (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _operand}@anchor{473}
-@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.ParenthesisExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Parent}@anchor{40a}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _parent (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _parent}@anchor{474}
-@deffn {Attribute} _parent: ModelEntity
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _iirNode}@anchor{475}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Expression.ParenthesisExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression __str__}@anchor{40b}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex TypeConversion (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion}@anchor{205}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion}@anchor{23a}
@deffn {Class} pyGHDL.dom.Expression.TypeConversion (node, operand)
@subsubheading Inheritance
-@image{inheritance-e930a6ed162f6da3fb681a742cc49044d117af1a,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-dbe198afeecd32883fb86722b0612f8d838f65b2,,,[graphviz],png}
+@*Parameters:
-@geindex Operand (pyGHDL.dom.Expression.TypeConversion property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Operand}@anchor{476}
-@deffn {Property} Operand
-@end deffn
+@itemize *
-@geindex Parent (pyGHDL.dom.Expression.TypeConversion property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Parent}@anchor{477}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-Returns a reference to the parent entity.
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.TypeConversion method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion __init__}@anchor{40c}
+@deffn {Method} __init__ (node, operand)
-@geindex Position (pyGHDL.dom.Expression.TypeConversion property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Position}@anchor{478}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex _position (pyGHDL.dom.Expression.TypeConversion attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _position}@anchor{479}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _FORMAT (pyGHDL.dom.Expression.TypeConversion attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _FORMAT}@anchor{47a}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-@end deffn
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _operand (pyGHDL.dom.Expression.TypeConversion attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _operand}@anchor{47b}
-@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.TypeConversion attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _parent}@anchor{47c}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _parent}@anchor{40d}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Expression.TypeConversion attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _iirNode}@anchor{47d}
-@deffn {Attribute} _iirNode: Iir
+@geindex Parent (pyGHDL.dom.Expression.TypeConversion property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Parent}@anchor{40e}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Expression.TypeConversion method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion __str__}@anchor{40f}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex FunctionCall (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall}@anchor{204}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall}@anchor{239}
@deffn {Class} pyGHDL.dom.Expression.FunctionCall (node, operand)
@subsubheading Inheritance
-@image{inheritance-743abdca100039d9c9327f0d3eac2c8c240715b8,,,[graphviz],png}
+@image{inheritance-765eabc2f579f1a3030889146c7696a1b844a20d,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Parent (pyGHDL.dom.Expression.FunctionCall property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Parent}@anchor{47e}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-Returns a reference to the parent entity.
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.FunctionCall method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall __init__}@anchor{410}
+@deffn {Method} __init__ (node, operand)
-@geindex Position (pyGHDL.dom.Expression.FunctionCall property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Position}@anchor{47f}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _position (pyGHDL.dom.Expression.FunctionCall attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _position}@anchor{480}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex _parent (pyGHDL.dom.Expression.FunctionCall attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _parent}@anchor{481}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _parent}@anchor{411}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Expression.FunctionCall attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _iirNode}@anchor{482}
-@deffn {Attribute} _iirNode: Iir
+@geindex Parent (pyGHDL.dom.Expression.FunctionCall property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Parent}@anchor{412}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
@end deffn
@geindex AscendingRangeExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression}@anchor{424}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression}@anchor{3d3}
@deffn {Class} pyGHDL.dom.Expression.AscendingRangeExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-5635d299ccb0201df9de39904d5b239349892254,,,[graphviz],png}
+@image{inheritance-c34b07d97015082016ac0ea0fb29f3bc9437e74b,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Direction (pyGHDL.dom.Expression.AscendingRangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression Direction}@anchor{483}
-@deffn {Property} Direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex LeftOperand (pyGHDL.dom.Expression.AscendingRangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression LeftOperand}@anchor{484}
-@deffn {Property} LeftOperand
-@end deffn
-@geindex Parent (pyGHDL.dom.Expression.AscendingRangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression Parent}@anchor{485}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.AscendingRangeExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression __init__}@anchor{413}
+@deffn {Method} __init__ (node, left, right)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Expression.AscendingRangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression Position}@anchor{486}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex RightOperand (pyGHDL.dom.Expression.AscendingRangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression RightOperand}@anchor{487}
-@deffn {Property} RightOperand
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _FORMAT}@anchor{488}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' to ', '@w{'})
-@end deffn
+@geindex _parent (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _parent}@anchor{414}
+@deffn {Attribute} _parent: ModelEntity
-@geindex _direction (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _direction}@anchor{489}
-@deffn {Attribute} _direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction} = 0
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _position}@anchor{48a}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.AscendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression Parent}@anchor{415}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _leftOperand (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _leftOperand}@anchor{48b}
-@deffn {Attribute} _leftOperand: ExpressionUnion
-@end deffn
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-@geindex _rightOperand (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _rightOperand}@anchor{48c}
-@deffn {Attribute} _rightOperand: ExpressionUnion
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _parent}@anchor{48d}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Expression.AscendingRangeExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression __str__}@anchor{416}
+@deffn {Method} __str__ ()
-Reference to a parent entity in the model.
-@end deffn
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _iirNode}@anchor{48e}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex DescendingRangeExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression}@anchor{425}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression}@anchor{3d4}
@deffn {Class} pyGHDL.dom.Expression.DescendingRangeExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-a0e9c541005c32736fc5c5f00894af4f30799b68,,,[graphviz],png}
+@image{inheritance-849bfe3181e5b40262bb591b27785ffc61164249,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Direction (pyGHDL.dom.Expression.DescendingRangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression Direction}@anchor{48f}
-@deffn {Property} Direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex LeftOperand (pyGHDL.dom.Expression.DescendingRangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression LeftOperand}@anchor{490}
-@deffn {Property} LeftOperand
-@end deffn
-@geindex Parent (pyGHDL.dom.Expression.DescendingRangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression Parent}@anchor{491}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.DescendingRangeExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression __init__}@anchor{417}
+@deffn {Method} __init__ (node, left, right)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Expression.DescendingRangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression Position}@anchor{492}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex RightOperand (pyGHDL.dom.Expression.DescendingRangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression RightOperand}@anchor{493}
-@deffn {Property} RightOperand
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _FORMAT}@anchor{494}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' downto ', '@w{'})
-@end deffn
+@geindex _parent (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _parent}@anchor{418}
+@deffn {Attribute} _parent: ModelEntity
-@geindex _direction (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _direction}@anchor{495}
-@deffn {Attribute} _direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction} = 1
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _position}@anchor{496}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.DescendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression Parent}@anchor{419}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _leftOperand (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _leftOperand}@anchor{497}
-@deffn {Attribute} _leftOperand: ExpressionUnion
-@end deffn
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-@geindex _rightOperand (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _rightOperand}@anchor{498}
-@deffn {Attribute} _rightOperand: ExpressionUnion
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _parent}@anchor{499}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Expression.DescendingRangeExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression __str__}@anchor{41a}
+@deffn {Method} __str__ ()
-Reference to a parent entity in the model.
-@end deffn
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _iirNode}@anchor{49a}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex AdditionExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression}@anchor{426}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression}@anchor{3d5}
@deffn {Class} pyGHDL.dom.Expression.AdditionExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-97723bc99f87ff46e8258ad8bbe652ee5a80355b,,,[graphviz],png}
+@image{inheritance-de30f8c458c5378ffa316d6a3a109a25191f0f27,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.AdditionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression LeftOperand}@anchor{49b}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.AdditionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression Parent}@anchor{49c}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.AdditionExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression __init__}@anchor{41b}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.AdditionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression Position}@anchor{49d}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.AdditionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression RightOperand}@anchor{49e}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.AdditionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _FORMAT}@anchor{49f}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' + ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.AdditionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _position}@anchor{4a0}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.AdditionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _parent}@anchor{41c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.AdditionExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression parse}@anchor{4a1}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.AdditionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression Parent}@anchor{41d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.AdditionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _leftOperand}@anchor{4a2}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.AdditionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _rightOperand}@anchor{4a3}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.AdditionExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression __str__}@anchor{41e}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.AdditionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _parent}@anchor{4a4}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.AdditionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _iirNode}@anchor{4a5}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex SubtractionExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression}@anchor{427}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression}@anchor{3d6}
@deffn {Class} pyGHDL.dom.Expression.SubtractionExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-b2f1976e8960224f4789c51c539d741b31ee275e,,,[graphviz],png}
+@image{inheritance-ba88b0c27be15ec39e04fda4ed63be6b32d7f480,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.SubtractionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression LeftOperand}@anchor{4a6}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.SubtractionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression Parent}@anchor{4a7}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.SubtractionExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression __init__}@anchor{41f}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.SubtractionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression Position}@anchor{4a8}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.SubtractionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression RightOperand}@anchor{4a9}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.SubtractionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _FORMAT}@anchor{4aa}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' @w{-} ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.SubtractionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _position}@anchor{4ab}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.SubtractionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _parent}@anchor{420}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.SubtractionExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression parse}@anchor{4ac}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.SubtractionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression Parent}@anchor{421}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.SubtractionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _leftOperand}@anchor{4ad}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.SubtractionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _rightOperand}@anchor{4ae}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.SubtractionExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression __str__}@anchor{422}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.SubtractionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _parent}@anchor{4af}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.SubtractionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _iirNode}@anchor{4b0}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ConcatenationExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression}@anchor{428}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression}@anchor{3d7}
@deffn {Class} pyGHDL.dom.Expression.ConcatenationExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-fbc0e83bdfa1873da49604ca7c3e9225a38dd9a9,,,[graphviz],png}
+@image{inheritance-e43896cbab465c1077c1c3fcd03d8765c0580ad1,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.ConcatenationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression LeftOperand}@anchor{4b1}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.ConcatenationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression Parent}@anchor{4b2}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.ConcatenationExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression __init__}@anchor{423}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.ConcatenationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression Position}@anchor{4b3}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.ConcatenationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression RightOperand}@anchor{4b4}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.ConcatenationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _FORMAT}@anchor{4b5}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' & ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.ConcatenationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _position}@anchor{4b6}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.ConcatenationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _parent}@anchor{424}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ConcatenationExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression parse}@anchor{4b7}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.ConcatenationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression Parent}@anchor{425}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.ConcatenationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _leftOperand}@anchor{4b8}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ConcatenationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _rightOperand}@anchor{4b9}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.ConcatenationExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression __str__}@anchor{426}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.ConcatenationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _parent}@anchor{4ba}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.ConcatenationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _iirNode}@anchor{4bb}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex MultiplyExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression}@anchor{429}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression}@anchor{3d8}
@deffn {Class} pyGHDL.dom.Expression.MultiplyExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-7ddc16423623f62e725a62366b1ed27932c1872a,,,[graphviz],png}
+@image{inheritance-0dd334e9e0f66a327fa776566578baab471bc58a,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.MultiplyExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression LeftOperand}@anchor{4bc}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.MultiplyExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression Parent}@anchor{4bd}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.MultiplyExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression __init__}@anchor{427}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.MultiplyExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression Position}@anchor{4be}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.MultiplyExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression RightOperand}@anchor{4bf}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.MultiplyExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _FORMAT}@anchor{4c0}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' * ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.MultiplyExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _position}@anchor{4c1}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.MultiplyExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _parent}@anchor{428}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.MultiplyExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression parse}@anchor{4c2}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.MultiplyExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression Parent}@anchor{429}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.MultiplyExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _leftOperand}@anchor{4c3}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.MultiplyExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _rightOperand}@anchor{4c4}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.MultiplyExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression __str__}@anchor{42a}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.MultiplyExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _parent}@anchor{4c5}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.MultiplyExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _iirNode}@anchor{4c6}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex DivisionExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression}@anchor{42a}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression}@anchor{3d9}
@deffn {Class} pyGHDL.dom.Expression.DivisionExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-63ecb50b57dc177580cf5b4ed3b5e713ab8f15d2,,,[graphviz],png}
+@image{inheritance-90276c25c2e4223c2138f2f79a50074c26e96b72,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.DivisionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression LeftOperand}@anchor{4c7}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.DivisionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression Parent}@anchor{4c8}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.DivisionExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression __init__}@anchor{42b}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.DivisionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression Position}@anchor{4c9}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.DivisionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression RightOperand}@anchor{4ca}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.DivisionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _FORMAT}@anchor{4cb}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' / ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.DivisionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _position}@anchor{4cc}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.DivisionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _parent}@anchor{42c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.DivisionExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression parse}@anchor{4cd}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.DivisionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression Parent}@anchor{42d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.DivisionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _leftOperand}@anchor{4ce}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.DivisionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _rightOperand}@anchor{4cf}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.DivisionExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression __str__}@anchor{42e}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.DivisionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _parent}@anchor{4d0}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.DivisionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _iirNode}@anchor{4d1}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex RemainderExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression}@anchor{42b}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression}@anchor{3da}
@deffn {Class} pyGHDL.dom.Expression.RemainderExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-ceb2d7735dfdf333fd7813f435e25128202f4241,,,[graphviz],png}
+@image{inheritance-c7a0703cb41b751ea8f3e67a4dc73f825b709276,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.RemainderExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression LeftOperand}@anchor{4d2}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.RemainderExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression Parent}@anchor{4d3}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.RemainderExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression __init__}@anchor{42f}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.RemainderExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression Position}@anchor{4d4}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.RemainderExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression RightOperand}@anchor{4d5}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.RemainderExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _FORMAT}@anchor{4d6}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' rem ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.RemainderExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _position}@anchor{4d7}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.RemainderExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _parent}@anchor{430}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.RemainderExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression parse}@anchor{4d8}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.RemainderExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression Parent}@anchor{431}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.RemainderExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _leftOperand}@anchor{4d9}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.RemainderExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _rightOperand}@anchor{4da}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.RemainderExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression __str__}@anchor{432}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.RemainderExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _parent}@anchor{4db}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.RemainderExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _iirNode}@anchor{4dc}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ModuloExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression}@anchor{42c}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression}@anchor{3db}
@deffn {Class} pyGHDL.dom.Expression.ModuloExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-8b63406558428c4b6a8cf956ee3297be96a66bca,,,[graphviz],png}
+@image{inheritance-f15fd1cba0496b90122a4612d14b44a0d5aad851,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.ModuloExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression LeftOperand}@anchor{4dd}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.ModuloExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression Parent}@anchor{4de}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.ModuloExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression __init__}@anchor{433}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.ModuloExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression Position}@anchor{4df}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.ModuloExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression RightOperand}@anchor{4e0}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.ModuloExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _FORMAT}@anchor{4e1}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' mod ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.ModuloExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _position}@anchor{4e2}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.ModuloExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _parent}@anchor{434}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ModuloExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression parse}@anchor{4e3}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.ModuloExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression Parent}@anchor{435}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.ModuloExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _leftOperand}@anchor{4e4}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ModuloExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _rightOperand}@anchor{4e5}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.ModuloExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression __str__}@anchor{436}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.ModuloExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _parent}@anchor{4e6}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.ModuloExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _iirNode}@anchor{4e7}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ExponentiationExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression}@anchor{42d}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression}@anchor{3dc}
@deffn {Class} pyGHDL.dom.Expression.ExponentiationExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-fc6a4113920aaca0aeeada93485b228107acf212,,,[graphviz],png}
+@image{inheritance-dd914c6b8e3adf15c72ec6bf3461857e5e5c0a58,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.ExponentiationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression LeftOperand}@anchor{4e8}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.ExponentiationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression Parent}@anchor{4e9}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.ExponentiationExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression __init__}@anchor{437}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.ExponentiationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression Position}@anchor{4ea}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.ExponentiationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression RightOperand}@anchor{4eb}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.ExponentiationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _FORMAT}@anchor{4ec}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, '**', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.ExponentiationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _position}@anchor{4ed}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.ExponentiationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _parent}@anchor{438}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ExponentiationExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression parse}@anchor{4ee}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.ExponentiationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression Parent}@anchor{439}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.ExponentiationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _leftOperand}@anchor{4ef}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ExponentiationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _rightOperand}@anchor{4f0}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.ExponentiationExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression __str__}@anchor{43a}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.ExponentiationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _parent}@anchor{4f1}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.ExponentiationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _iirNode}@anchor{4f2}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex AndExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression}@anchor{42e}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression}@anchor{3dd}
@deffn {Class} pyGHDL.dom.Expression.AndExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-5125a5fd336a2716ace0564838e8b00330ff99a7,,,[graphviz],png}
+@image{inheritance-7292ddf3fdfa14c5fed69974c7c7826c91da9728,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.AndExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression LeftOperand}@anchor{4f3}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.AndExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression Parent}@anchor{4f4}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.AndExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression __init__}@anchor{43b}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.AndExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression Position}@anchor{4f5}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.AndExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression RightOperand}@anchor{4f6}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.AndExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _FORMAT}@anchor{4f7}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' and ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.AndExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _position}@anchor{4f8}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.AndExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _parent}@anchor{43c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.AndExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression parse}@anchor{4f9}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.AndExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression Parent}@anchor{43d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.AndExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _leftOperand}@anchor{4fa}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.AndExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _rightOperand}@anchor{4fb}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.AndExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression __str__}@anchor{43e}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.AndExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _parent}@anchor{4fc}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.AndExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _iirNode}@anchor{4fd}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex NandExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression}@anchor{42f}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression}@anchor{3de}
@deffn {Class} pyGHDL.dom.Expression.NandExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-1ed6f6a6bc2fa04359a629204f83dc0bb3f5ade3,,,[graphviz],png}
+@image{inheritance-a726894cf8f1c8b5736076def014739e985b80ec,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.NandExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression LeftOperand}@anchor{4fe}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.NandExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression Parent}@anchor{4ff}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.NandExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression __init__}@anchor{43f}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.NandExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression Position}@anchor{500}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.NandExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression RightOperand}@anchor{501}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.NandExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _FORMAT}@anchor{502}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' nand ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.NandExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _position}@anchor{503}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.NandExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _parent}@anchor{440}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.NandExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression parse}@anchor{504}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.NandExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression Parent}@anchor{441}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.NandExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _leftOperand}@anchor{505}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.NandExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _rightOperand}@anchor{506}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.NandExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression __str__}@anchor{442}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.NandExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _parent}@anchor{507}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.NandExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _iirNode}@anchor{508}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex OrExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression}@anchor{430}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression}@anchor{3df}
@deffn {Class} pyGHDL.dom.Expression.OrExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-b30be63d1a11fd663e6aee4b8bd904575f6ec36a,,,[graphviz],png}
+@image{inheritance-44ba10383d9991481f4865549b13ffc225a2f4e8,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.OrExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression LeftOperand}@anchor{509}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.OrExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression Parent}@anchor{50a}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.OrExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression __init__}@anchor{443}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.OrExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression Position}@anchor{50b}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.OrExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression RightOperand}@anchor{50c}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.OrExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _FORMAT}@anchor{50d}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' or ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.OrExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _position}@anchor{50e}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.OrExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _parent}@anchor{444}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.OrExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression parse}@anchor{50f}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.OrExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression Parent}@anchor{445}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.OrExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _leftOperand}@anchor{510}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.OrExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _rightOperand}@anchor{511}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.OrExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression __str__}@anchor{446}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.OrExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _parent}@anchor{512}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.OrExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _iirNode}@anchor{513}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex NorExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression}@anchor{431}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression}@anchor{3e0}
@deffn {Class} pyGHDL.dom.Expression.NorExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-47db195cb5950f5520bc20aad6c4270164f0b7fd,,,[graphviz],png}
+@image{inheritance-f4dee7daa5b301356b5dc390813870748545bf60,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
-@subsubheading Members
+@item
+@code{node} (@code{Iir}) –
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex LeftOperand (pyGHDL.dom.Expression.NorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression LeftOperand}@anchor{514}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex Parent (pyGHDL.dom.Expression.NorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression Parent}@anchor{515}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@geindex __init__() (pyGHDL.dom.Expression.NorExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression __init__}@anchor{447}
+@deffn {Method} __init__ (node, left, right)
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Position (pyGHDL.dom.Expression.NorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression Position}@anchor{516}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@itemize *
-@*Return type:
-@ref{200,,Position}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex RightOperand (pyGHDL.dom.Expression.NorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression RightOperand}@anchor{517}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.NorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _FORMAT}@anchor{518}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' nor ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.NorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _position}@anchor{519}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.NorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _parent}@anchor{448}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.NorExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression parse}@anchor{51a}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.NorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression Parent}@anchor{449}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.NorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _leftOperand}@anchor{51b}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.NorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _rightOperand}@anchor{51c}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.NorExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression __str__}@anchor{44a}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.NorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _parent}@anchor{51d}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.NorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _iirNode}@anchor{51e}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex XorExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression}@anchor{432}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression}@anchor{3e1}
@deffn {Class} pyGHDL.dom.Expression.XorExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-344a2fa961b0abf0152b306415cf73d9f02a4000,,,[graphviz],png}
+@image{inheritance-684bd1d8fcf1d1fcbcae53ff477523a02cbc7bbd,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.XorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression LeftOperand}@anchor{51f}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.XorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression Parent}@anchor{520}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.XorExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression __init__}@anchor{44b}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.XorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression Position}@anchor{521}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.XorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression RightOperand}@anchor{522}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.XorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _FORMAT}@anchor{523}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' xor ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.XorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _position}@anchor{524}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.XorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _parent}@anchor{44c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.XorExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression parse}@anchor{525}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.XorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression Parent}@anchor{44d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.XorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _leftOperand}@anchor{526}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.XorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _rightOperand}@anchor{527}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.XorExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression __str__}@anchor{44e}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.XorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _parent}@anchor{528}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.XorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _iirNode}@anchor{529}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex XnorExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression}@anchor{433}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression}@anchor{3e2}
@deffn {Class} pyGHDL.dom.Expression.XnorExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-f13dc3111f19f24becc50de825456ee3d85938ef,,,[graphviz],png}
+@image{inheritance-c5809c2d78d6ffc642ed3552df041b7d49f9e96e,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.XnorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression LeftOperand}@anchor{52a}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.XnorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression Parent}@anchor{52b}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.XnorExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression __init__}@anchor{44f}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.XnorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression Position}@anchor{52c}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.XnorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression RightOperand}@anchor{52d}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.XnorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _FORMAT}@anchor{52e}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' xnor ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.XnorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _position}@anchor{52f}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.XnorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _parent}@anchor{450}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.XnorExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression parse}@anchor{530}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.XnorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression Parent}@anchor{451}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.XnorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _leftOperand}@anchor{531}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.XnorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _rightOperand}@anchor{532}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.XnorExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression __str__}@anchor{452}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.XnorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _parent}@anchor{533}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.XnorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _iirNode}@anchor{534}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex EqualExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression}@anchor{434}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression}@anchor{3e3}
@deffn {Class} pyGHDL.dom.Expression.EqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-2d4a8109c34c076a4f9bca654349667bdea14f8f,,,[graphviz],png}
+@image{inheritance-902bc484f9e03fbac32eacdac8b466ba4f8ab2f2,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.EqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression LeftOperand}@anchor{535}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.EqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression Parent}@anchor{536}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.EqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression __init__}@anchor{453}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.EqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression Position}@anchor{537}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.EqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression RightOperand}@anchor{538}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.EqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _FORMAT}@anchor{539}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' = ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.EqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _position}@anchor{53a}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.EqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _parent}@anchor{454}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.EqualExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression parse}@anchor{53b}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.EqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression Parent}@anchor{455}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.EqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _leftOperand}@anchor{53c}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.EqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _rightOperand}@anchor{53d}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.EqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression __str__}@anchor{456}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.EqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _parent}@anchor{53e}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.EqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _iirNode}@anchor{53f}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex UnequalExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression}@anchor{435}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression}@anchor{3e4}
@deffn {Class} pyGHDL.dom.Expression.UnequalExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-009d12ae28c8eb24b03db10e3e19e64bf5be6be0,,,[graphviz],png}
+@image{inheritance-4f1003ce0c82f36530e08577b98fb18fb20169f7,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.UnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression LeftOperand}@anchor{540}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.UnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression Parent}@anchor{541}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.UnequalExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression __init__}@anchor{457}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.UnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression Position}@anchor{542}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.UnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression RightOperand}@anchor{543}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.UnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _FORMAT}@anchor{544}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' /= ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.UnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _position}@anchor{545}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.UnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _parent}@anchor{458}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.UnequalExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression parse}@anchor{546}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.UnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression Parent}@anchor{459}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.UnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _leftOperand}@anchor{547}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.UnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _rightOperand}@anchor{548}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.UnequalExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression __str__}@anchor{45a}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.UnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _parent}@anchor{549}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.UnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _iirNode}@anchor{54a}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex LessThanExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression}@anchor{436}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression}@anchor{3e5}
@deffn {Class} pyGHDL.dom.Expression.LessThanExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-00b4621918840d5326c8e17141b165d054120bbf,,,[graphviz],png}
+@image{inheritance-57210126837236821e60f41224d2351858f6b30a,,,[graphviz],png}
+
+@*Parameters:
-@subsubheading Members
+@itemize *
+@item
+@code{node} (@code{Iir}) –
-@geindex LeftOperand (pyGHDL.dom.Expression.LessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression LeftOperand}@anchor{54b}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Parent (pyGHDL.dom.Expression.LessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression Parent}@anchor{54c}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.LessThanExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression __init__}@anchor{45b}
+@deffn {Method} __init__ (node, left, right)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Expression.LessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression Position}@anchor{54d}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex RightOperand (pyGHDL.dom.Expression.LessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression RightOperand}@anchor{54e}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.LessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _FORMAT}@anchor{54f}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' < ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.LessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _position}@anchor{550}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.LessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _parent}@anchor{45c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.LessThanExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression parse}@anchor{551}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.LessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression Parent}@anchor{45d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.LessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _leftOperand}@anchor{552}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.LessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _rightOperand}@anchor{553}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.LessThanExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression __str__}@anchor{45e}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.LessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _parent}@anchor{554}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.LessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _iirNode}@anchor{555}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex LessEqualExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression}@anchor{437}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression}@anchor{3e6}
@deffn {Class} pyGHDL.dom.Expression.LessEqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-19a815f432150a606c1cec6393ae2bf26e804b5a,,,[graphviz],png}
+@image{inheritance-d2db9e2ed963030ca60c1ff5a38172bd40c0cb73,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.LessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression LeftOperand}@anchor{556}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.LessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression Parent}@anchor{557}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.LessEqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression __init__}@anchor{45f}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.LessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression Position}@anchor{558}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.LessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression RightOperand}@anchor{559}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.LessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _FORMAT}@anchor{55a}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' <= ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.LessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _position}@anchor{55b}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.LessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _parent}@anchor{460}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.LessEqualExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression parse}@anchor{55c}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.LessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression Parent}@anchor{461}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.LessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _leftOperand}@anchor{55d}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.LessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _rightOperand}@anchor{55e}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.LessEqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression __str__}@anchor{462}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.LessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _parent}@anchor{55f}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.LessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _iirNode}@anchor{560}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex GreaterThanExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression}@anchor{438}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression}@anchor{3e7}
@deffn {Class} pyGHDL.dom.Expression.GreaterThanExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-bba0e44fef20e438c3590dbf30215a41d2745631,,,[graphviz],png}
+@image{inheritance-9fa4068947a0561bd106e2412e68d86bb2ff3706,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.GreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression LeftOperand}@anchor{561}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.GreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression Parent}@anchor{562}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.GreaterThanExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression __init__}@anchor{463}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.GreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression Position}@anchor{563}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.GreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression RightOperand}@anchor{564}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.GreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _FORMAT}@anchor{565}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' > ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.GreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _position}@anchor{566}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.GreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _parent}@anchor{464}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.GreaterThanExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression parse}@anchor{567}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.GreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression Parent}@anchor{465}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.GreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _leftOperand}@anchor{568}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.GreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _rightOperand}@anchor{569}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.GreaterThanExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression __str__}@anchor{466}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.GreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _parent}@anchor{56a}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.GreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _iirNode}@anchor{56b}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex GreaterEqualExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression}@anchor{439}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression}@anchor{3e8}
@deffn {Class} pyGHDL.dom.Expression.GreaterEqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-a3df9e3ad38c2ade552cc35c4d8973fc64996afd,,,[graphviz],png}
+@image{inheritance-607cf2b5d729d989605b06e4f836f66963e89e3c,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.GreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression LeftOperand}@anchor{56c}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.GreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression Parent}@anchor{56d}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.GreaterEqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression __init__}@anchor{467}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.GreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression Position}@anchor{56e}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.GreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression RightOperand}@anchor{56f}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _FORMAT}@anchor{570}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' >= ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _position}@anchor{571}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _parent}@anchor{468}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.GreaterEqualExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression parse}@anchor{572}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.GreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression Parent}@anchor{469}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _leftOperand}@anchor{573}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _rightOperand}@anchor{574}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.GreaterEqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression __str__}@anchor{46a}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _parent}@anchor{575}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _iirNode}@anchor{576}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex MatchingEqualExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression}@anchor{43a}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression}@anchor{3e9}
@deffn {Class} pyGHDL.dom.Expression.MatchingEqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-d7786f13f3b379c62b1388d91c7164ee0339624f,,,[graphviz],png}
+@image{inheritance-1add7399c44da00146dea6bb3e862323d4058b06,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.MatchingEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression LeftOperand}@anchor{577}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.MatchingEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression Parent}@anchor{578}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.MatchingEqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression __init__}@anchor{46b}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.MatchingEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression Position}@anchor{579}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.MatchingEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression RightOperand}@anchor{57a}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _FORMAT}@anchor{57b}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?= ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _position}@anchor{57c}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _parent}@anchor{46c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.MatchingEqualExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression parse}@anchor{57d}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.MatchingEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression Parent}@anchor{46d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _leftOperand}@anchor{57e}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _rightOperand}@anchor{57f}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.MatchingEqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression __str__}@anchor{46e}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _parent}@anchor{580}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _iirNode}@anchor{581}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex MatchingUnequalExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression}@anchor{43b}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression}@anchor{3ea}
@deffn {Class} pyGHDL.dom.Expression.MatchingUnequalExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-ab9f27cdde14edd5c094a0b36735bee201b3a55f,,,[graphviz],png}
+@image{inheritance-c37c549d30eb2ef6bdd4b89f1fa203314aef1cfe,,,[graphviz],png}
+
+@*Parameters:
-@subsubheading Members
+@itemize *
+@item
+@code{node} (@code{Iir}) –
-@geindex LeftOperand (pyGHDL.dom.Expression.MatchingUnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression LeftOperand}@anchor{582}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Parent (pyGHDL.dom.Expression.MatchingUnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression Parent}@anchor{583}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.MatchingUnequalExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression __init__}@anchor{46f}
+@deffn {Method} __init__ (node, left, right)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Expression.MatchingUnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression Position}@anchor{584}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex RightOperand (pyGHDL.dom.Expression.MatchingUnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression RightOperand}@anchor{585}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _FORMAT}@anchor{586}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?/= ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _position}@anchor{587}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _parent}@anchor{470}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.MatchingUnequalExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression parse}@anchor{588}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.MatchingUnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression Parent}@anchor{471}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _leftOperand}@anchor{589}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _rightOperand}@anchor{58a}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.MatchingUnequalExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression __str__}@anchor{472}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _parent}@anchor{58b}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _iirNode}@anchor{58c}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex MatchingLessThanExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression}@anchor{43c}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression}@anchor{3eb}
@deffn {Class} pyGHDL.dom.Expression.MatchingLessThanExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-90c2fa10eaa69c1d04f9e49363a84936f163e47d,,,[graphviz],png}
+@image{inheritance-cb614f64dceac69bb5c29f77e921059b883d00be,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.MatchingLessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression LeftOperand}@anchor{58d}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.MatchingLessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression Parent}@anchor{58e}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.MatchingLessThanExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression __init__}@anchor{473}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.MatchingLessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression Position}@anchor{58f}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.MatchingLessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression RightOperand}@anchor{590}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _FORMAT}@anchor{591}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?< ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _position}@anchor{592}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _parent}@anchor{474}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.MatchingLessThanExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression parse}@anchor{593}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.MatchingLessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression Parent}@anchor{475}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _leftOperand}@anchor{594}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _rightOperand}@anchor{595}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.MatchingLessThanExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression __str__}@anchor{476}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _parent}@anchor{596}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _iirNode}@anchor{597}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex MatchingLessEqualExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression}@anchor{43d}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression}@anchor{3ec}
@deffn {Class} pyGHDL.dom.Expression.MatchingLessEqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-ca9114b0be2410a34ecc667c336290cb39a46690,,,[graphviz],png}
+@image{inheritance-32cfbe333acf6441b1410ff47b6aa4cbc115ab5d,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression LeftOperand}@anchor{598}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression Parent}@anchor{599}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.MatchingLessEqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression __init__}@anchor{477}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression Position}@anchor{59a}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression RightOperand}@anchor{59b}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _FORMAT}@anchor{59c}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?<= ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _position}@anchor{59d}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _parent}@anchor{478}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.MatchingLessEqualExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression parse}@anchor{59e}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression Parent}@anchor{479}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _leftOperand}@anchor{59f}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _rightOperand}@anchor{5a0}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.MatchingLessEqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression __str__}@anchor{47a}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _parent}@anchor{5a1}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _iirNode}@anchor{5a2}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex MatchingGreaterThanExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression}@anchor{43e}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression}@anchor{3ed}
@deffn {Class} pyGHDL.dom.Expression.MatchingGreaterThanExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-d9ed65079f26d480dba445b3624d01ad4796c570,,,[graphviz],png}
+@image{inheritance-67c4a1dff8eec930f054fbc5245c9d8ab0e55cba,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression LeftOperand}@anchor{5a3}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression Parent}@anchor{5a4}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.MatchingGreaterThanExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression __init__}@anchor{47b}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression Position}@anchor{5a5}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression RightOperand}@anchor{5a6}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _FORMAT}@anchor{5a7}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?> ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _position}@anchor{5a8}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _parent}@anchor{47c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.MatchingGreaterThanExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression parse}@anchor{5a9}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression Parent}@anchor{47d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _leftOperand}@anchor{5aa}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _rightOperand}@anchor{5ab}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.MatchingGreaterThanExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression __str__}@anchor{47e}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _parent}@anchor{5ac}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _iirNode}@anchor{5ad}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex MatchingGreaterEqualExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression}@anchor{43f}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression}@anchor{3ee}
@deffn {Class} pyGHDL.dom.Expression.MatchingGreaterEqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-d6a4a2e5acba7acefad74ba48b8b80cdfeb5bbd3,,,[graphviz],png}
+@image{inheritance-89ba6556a8112d03e52766914de5dab970343eae,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression LeftOperand}@anchor{5ae}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression Parent}@anchor{5af}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.MatchingGreaterEqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression __init__}@anchor{47f}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression Position}@anchor{5b0}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression RightOperand}@anchor{5b1}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _FORMAT}@anchor{5b2}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?>= ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _position}@anchor{5b3}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _parent}@anchor{480}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.MatchingGreaterEqualExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression parse}@anchor{5b4}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression Parent}@anchor{481}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _leftOperand}@anchor{5b5}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _rightOperand}@anchor{5b6}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.MatchingGreaterEqualExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression __str__}@anchor{482}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _parent}@anchor{5b7}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _iirNode}@anchor{5b8}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ShiftRightLogicExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression}@anchor{440}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression}@anchor{3ef}
@deffn {Class} pyGHDL.dom.Expression.ShiftRightLogicExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-b414bca7e9af16aa1e5d7e0c545e08b5821ccb2e,,,[graphviz],png}
+@image{inheritance-ded386fbf70f3ad0b4a7f14278aee3aa8e65ec7c,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression LeftOperand}@anchor{5b9}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression Parent}@anchor{5ba}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.ShiftRightLogicExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression __init__}@anchor{483}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression Position}@anchor{5bb}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression RightOperand}@anchor{5bc}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _FORMAT}@anchor{5bd}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' srl ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _position}@anchor{5be}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _parent}@anchor{484}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ShiftRightLogicExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression parse}@anchor{5bf}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression Parent}@anchor{485}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _leftOperand}@anchor{5c0}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _rightOperand}@anchor{5c1}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.ShiftRightLogicExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression __str__}@anchor{486}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _parent}@anchor{5c2}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _iirNode}@anchor{5c3}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ShiftLeftLogicExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression}@anchor{441}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression}@anchor{3f0}
@deffn {Class} pyGHDL.dom.Expression.ShiftLeftLogicExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-0acf4d5620eb9e39f42831ce4dbca0df43200c04,,,[graphviz],png}
+@image{inheritance-a0a394b947e406ed1cbddfa6ab22dbd7c2f942d1,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression LeftOperand}@anchor{5c4}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression Parent}@anchor{5c5}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.ShiftLeftLogicExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression __init__}@anchor{487}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression Position}@anchor{5c6}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression RightOperand}@anchor{5c7}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _FORMAT}@anchor{5c8}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sll ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _position}@anchor{5c9}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _parent}@anchor{488}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ShiftLeftLogicExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression parse}@anchor{5ca}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression Parent}@anchor{489}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _leftOperand}@anchor{5cb}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _rightOperand}@anchor{5cc}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.ShiftLeftLogicExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression __str__}@anchor{48a}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _parent}@anchor{5cd}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _iirNode}@anchor{5ce}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ShiftRightArithmeticExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression}@anchor{442}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression}@anchor{3f1}
@deffn {Class} pyGHDL.dom.Expression.ShiftRightArithmeticExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-7dab8f22f3dd592641292b89002df491f880aa6f,,,[graphviz],png}
+@image{inheritance-a41db154da802ced7a3bcb4fabdc64586a7eebef,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression LeftOperand}@anchor{5cf}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression Parent}@anchor{5d0}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression __init__}@anchor{48b}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression Position}@anchor{5d1}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression RightOperand}@anchor{5d2}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _FORMAT}@anchor{5d3}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sra ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _position}@anchor{5d4}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _parent}@anchor{48c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression parse}@anchor{5d5}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression Parent}@anchor{48d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _leftOperand}@anchor{5d6}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _rightOperand}@anchor{5d7}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression __str__}@anchor{48e}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _parent}@anchor{5d8}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _iirNode}@anchor{5d9}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ShiftLeftArithmeticExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression}@anchor{443}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression}@anchor{3f2}
@deffn {Class} pyGHDL.dom.Expression.ShiftLeftArithmeticExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-80377618268f855051fc5ae5f006bd65a591797d,,,[graphviz],png}
+@image{inheritance-7c424f115aaff61201f12781f0555bd5d10084c2,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression LeftOperand}@anchor{5da}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression Parent}@anchor{5db}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression __init__}@anchor{48f}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression Position}@anchor{5dc}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression RightOperand}@anchor{5dd}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _FORMAT}@anchor{5de}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sla ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _position}@anchor{5df}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _parent}@anchor{490}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression parse}@anchor{5e0}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression Parent}@anchor{491}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _leftOperand}@anchor{5e1}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _rightOperand}@anchor{5e2}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression __str__}@anchor{492}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _parent}@anchor{5e3}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _iirNode}@anchor{5e4}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex RotateRightExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression}@anchor{444}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression}@anchor{3f3}
@deffn {Class} pyGHDL.dom.Expression.RotateRightExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-5dd69daac1abeaf33fa3019f21c52962056e5d5d,,,[graphviz],png}
+@image{inheritance-3b361002a4914c44035b1ce7130cd1c22aa16347,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.RotateRightExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression LeftOperand}@anchor{5e5}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.RotateRightExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression Parent}@anchor{5e6}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.RotateRightExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression __init__}@anchor{493}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.RotateRightExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression Position}@anchor{5e7}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.RotateRightExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression RightOperand}@anchor{5e8}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.RotateRightExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _FORMAT}@anchor{5e9}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ror ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.RotateRightExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _position}@anchor{5ea}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.RotateRightExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _parent}@anchor{494}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.RotateRightExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression parse}@anchor{5eb}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.RotateRightExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression Parent}@anchor{495}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.RotateRightExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _leftOperand}@anchor{5ec}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.RotateRightExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _rightOperand}@anchor{5ed}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.RotateRightExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression __str__}@anchor{496}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.RotateRightExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _parent}@anchor{5ee}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.RotateRightExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _iirNode}@anchor{5ef}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex RotateLeftExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression}@anchor{445}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression}@anchor{3f4}
@deffn {Class} pyGHDL.dom.Expression.RotateLeftExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-01924ccb8dddb211422514a225c50f64cccb55b9,,,[graphviz],png}
+@image{inheritance-dfbb14562441738e8f2d06da6e0b7ee35871e8d5,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex LeftOperand (pyGHDL.dom.Expression.RotateLeftExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression LeftOperand}@anchor{5f0}
-@deffn {Property} LeftOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Expression.RotateLeftExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression Parent}@anchor{5f1}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-Returns a reference to the parent entity.
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Expression.RotateLeftExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression __init__}@anchor{497}
+@deffn {Method} __init__ (node, left, right)
-@geindex Position (pyGHDL.dom.Expression.RotateLeftExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression Position}@anchor{5f2}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex RightOperand (pyGHDL.dom.Expression.RotateLeftExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression RightOperand}@anchor{5f3}
-@deffn {Property} RightOperand
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{left} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{right} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _FORMAT (pyGHDL.dom.Expression.RotateLeftExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _FORMAT}@anchor{5f4}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' rol ', '@w{'})
@end deffn
-@geindex _position (pyGHDL.dom.Expression.RotateLeftExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _position}@anchor{5f5}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Expression.RotateLeftExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _parent}@anchor{498}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.RotateLeftExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression parse}@anchor{5f6}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.Expression.RotateLeftExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression Parent}@anchor{499}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _leftOperand (pyGHDL.dom.Expression.RotateLeftExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _leftOperand}@anchor{5f7}
-@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.RotateLeftExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _rightOperand}@anchor{5f8}
-@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex __str__() (pyGHDL.dom.Expression.RotateLeftExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression __str__}@anchor{49a}
+@deffn {Method} __str__ ()
-@geindex _parent (pyGHDL.dom.Expression.RotateLeftExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _parent}@anchor{5f9}
-@deffn {Attribute} _parent: ModelEntity
+Return str(self).
-Reference to a parent entity in the model.
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Expression.RotateLeftExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _iirNode}@anchor{5fa}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex QualifiedExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression}@anchor{203}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression}@anchor{238}
@deffn {Class} pyGHDL.dom.Expression.QualifiedExpression (node, subtype, operand)
@subsubheading Inheritance
-@image{inheritance-21f3177de36c5d841a3306a849461b5341ef8267,,,[graphviz],png}
+@image{inheritance-2c6ba1f161e3158f963eb4a8ca1bc9bd1da9c5a2,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Expression.QualifiedExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression parse}@anchor{5fb}
-@deffn {Method} classmethod parse (node)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{203,,QualifiedExpression}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@end deffn
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex Operand (pyGHDL.dom.Expression.QualifiedExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Operand}@anchor{5fc}
-@deffn {Property} Operand
-@end deffn
-@geindex Parent (pyGHDL.dom.Expression.QualifiedExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Parent}@anchor{5fd}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.QualifiedExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression __init__}@anchor{49b}
+@deffn {Method} __init__ (node, subtype, operand)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Expression.QualifiedExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Position}@anchor{5fe}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@end deffn
+@item
+@code{operand} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex Subtyped (pyGHDL.dom.Expression.QualifiedExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Subtyped}@anchor{5ff}
-@deffn {Property} Subtyped
@end deffn
-@geindex _position (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _position}@anchor{600}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex _parent (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _parent}@anchor{49c}
+@deffn {Attribute} _parent: ModelEntity
-@geindex _operand (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _operand}@anchor{601}
-@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+Reference to a parent entity in the model.
@end deffn
-@geindex _subtype (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _subtype}@anchor{602}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.QualifiedExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Parent}@anchor{49d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _parent (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _parent}@anchor{603}
-@deffn {Attribute} _parent: ModelEntity
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _iirNode}@anchor{604}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Expression.QualifiedExpression method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression __str__}@anchor{49e}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex SubtypeAllocation (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation}@anchor{446}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation}@anchor{3f5}
@deffn {Class} pyGHDL.dom.Expression.SubtypeAllocation (node, subtype)
@subsubheading Inheritance
-@image{inheritance-6e727058f00979d63f195a21dba6f42ca1b8ded1,,,[graphviz],png}
+@image{inheritance-a5077807d042ab34464b4bd3b1c9a9a9987ac190,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Expression.SubtypeAllocation class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation parse}@anchor{605}
-@deffn {Method} classmethod parse (node)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{447,,QualifiedExpressionAllocation}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
-@end deffn
-@geindex Parent (pyGHDL.dom.Expression.SubtypeAllocation property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation Parent}@anchor{606}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.SubtypeAllocation method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation __init__}@anchor{49f}
+@deffn {Method} __init__ (node, subtype)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Expression.SubtypeAllocation property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation Position}@anchor{607}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
@end deffn
-@geindex Subtype (pyGHDL.dom.Expression.SubtypeAllocation property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation Subtype}@anchor{608}
-@deffn {Property} Subtype: pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
-
-@*Return type:
-Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
+@geindex _parent (pyGHDL.dom.Expression.SubtypeAllocation attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _parent}@anchor{4a0}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Expression.SubtypeAllocation attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _position}@anchor{609}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.SubtypeAllocation property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation Parent}@anchor{4a1}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _subtype (pyGHDL.dom.Expression.SubtypeAllocation attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _subtype}@anchor{60a}
-@deffn {Attribute} _subtype: pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _parent (pyGHDL.dom.Expression.SubtypeAllocation attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _parent}@anchor{60b}
-@deffn {Attribute} _parent: ModelEntity
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Expression.SubtypeAllocation attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _iirNode}@anchor{60c}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex __str__() (pyGHDL.dom.Expression.SubtypeAllocation method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation __str__}@anchor{4a2}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex QualifiedExpressionAllocation (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation}@anchor{447}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation}@anchor{3f6}
@deffn {Class} pyGHDL.dom.Expression.QualifiedExpressionAllocation (node, qualifiedExpression)
@subsubheading Inheritance
-@image{inheritance-29376e4e5770f95e674cc62f8e9431092fda2b60,,,[graphviz],png}
+@image{inheritance-fb01579e04820755e5be6d02b66fd9e0024ba8bf,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Expression.QualifiedExpressionAllocation class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation parse}@anchor{60d}
-@deffn {Method} classmethod parse (node)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{447,,QualifiedExpressionAllocation}
+@item
+@code{qualifiedExpression} (@ref{238,,QualifiedExpression}) –
+@end itemize
-@end deffn
-@geindex Parent (pyGHDL.dom.Expression.QualifiedExpressionAllocation property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation Parent}@anchor{60e}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Expression.QualifiedExpressionAllocation method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation __init__}@anchor{4a3}
+@deffn {Method} __init__ (node, qualifiedExpression)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Expression.QualifiedExpressionAllocation property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation Position}@anchor{60f}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{qualifiedExpression} (@ref{238,,QualifiedExpression}) –
+@end itemize
@end deffn
-@geindex QualifiedExpression (pyGHDL.dom.Expression.QualifiedExpressionAllocation property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation QualifiedExpression}@anchor{610}
-@deffn {Property} QualifiedExpression: pyVHDLModel.SyntaxModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}
-
-@*Return type:
-QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}
+@geindex _parent (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _parent}@anchor{4a4}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _position}@anchor{611}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.QualifiedExpressionAllocation property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation Parent}@anchor{4a5}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _qualifiedExpression (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _qualifiedExpression}@anchor{612}
-@deffn {Attribute} _qualifiedExpression: pyVHDLModel.SyntaxModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _parent (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _parent}@anchor{613}
-@deffn {Attribute} _parent: ModelEntity
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _iirNode}@anchor{614}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex __str__() (pyGHDL.dom.Expression.QualifiedExpressionAllocation method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation __str__}@anchor{4a6}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex Aggregate (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate}@anchor{448}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate}@anchor{3f7}
@deffn {Class} pyGHDL.dom.Expression.Aggregate (node, elements)
@subsubheading Inheritance
-@image{inheritance-0b43089389cce7434ff9c5bd3e28c2955f409ee3,,,[graphviz],png}
+@image{inheritance-372e560d285d98a86bb829b5f9c00d1422f30d42,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Expression.Aggregate class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate parse}@anchor{615}
-@deffn {Method} classmethod parse (node)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{448,,Aggregate}
+@item
+@code{elements} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Expression.html#pyVHDLModel.Expression.AggregateElement}@code{]}) –
+@end itemize
-@end deffn
-@geindex Elements (pyGHDL.dom.Expression.Aggregate property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Elements}@anchor{616}
-@deffn {Property} Elements: List[pyVHDLModel.SyntaxModel.AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AggregateElement}]
+@geindex __init__() (pyGHDL.dom.Expression.Aggregate method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate __init__}@anchor{4a7}
+@deffn {Method} __init__ (node, elements)
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AggregateElement}]
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Parent (pyGHDL.dom.Expression.Aggregate property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Parent}@anchor{617}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-Returns a reference to the parent entity.
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{elements} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Expression.html#pyVHDLModel.Expression.AggregateElement}@code{]}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Expression.Aggregate property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Position}@anchor{618}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Expression.Aggregate attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _parent}@anchor{4a8}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Expression.Aggregate attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _position}@anchor{619}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.Aggregate property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Parent}@anchor{4a9}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _elements (pyGHDL.dom.Expression.Aggregate attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _elements}@anchor{61a}
-@deffn {Attribute} _elements: List[pyVHDLModel.SyntaxModel.AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AggregateElement}]
-@end deffn
+Returns a reference to the parent entity.
-@geindex _parent (pyGHDL.dom.Expression.Aggregate attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _parent}@anchor{61b}
-@deffn {Attribute} _parent: ModelEntity
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Expression.Aggregate attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _iirNode}@anchor{61c}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex __str__() (pyGHDL.dom.Expression.Aggregate method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate __str__}@anchor{4aa}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@@ -15602,1173 +16312,1299 @@ Reference to a parent entity in the model.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom InterfaceItem,pyGHDL dom Literal,pyGHDL dom Expression,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom InterfaceItem doc}@anchor{61d}@anchor{pyGHDL/pyGHDL dom InterfaceItem module-pyGHDL dom InterfaceItem}@anchor{e}@anchor{pyGHDL/pyGHDL dom InterfaceItem pyghdl-dom-interfaceitem}@anchor{61e}
-@subsection pyGHDL.dom.InterfaceItem
+@anchor{pyGHDL/pyGHDL dom InterfaceItem doc}@anchor{4ab}@anchor{pyGHDL/pyGHDL dom InterfaceItem module-pyGHDL dom InterfaceItem}@anchor{f}@anchor{pyGHDL/pyGHDL dom InterfaceItem pyghdl-dom-interfaceitem}@anchor{4ac}
+@subsection @code{pyGHDL.dom.InterfaceItem}
@geindex module; pyGHDL.dom.InterfaceItem
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{61f,,GenericConstantInterfaceItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{4ad,,GenericConstantInterfaceItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{620,,GenericTypeInterfaceItem}:
-@code{BaseType} is the base class of all type entities in this model.
+@ref{4ae,,GenericTypeInterfaceItem}:
+@code{BaseType} is the base-class of all type entities in this model.
@item
-@ref{621,,GenericPackageInterfaceItem}:
+@ref{4af,,GenericPackageInterfaceItem}:
A @code{GenericInterfaceItem} is a mixin class for all generic interface items.
@item
-@ref{622,,GenericProcedureInterfaceItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{4b0,,GenericProcedureInterfaceItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{623,,GenericFunctionInterfaceItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{4b1,,GenericFunctionInterfaceItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{624,,PortSignalInterfaceItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{4b2,,PortSignalInterfaceItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{625,,ParameterConstantInterfaceItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{4b3,,ParameterConstantInterfaceItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{626,,ParameterVariableInterfaceItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{4b4,,ParameterVariableInterfaceItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{627,,ParameterSignalInterfaceItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{4b5,,ParameterSignalInterfaceItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{628,,ParameterFileInterfaceItem}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{4b6,,ParameterFileInterfaceItem}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex GenericConstantInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem}@anchor{61f}
-@deffn {Class} pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem (node, identifiers, mode, subtype, defaultExpression)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem}@anchor{4ad}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem (node, identifiers, mode, subtype, defaultExpression, documentation=None)
@subsubheading Inheritance
-@image{inheritance-5dee1b0b2a6eb8ffa88ae4869ebf18a2269feb27,,,[graphviz],png}
+@image{inheritance-cdea8d331d3781446a7adca99513c1330f08095a,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem parse}@anchor{629}
-@deffn {Method} classmethod parse (genericNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{61f,,GenericConstantInterfaceItem}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{mode} (Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}) –
-@geindex DefaultExpression (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem DefaultExpression}@anchor{62a}
-@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Identifiers (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Identifiers}@anchor{62b}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-Returns a model entity’s list of identifiers (name).
+@geindex __init__() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem __init__}@anchor{4b7}
+@deffn {Method} __init__ (node, identifiers, mode, subtype, defaultExpression, documentation=None)
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Mode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Mode}@anchor{62c}
-@deffn {Property} Mode: pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+@itemize *
-@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex Parent (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Parent}@anchor{62d}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{mode} (Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}) –
-Returns a reference to the parent entity.
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Position (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Position}@anchor{62e}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@end deffn
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _parent}@anchor{4b8}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Subtype (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Subtype}@anchor{62f}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex Documentation (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Documentation}@anchor{4b9}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _position}@anchor{630}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Identifiers}@anchor{4ba}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _subtype}@anchor{631}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem NormalizedIdentifiers}@anchor{4bb}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _parent}@anchor{632}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Parent}@anchor{4bc}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _identifiers}@anchor{633}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _identifiers}@anchor{4bd}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _defaultExpression}@anchor{634}
-@deffn {Attribute} _defaultExpression: ExpressionUnion
-@end deffn
+@geindex _normalizedIdentifiers (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _normalizedIdentifiers}@anchor{4be}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
-@geindex _mode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _mode}@anchor{635}
-@deffn {Attribute} _mode: Mode
+A list of normalized (lower case) identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _iirNode}@anchor{636}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _documentation}@anchor{4bf}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex GenericTypeInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem}@anchor{620}
-@deffn {Class} pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem (node, identifier)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem}@anchor{4ae}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem (node, identifier, documentation=None)
@subsubheading Inheritance
-@image{inheritance-5ccb8f461b6d5e05d7cae1acf7bd95a349c7a646,,,[graphviz],png}
-
-@subsubheading Members
-
+@image{inheritance-2d56bbf3d62bcb11d8cb7bf172c5ed44ddbb4b63,,,[graphviz],png}
-@geindex parse() (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem parse}@anchor{637}
-@deffn {Method} classmethod parse (genericNode)
-
-@*Return type:
-@ref{620,,GenericTypeInterfaceItem}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Identifier (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Identifier}@anchor{638}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{node} (@code{Iir}) –
-Returns a model entity’s identifier (name).
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Parent (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Parent}@anchor{639}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem __init__}@anchor{4c0}
+@deffn {Method} __init__ (node, identifier, documentation=None)
-Returns a reference to the parent entity.
+Initializes underlying @code{BaseType}.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Position}@anchor{63a}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Name of the type.
-@*Return type:
-@ref{200,,Position}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
-@geindex _position (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _position}@anchor{63b}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex _parent (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _parent}@anchor{63c}
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _parent}@anchor{4c1}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _identifier (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _identifier}@anchor{63d}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Documentation (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Documentation}@anchor{4c2}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-The identifier of a model entity.
-@end deffn
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
-@geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _iirNode}@anchor{63e}
-@deffn {Attribute} _iirNode: Iir
-@end deffn
@end deffn
-@geindex GenericPackageInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem}@anchor{621}
-@deffn {Class} pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem (node, name)
+@geindex Identifier (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Identifier}@anchor{4c3}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@subsubheading Inheritance
+Returns a model entity’s identifier (name).
-@image{inheritance-7208798d6d7e5dc2bea2ca99c0cb6ed40430fd31,,,[graphviz],png}
+@*Returns:
+Name of a model entity.
-@subsubheading Members
+@end deffn
+@geindex NormalizedIdentifier (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem NormalizedIdentifier}@anchor{4c4}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex parse() (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem parse}@anchor{63f}
-@deffn {Method} classmethod parse (genericNode)
+Returns a model entity’s normalized identifier (lower case name).
-@*Return type:
-@ref{621,,GenericPackageInterfaceItem}
+@*Returns:
+Normalized name of a model entity.
@end deffn
-@geindex Position (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem Position}@anchor{640}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Parent (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Parent}@anchor{4c5}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-@ref{200,,Position}
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _identifier}@anchor{4c6}
+@deffn {Attribute} _identifier: str
+The identifier of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem _position}@anchor{641}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@geindex _normalizedIdentifier (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _normalizedIdentifier}@anchor{4c7}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem _iirNode}@anchor{642}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _documentation (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _documentation}@anchor{4c8}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
-@geindex GenericProcedureInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem}@anchor{622}
-@deffn {Class} pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem (node, identifier)
+@geindex GenericPackageInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem}@anchor{4af}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem (node, name, documentation=None)
@subsubheading Inheritance
-@image{inheritance-02a0fa8df4315ac9c082731c72668ee84e1a7367,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-992b6d0e95f6d3a9b3427e15457b449c8d43183b,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem parse}@anchor{643}
-@deffn {Method} classmethod parse (genericNode)
+@itemize *
-@*Return type:
-@ref{622,,GenericProcedureInterfaceItem}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{name} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex DeclaredItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem DeclaredItems}@anchor{644}
-@deffn {Property} DeclaredItems: List
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
-@end deffn
+@geindex __init__() (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem __init__}@anchor{4c9}
+@deffn {Method} __init__ (node, name, documentation=None)
-@geindex GenericItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem GenericItems}@anchor{645}
-@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+Initializes a documented entity.
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Identifier (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Identifier}@anchor{646}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{documentation} (Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]) – Documentation of a model entity.
-Returns a model entity’s identifier (name).
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{name} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex IsPure (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem IsPure}@anchor{647}
-@deffn {Property} IsPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@geindex Documentation (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem Documentation}@anchor{4ca}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex ParameterItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem ParameterItems}@anchor{648}
-@deffn {Property} ParameterItems: List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
+@geindex _documentation (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem _documentation}@anchor{4cb}
+@deffn {Attribute} _documentation: Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+The associated documentation of a model entity.
+@end deffn
@end deffn
-@geindex Parent (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Parent}@anchor{649}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex GenericProcedureInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem}@anchor{4b0}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem (node, identifier, documentation=None)
-Returns a reference to the parent entity.
+@subsubheading Inheritance
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@image{inheritance-2176ab9c07ea334677a5a631c630ad813a8be20e,,,[graphviz],png}
-@end deffn
+@*Parameters:
-@geindex Position (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Position}@anchor{64a}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@itemize *
-@*Return type:
-@ref{200,,Position}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex Statements (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Statements}@anchor{64b}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
-@end deffn
+@geindex __init__() (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem __init__}@anchor{4cc}
+@deffn {Method} __init__ (node, identifier, documentation=None)
-@geindex _isPure (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _isPure}@anchor{64c}
-@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool} = False
-@end deffn
+Initializes a VHDL model entity.
-@geindex _position (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _position}@anchor{64d}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@*Parameters:
-@geindex _genericItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _genericItems}@anchor{64e}
-@deffn {Attribute} _genericItems: List['GenericInterfaceItem']
-@end deffn
+@itemize *
-@geindex _parameterItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _parameterItems}@anchor{64f}
-@deffn {Attribute} _parameterItems: List['ParameterInterfaceItem']
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _declaredItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _declaredItems}@anchor{650}
-@deffn {Attribute} _declaredItems: List
-@end deffn
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
-@geindex _statements (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _statements}@anchor{651}
-@deffn {Attribute} _statements: List['SequentialStatement']
@end deffn
@geindex _parent (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _parent}@anchor{652}
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _parent}@anchor{4cd}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _identifier (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _identifier}@anchor{653}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Documentation (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Documentation}@anchor{4ce}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-The identifier of a model entity.
-@end deffn
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
-@geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _iirNode}@anchor{654}
-@deffn {Attribute} _iirNode: Iir
-@end deffn
@end deffn
-@geindex GenericFunctionInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem}@anchor{623}
-@deffn {Class} pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem (node, identifier)
+@geindex Identifier (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Identifier}@anchor{4cf}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@subsubheading Inheritance
+Returns a model entity’s identifier (name).
-@image{inheritance-8042610b7670d437c7a90a30d7ddead9784ed8a8,,,[graphviz],png}
+@*Returns:
+Name of a model entity.
-@subsubheading Members
+@end deffn
+@geindex NormalizedIdentifier (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem NormalizedIdentifier}@anchor{4d0}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex parse() (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem parse}@anchor{655}
-@deffn {Method} classmethod parse (genericNode)
+Returns a model entity’s normalized identifier (lower case name).
-@*Return type:
-@ref{623,,GenericFunctionInterfaceItem}
+@*Returns:
+Normalized name of a model entity.
@end deffn
-@geindex DeclaredItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem DeclaredItems}@anchor{656}
-@deffn {Property} DeclaredItems: List
+@geindex Parent (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Parent}@anchor{4d1}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex GenericItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem GenericItems}@anchor{657}
-@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@end deffn
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@geindex _identifier (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _identifier}@anchor{4d2}
+@deffn {Attribute} _identifier: str
+The identifier of a model entity.
@end deffn
-@geindex Identifier (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Identifier}@anchor{658}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _normalizedIdentifier (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _normalizedIdentifier}@anchor{4d3}
+@deffn {Attribute} _normalizedIdentifier: str
-Returns a model entity’s identifier (name).
+The normalized (lower case) identifier of a model entity.
+@end deffn
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _documentation (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _documentation}@anchor{4d4}
+@deffn {Attribute} _documentation: Nullable[str]
+The associated documentation of a model entity.
+@end deffn
@end deffn
-@geindex IsPure (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem IsPure}@anchor{659}
-@deffn {Property} IsPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@geindex GenericFunctionInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem}@anchor{4b1}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem (node, identifier, documentation=None)
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@subsubheading Inheritance
-@end deffn
+@image{inheritance-14ee7b5b6dcf5b5817622f514b41f645c5829b42,,,[graphviz],png}
-@geindex ParameterItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem ParameterItems}@anchor{65a}
-@deffn {Property} ParameterItems: List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
+@*Parameters:
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Parent}@anchor{65b}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-Returns a reference to the parent entity.
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@end deffn
+@geindex __init__() (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem __init__}@anchor{4d5}
+@deffn {Method} __init__ (node, identifier, documentation=None)
-@geindex Position (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Position}@anchor{65c}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+Initializes a VHDL model entity.
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex ReturnType (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem ReturnType}@anchor{65d}
-@deffn {Property} ReturnType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
-@geindex Statements (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Statements}@anchor{65e}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@end deffn
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@geindex _parent (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _parent}@anchor{4d6}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _position}@anchor{65f}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Documentation (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Documentation}@anchor{4d7}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex _returnType (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _returnType}@anchor{660}
-@deffn {Attribute} _returnType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
-@end deffn
+Returns a model entity’s associated documentation.
-@geindex _genericItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _genericItems}@anchor{661}
-@deffn {Attribute} _genericItems: List['GenericInterfaceItem']
-@end deffn
+@*Returns:
+Associated documentation of a model entity.
-@geindex _parameterItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _parameterItems}@anchor{662}
-@deffn {Attribute} _parameterItems: List['ParameterInterfaceItem']
@end deffn
-@geindex _declaredItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _declaredItems}@anchor{663}
-@deffn {Attribute} _declaredItems: List
-@end deffn
+@geindex Identifier (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Identifier}@anchor{4d8}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
-@geindex _statements (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _statements}@anchor{664}
-@deffn {Attribute} _statements: List['SequentialStatement']
@end deffn
-@geindex _isPure (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _isPure}@anchor{665}
-@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@geindex NormalizedIdentifier (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem NormalizedIdentifier}@anchor{4d9}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _parent}@anchor{666}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Parent}@anchor{4da}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _identifier}@anchor{667}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _identifier}@anchor{4db}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _iirNode}@anchor{668}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _normalizedIdentifier}@anchor{4dc}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _documentation}@anchor{4dd}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex PortSignalInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem}@anchor{624}
-@deffn {Class} pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem}@anchor{4b2}
+@deffn {Class} pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-7d49346699ae6b1550042a1fbacf8cc7963e4aae,,,[graphviz],png}
+@image{inheritance-05b3b35a2002c90cc760d3c8f7eae77d6da6320d,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem parse}@anchor{669}
-@deffn {Method} classmethod parse (portNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{624,,PortSignalInterfaceItem}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{mode} (Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}) –
-@geindex DefaultExpression (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem DefaultExpression}@anchor{66a}
-@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Identifiers (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Identifiers}@anchor{66b}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-Returns a model entity’s list of identifiers (name).
+@geindex __init__() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem __init__}@anchor{4de}
+@deffn {Method} __init__ (node, identifiers, mode, subtype, defaultExpression=None, documentation=None)
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Mode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Mode}@anchor{66c}
-@deffn {Property} Mode: pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+@itemize *
-@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex Parent (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Parent}@anchor{66d}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{mode} (Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}) –
-Returns a reference to the parent entity.
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Position (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Position}@anchor{66e}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@end deffn
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _parent}@anchor{4df}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Subtype (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Subtype}@anchor{66f}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex Documentation (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Documentation}@anchor{4e0}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _position}@anchor{670}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Identifiers}@anchor{4e1}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _subtype}@anchor{671}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem NormalizedIdentifiers}@anchor{4e2}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _parent}@anchor{672}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Parent}@anchor{4e3}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _identifiers}@anchor{673}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _identifiers}@anchor{4e4}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _defaultExpression}@anchor{674}
-@deffn {Attribute} _defaultExpression: ExpressionUnion
-@end deffn
+@geindex _normalizedIdentifiers (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _normalizedIdentifiers}@anchor{4e5}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
-@geindex _mode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _mode}@anchor{675}
-@deffn {Attribute} _mode: Mode
+A list of normalized (lower case) identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _iirNode}@anchor{676}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _documentation}@anchor{4e6}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex ParameterConstantInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem}@anchor{625}
-@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem}@anchor{4b3}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-5b028f618a6ac5085f013295bd27b02f1fa3fdd6,,,[graphviz],png}
+@image{inheritance-c8395fec1ad82de678853733f59eac8d12da0098,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem parse}@anchor{677}
-@deffn {Method} classmethod parse (parameterNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{625,,ParameterConstantInterfaceItem}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{mode} (Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}) –
-@geindex DefaultExpression (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem DefaultExpression}@anchor{678}
-@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Identifiers}@anchor{679}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-Returns a model entity’s list of identifiers (name).
+@geindex __init__() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem __init__}@anchor{4e7}
+@deffn {Method} __init__ (node, identifiers, mode, subtype, defaultExpression=None, documentation=None)
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Mode (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Mode}@anchor{67a}
-@deffn {Property} Mode: pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+@itemize *
-@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Parent}@anchor{67b}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{mode} (Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}) –
-Returns a reference to the parent entity.
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Position (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Position}@anchor{67c}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@end deffn
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _parent}@anchor{4e8}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Subtype}@anchor{67d}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex Documentation (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Documentation}@anchor{4e9}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _position}@anchor{67e}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Identifiers}@anchor{4ea}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _subtype}@anchor{67f}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem NormalizedIdentifiers}@anchor{4eb}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _parent}@anchor{680}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Parent}@anchor{4ec}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _identifiers}@anchor{681}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _identifiers}@anchor{4ed}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _defaultExpression}@anchor{682}
-@deffn {Attribute} _defaultExpression: ExpressionUnion
-@end deffn
+@geindex _normalizedIdentifiers (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _normalizedIdentifiers}@anchor{4ee}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
-@geindex _mode (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _mode}@anchor{683}
-@deffn {Attribute} _mode: Mode
+A list of normalized (lower case) identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _iirNode}@anchor{684}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _documentation}@anchor{4ef}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex ParameterVariableInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem}@anchor{626}
-@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem}@anchor{4b4}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-f59831f1ebf4f2a5ff6d66b0f877bb764c860934,,,[graphviz],png}
+@image{inheritance-414b30a02235fb50ff3dbd3948aa1b3c39cdd6c6,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem parse}@anchor{685}
-@deffn {Method} classmethod parse (parameterNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{626,,ParameterVariableInterfaceItem}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{mode} (Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}) –
-@geindex DefaultExpression (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem DefaultExpression}@anchor{686}
-@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Identifiers}@anchor{687}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-Returns a model entity’s list of identifiers (name).
+@geindex __init__() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem __init__}@anchor{4f0}
+@deffn {Method} __init__ (node, identifiers, mode, subtype, defaultExpression=None, documentation=None)
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Mode (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Mode}@anchor{688}
-@deffn {Property} Mode: pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+@itemize *
-@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Parent}@anchor{689}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{mode} (Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}) –
-Returns a reference to the parent entity.
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Position (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Position}@anchor{68a}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@end deffn
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _parent}@anchor{4f1}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Subtype}@anchor{68b}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex Documentation (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Documentation}@anchor{4f2}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _position}@anchor{68c}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Identifiers}@anchor{4f3}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _subtype}@anchor{68d}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem NormalizedIdentifiers}@anchor{4f4}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _parent}@anchor{68e}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Parent}@anchor{4f5}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _identifiers}@anchor{68f}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _identifiers}@anchor{4f6}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _defaultExpression}@anchor{690}
-@deffn {Attribute} _defaultExpression: ExpressionUnion
-@end deffn
+@geindex _normalizedIdentifiers (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _normalizedIdentifiers}@anchor{4f7}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
-@geindex _mode (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _mode}@anchor{691}
-@deffn {Attribute} _mode: Mode
+A list of normalized (lower case) identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _iirNode}@anchor{692}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _documentation}@anchor{4f8}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex ParameterSignalInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem}@anchor{627}
-@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem}@anchor{4b5}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-64964d100b852b59e10ffd994c63b96fc5ce82c4,,,[graphviz],png}
+@image{inheritance-90ebd41543d91b997286cc530c3362df96001ddb,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem parse}@anchor{693}
-@deffn {Method} classmethod parse (parameterNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{627,,ParameterSignalInterfaceItem}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{mode} (Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}) –
-@geindex DefaultExpression (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem DefaultExpression}@anchor{694}
-@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Identifiers}@anchor{695}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-Returns a model entity’s list of identifiers (name).
+@geindex __init__() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem __init__}@anchor{4f9}
+@deffn {Method} __init__ (node, identifiers, mode, subtype, defaultExpression=None, documentation=None)
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Mode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Mode}@anchor{696}
-@deffn {Property} Mode: pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+@itemize *
-@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Parent}@anchor{697}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{mode} (Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}) –
-Returns a reference to the parent entity.
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Position (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Position}@anchor{698}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@end deffn
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _parent}@anchor{4fa}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Subtype}@anchor{699}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex Documentation (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Documentation}@anchor{4fb}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _position}@anchor{69a}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Identifiers}@anchor{4fc}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _subtype}@anchor{69b}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem NormalizedIdentifiers}@anchor{4fd}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _parent}@anchor{69c}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Parent}@anchor{4fe}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _identifiers}@anchor{69d}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _identifiers}@anchor{4ff}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _defaultExpression}@anchor{69e}
-@deffn {Attribute} _defaultExpression: ExpressionUnion
-@end deffn
+@geindex _normalizedIdentifiers (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _normalizedIdentifiers}@anchor{500}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
-@geindex _mode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _mode}@anchor{69f}
-@deffn {Attribute} _mode: Mode
+A list of normalized (lower case) identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _iirNode}@anchor{6a0}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _documentation}@anchor{501}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex ParameterFileInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem}@anchor{628}
-@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem (node, identifiers, subtype)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem}@anchor{4b6}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem (node, identifiers, subtype, documentation=None)
@subsubheading Inheritance
-@image{inheritance-1277ce12fc9529c4ee666a504bec430cb8aef1c8,,,[graphviz],png}
+@image{inheritance-9be7ccb351acb108d48b34f85eb52d994c3413be,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem parse}@anchor{6a1}
-@deffn {Method} classmethod parse (parameterNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{628,,ParameterFileInterfaceItem}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Identifiers}@anchor{6a2}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-Returns a model entity’s list of identifiers (name).
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@geindex __init__() (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem __init__}@anchor{502}
+@deffn {Method} __init__ (node, identifiers, subtype, documentation=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Parent}@anchor{6a3}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex Position (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Position}@anchor{6a4}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Subtype}@anchor{6a5}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _parent}@anchor{503}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex Documentation (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Documentation}@anchor{504}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _position}@anchor{6a6}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Identifiers}@anchor{505}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _subtype}@anchor{6a7}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem NormalizedIdentifiers}@anchor{506}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _parent}@anchor{6a8}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Parent}@anchor{507}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _identifiers}@anchor{6a9}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _identifiers}@anchor{508}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _iirNode}@anchor{6aa}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifiers (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _normalizedIdentifiers}@anchor{509}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
+
+A list of normalized (lower case) identifiers.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _documentation}@anchor{50a}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@@ -16781,653 +17617,617 @@ A list of identifiers.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom Literal,pyGHDL dom Misc,pyGHDL dom InterfaceItem,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Literal doc}@anchor{6ab}@anchor{pyGHDL/pyGHDL dom Literal module-pyGHDL dom Literal}@anchor{f}@anchor{pyGHDL/pyGHDL dom Literal pyghdl-dom-literal}@anchor{6ac}
-@subsection pyGHDL.dom.Literal
+@anchor{pyGHDL/pyGHDL dom Literal doc}@anchor{50b}@anchor{pyGHDL/pyGHDL dom Literal module-pyGHDL dom Literal}@anchor{10}@anchor{pyGHDL/pyGHDL dom Literal pyghdl-dom-literal}@anchor{50c}
+@subsection @code{pyGHDL.dom.Literal}
@geindex module; pyGHDL.dom.Literal
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{6ad,,NullLiteral}:
+@ref{50d,,NullLiteral}:
A @code{Literal} is a base-class for all literals.
@item
-@ref{6ae,,EnumerationLiteral}:
+@ref{50e,,EnumerationLiteral}:
A @code{Literal} is a base-class for all literals.
@item
-@ref{6af,,IntegerLiteral}:
+@ref{50f,,IntegerLiteral}:
A @code{NumericLiteral} is a base-class for all numeric literals.
@item
-@ref{6b0,,FloatingPointLiteral}:
+@ref{510,,FloatingPointLiteral}:
A @code{NumericLiteral} is a base-class for all numeric literals.
@item
-@ref{6b1,,PhysicalIntegerLiteral}:
+@ref{511,,PhysicalIntegerLiteral}:
A @code{NumericLiteral} is a base-class for all numeric literals.
@item
-@ref{6b2,,PhysicalFloatingLiteral}:
+@ref{512,,PhysicalFloatingLiteral}:
A @code{NumericLiteral} is a base-class for all numeric literals.
@item
-@ref{6b3,,CharacterLiteral}:
+@ref{513,,CharacterLiteral}:
A @code{Literal} is a base-class for all literals.
@item
-@ref{6b4,,StringLiteral}:
+@ref{514,,StringLiteral}:
A @code{Literal} is a base-class for all literals.
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex NullLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral}@anchor{6ad}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral}@anchor{50d}
@deffn {Class} pyGHDL.dom.Literal.NullLiteral (node)
@subsubheading Inheritance
-@image{inheritance-1aeb551b9b134f7763952e36404e30b0f3b47858,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-b177e839ed2bb358a66956dfdfe92414e20371e4,,,[graphviz],png}
+@*Parameters:
+@code{node} (@code{Iir}) –
-@geindex parse() (pyGHDL.dom.Literal.NullLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral parse}@anchor{6b5}
-@deffn {Method} classmethod parse (node)
-@*Return type:
-@ref{6ad,,NullLiteral}
+@geindex __init__() (pyGHDL.dom.Literal.NullLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral __init__}@anchor{515}
+@deffn {Method} __init__ (node)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Parent (pyGHDL.dom.Literal.NullLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral Parent}@anchor{6b6}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
+@code{node} (@code{Iir}) –
-Returns a reference to the parent entity.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Literal.NullLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral _parent}@anchor{516}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Literal.NullLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral Position}@anchor{6b7}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Parent (pyGHDL.dom.Literal.NullLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral Parent}@anchor{517}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-@ref{200,,Position}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _position (pyGHDL.dom.Literal.NullLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral _position}@anchor{6b8}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _parent (pyGHDL.dom.Literal.NullLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral _parent}@anchor{6b9}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Literal.NullLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral __str__}@anchor{518}
+@deffn {Method} __str__ ()
-Reference to a parent entity in the model.
-@end deffn
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Literal.NullLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral _iirNode}@anchor{6ba}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex EnumerationLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral}@anchor{6ae}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral}@anchor{50e}
@deffn {Class} pyGHDL.dom.Literal.EnumerationLiteral (node, value)
@subsubheading Inheritance
-@image{inheritance-ab459f7fccf760ea43f289cb4fa34acf424436de,,,[graphviz],png}
+@image{inheritance-4ec4df0c982707c6ce7ecb3edd45fdc4a0246401,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Literal.EnumerationLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral parse}@anchor{6bb}
-@deffn {Method} classmethod parse (literalNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{6ae,,EnumerationLiteral}
+@item
+@code{value} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Parent (pyGHDL.dom.Literal.EnumerationLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Parent}@anchor{6bc}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Literal.EnumerationLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral __init__}@anchor{519}
+@deffn {Method} __init__ (node, value)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Literal.EnumerationLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Position}@anchor{6bd}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{value} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex Value (pyGHDL.dom.Literal.EnumerationLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Value}@anchor{6be}
-@deffn {Property} Value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _parent (pyGHDL.dom.Literal.EnumerationLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _parent}@anchor{51a}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Literal.EnumerationLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _position}@anchor{6bf}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Literal.EnumerationLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Parent}@anchor{51b}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _value (pyGHDL.dom.Literal.EnumerationLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _value}@anchor{6c0}
-@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _parent (pyGHDL.dom.Literal.EnumerationLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _parent}@anchor{6c1}
-@deffn {Attribute} _parent: ModelEntity
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Literal.EnumerationLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _iirNode}@anchor{6c2}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Literal.EnumerationLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral __str__}@anchor{51c}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex IntegerLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral}@anchor{6af}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral}@anchor{50f}
@deffn {Class} pyGHDL.dom.Literal.IntegerLiteral (node, value)
@subsubheading Inheritance
-@image{inheritance-ed879665ec0ffa6b227fcb2fcf534ad8f90ab754,,,[graphviz],png}
+@image{inheritance-6e8cea2391d07682cd04432ce3b9a5e0731668c6,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Literal.IntegerLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral parse}@anchor{6c3}
-@deffn {Method} classmethod parse (literalNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{6af,,IntegerLiteral}
+@item
+@code{value} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
+@end itemize
-@end deffn
-@geindex Parent (pyGHDL.dom.Literal.IntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Parent}@anchor{6c4}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Literal.IntegerLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral __init__}@anchor{51d}
+@deffn {Method} __init__ (node, value)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Literal.IntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Position}@anchor{6c5}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{value} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
+@end itemize
@end deffn
-@geindex Value (pyGHDL.dom.Literal.IntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Value}@anchor{6c6}
-@deffn {Property} Value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-
-@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@geindex _parent (pyGHDL.dom.Literal.IntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _parent}@anchor{51e}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Literal.IntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _position}@anchor{6c7}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Literal.IntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Parent}@anchor{51f}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _value (pyGHDL.dom.Literal.IntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _value}@anchor{6c8}
-@deffn {Attribute} _value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _parent (pyGHDL.dom.Literal.IntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _parent}@anchor{6c9}
-@deffn {Attribute} _parent: ModelEntity
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Literal.IntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _iirNode}@anchor{6ca}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Literal.IntegerLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral __str__}@anchor{520}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex FloatingPointLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral}@anchor{6b0}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral}@anchor{510}
@deffn {Class} pyGHDL.dom.Literal.FloatingPointLiteral (node, value)
@subsubheading Inheritance
-@image{inheritance-12c12c89c45361cd2d7285819fb9414bc1d90af9,,,[graphviz],png}
+@image{inheritance-10e729ad6b92434e4e411be00fc85cce94bed557,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Literal.FloatingPointLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral parse}@anchor{6cb}
-@deffn {Method} classmethod parse (literalNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{6b0,,FloatingPointLiteral}
+@item
+@code{value} (float@footnote{https://docs.python.org/3/library/functions.html#float}) –
+@end itemize
-@end deffn
-@geindex Parent (pyGHDL.dom.Literal.FloatingPointLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Parent}@anchor{6cc}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Literal.FloatingPointLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral __init__}@anchor{521}
+@deffn {Method} __init__ (node, value)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Literal.FloatingPointLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Position}@anchor{6cd}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{value} (float@footnote{https://docs.python.org/3/library/functions.html#float}) –
+@end itemize
@end deffn
-@geindex Value (pyGHDL.dom.Literal.FloatingPointLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Value}@anchor{6ce}
-@deffn {Property} Value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
-
-@*Return type:
-float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@geindex _parent (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _parent}@anchor{522}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _position}@anchor{6cf}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Literal.FloatingPointLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Parent}@anchor{523}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _value (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _value}@anchor{6d0}
-@deffn {Attribute} _value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _parent (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _parent}@anchor{6d1}
-@deffn {Attribute} _parent: ModelEntity
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _iirNode}@anchor{6d2}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Literal.FloatingPointLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral __str__}@anchor{524}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex PhysicalIntegerLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral}@anchor{6b1}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral}@anchor{511}
@deffn {Class} pyGHDL.dom.Literal.PhysicalIntegerLiteral (node, value, unitName)
@subsubheading Inheritance
-@image{inheritance-dc56c8891aeb738d395f038c340a3a37ae2aa7e2,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-e68be1318d25616e0b6eeba8df278fc6668a22a1,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.Literal.PhysicalIntegerLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral parse}@anchor{6d3}
-@deffn {Method} classmethod parse (literalNode)
+@itemize *
-@*Return type:
-@ref{6b1,,PhysicalIntegerLiteral}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{value} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
-@geindex Parent (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Parent}@anchor{6d4}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{unitName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Literal.PhysicalIntegerLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral __init__}@anchor{525}
+@deffn {Method} __init__ (node, value, unitName)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Position}@anchor{6d5}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex UnitName (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral UnitName}@anchor{6d6}
-@deffn {Property} UnitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{value} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{unitName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex Value (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Value}@anchor{6d7}
-@deffn {Property} Value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-
-@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@geindex _parent (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _parent}@anchor{526}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _position}@anchor{6d8}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Parent}@anchor{527}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _value (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _value}@anchor{6d9}
-@deffn {Attribute} _value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-@end deffn
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-@geindex _unitName (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _unitName}@anchor{6da}
-@deffn {Attribute} _unitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex _parent (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _parent}@anchor{6db}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Literal.PhysicalIntegerLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral __str__}@anchor{528}
+@deffn {Method} __str__ ()
-Reference to a parent entity in the model.
-@end deffn
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _iirNode}@anchor{6dc}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex PhysicalFloatingLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral}@anchor{6b2}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral}@anchor{512}
@deffn {Class} pyGHDL.dom.Literal.PhysicalFloatingLiteral (node, value, unitName)
@subsubheading Inheritance
-@image{inheritance-420e600999a1358a8c69016acbeec929b664b277,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-bc1da0ca4d6cee379855fb1a7f6d1da30a4920ff,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.Literal.PhysicalFloatingLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral parse}@anchor{6dd}
-@deffn {Method} classmethod parse (literalNode)
+@itemize *
-@*Return type:
-@ref{6b2,,PhysicalFloatingLiteral}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{value} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
-@geindex Parent (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Parent}@anchor{6de}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{unitName} (float@footnote{https://docs.python.org/3/library/functions.html#float}) –
+@end itemize
-Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Literal.PhysicalFloatingLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral __init__}@anchor{529}
+@deffn {Method} __init__ (node, value, unitName)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Position}@anchor{6df}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex UnitName (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral UnitName}@anchor{6e0}
-@deffn {Property} UnitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{value} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{unitName} (float@footnote{https://docs.python.org/3/library/functions.html#float}) –
+@end itemize
@end deffn
-@geindex Value (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Value}@anchor{6e1}
-@deffn {Property} Value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
-
-@*Return type:
-float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@geindex _parent (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _parent}@anchor{52a}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _position}@anchor{6e2}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Parent}@anchor{52b}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _value (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _value}@anchor{6e3}
-@deffn {Attribute} _value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
-@end deffn
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-@geindex _unitName (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _unitName}@anchor{6e4}
-@deffn {Attribute} _unitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex _parent (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _parent}@anchor{6e5}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Literal.PhysicalFloatingLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral __str__}@anchor{52c}
+@deffn {Method} __str__ ()
-Reference to a parent entity in the model.
-@end deffn
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _iirNode}@anchor{6e6}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex CharacterLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral}@anchor{6b3}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral}@anchor{513}
@deffn {Class} pyGHDL.dom.Literal.CharacterLiteral (node, value)
@subsubheading Inheritance
-@image{inheritance-a926f295ee205e773b684240816df526e7b1ddbe,,,[graphviz],png}
+@image{inheritance-038c3e4e4e5fbe41df8d55e44df03c6d60540a84,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Literal.CharacterLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral parse}@anchor{6e7}
-@deffn {Method} classmethod parse (literalNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{6b3,,CharacterLiteral}
+@item
+@code{value} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Parent (pyGHDL.dom.Literal.CharacterLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Parent}@anchor{6e8}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Literal.CharacterLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral __init__}@anchor{52d}
+@deffn {Method} __init__ (node, value)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Literal.CharacterLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Position}@anchor{6e9}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{value} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex Value (pyGHDL.dom.Literal.CharacterLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Value}@anchor{6ea}
-@deffn {Property} Value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _parent (pyGHDL.dom.Literal.CharacterLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _parent}@anchor{52e}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Literal.CharacterLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _position}@anchor{6eb}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Literal.CharacterLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Parent}@anchor{52f}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _value (pyGHDL.dom.Literal.CharacterLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _value}@anchor{6ec}
-@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _parent (pyGHDL.dom.Literal.CharacterLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _parent}@anchor{6ed}
-@deffn {Attribute} _parent: ModelEntity
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Literal.CharacterLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _iirNode}@anchor{6ee}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Literal.CharacterLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral __str__}@anchor{530}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex StringLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral}@anchor{6b4}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral}@anchor{514}
@deffn {Class} pyGHDL.dom.Literal.StringLiteral (node, value)
@subsubheading Inheritance
-@image{inheritance-fa4181014785a4dff6de518bc9e7b80a76ad21db,,,[graphviz],png}
+@image{inheritance-efe3172839b63edb1e6f2da7c2810269133c253a,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Literal.StringLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral parse}@anchor{6ef}
-@deffn {Method} classmethod parse (literalNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{6b4,,StringLiteral}
+@item
+@code{value} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Parent (pyGHDL.dom.Literal.StringLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Parent}@anchor{6f0}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Literal.StringLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral __init__}@anchor{531}
+@deffn {Method} __init__ (node, value)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Position (pyGHDL.dom.Literal.StringLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Position}@anchor{6f1}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{value} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex Value (pyGHDL.dom.Literal.StringLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Value}@anchor{6f2}
-@deffn {Property} Value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _parent (pyGHDL.dom.Literal.StringLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _parent}@anchor{532}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex _position (pyGHDL.dom.Literal.StringLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _position}@anchor{6f3}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@geindex Parent (pyGHDL.dom.Literal.StringLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Parent}@anchor{533}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _value (pyGHDL.dom.Literal.StringLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _value}@anchor{6f4}
-@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _parent (pyGHDL.dom.Literal.StringLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _parent}@anchor{6f5}
-@deffn {Attribute} _parent: ModelEntity
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Literal.StringLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _iirNode}@anchor{6f6}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Literal.StringLiteral method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral __str__}@anchor{534}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@@ -17440,20 +18240,24 @@ Reference to a parent entity in the model.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom Misc,pyGHDL dom Names,pyGHDL dom Literal,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Misc doc}@anchor{6f7}@anchor{pyGHDL/pyGHDL dom Misc module-pyGHDL dom Misc}@anchor{10}@anchor{pyGHDL/pyGHDL dom Misc pyghdl-dom-misc}@anchor{6f8}
-@subsection pyGHDL.dom.Misc
+@anchor{pyGHDL/pyGHDL dom Misc doc}@anchor{535}@anchor{pyGHDL/pyGHDL dom Misc module-pyGHDL dom Misc}@anchor{11}@anchor{pyGHDL/pyGHDL dom Misc pyghdl-dom-misc}@anchor{536}
+@subsection @code{pyGHDL.dom.Misc}
@geindex module; pyGHDL.dom.Misc
@@ -17464,89 +18268,140 @@ Add a module documentation.
@end quotation
@end cartouche
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{6f9,,Alias}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{537,,Alias}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex Alias (class in pyGHDL.dom.Misc)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias}@anchor{6f9}
-@deffn {Class} pyGHDL.dom.Misc.Alias (node, aliasName)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias}@anchor{537}
+@deffn {Class} pyGHDL.dom.Misc.Alias (node, aliasName, documentation=None)
@subsubheading Inheritance
-@image{inheritance-9ab260821b2de3ddfc57d303e43efd20451c31c7,,,[graphviz],png}
+@image{inheritance-f4e3a269dea7ec30f52dc9d1c52e5cacce3208a4,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Misc.Alias class method)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias parse}@anchor{6fa}
-@deffn {Method} classmethod parse (node)
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Identifier (pyGHDL.dom.Misc.Alias property)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Identifier}@anchor{6fb}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{aliasName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-Returns a model entity’s identifier (name).
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Misc.Alias method)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias __init__}@anchor{538}
+@deffn {Method} __init__ (node, aliasName, documentation=None)
-@geindex Parent (pyGHDL.dom.Misc.Alias property)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Parent}@anchor{6fc}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes underlying @code{BaseType}.
-Returns a reference to the parent entity.
+@*Parameters:
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-@end deffn
+@item
+@code{identifier} – Name of the type.
-@geindex Position (pyGHDL.dom.Misc.Alias property)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Position}@anchor{6fd}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{aliasName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
-@geindex _position (pyGHDL.dom.Misc.Alias attribute)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _position}@anchor{6fe}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex _parent (pyGHDL.dom.Misc.Alias attribute)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _parent}@anchor{6ff}
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _parent}@anchor{539}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
+@geindex Documentation (pyGHDL.dom.Misc.Alias property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Documentation}@anchor{53a}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Misc.Alias property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Identifier}@anchor{53b}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
+
+@end deffn
+
+@geindex NormalizedIdentifier (pyGHDL.dom.Misc.Alias property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias NormalizedIdentifier}@anchor{53c}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Misc.Alias property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Parent}@anchor{53d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
@geindex _identifier (pyGHDL.dom.Misc.Alias attribute)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _identifier}@anchor{700}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _identifier}@anchor{53e}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Misc.Alias attribute)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _iirNode}@anchor{701}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Misc.Alias attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _normalizedIdentifier}@anchor{53f}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Misc.Alias attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _documentation}@anchor{540}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@@ -17559,747 +18414,1049 @@ The identifier of a model entity.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom Names,pyGHDL dom NonStandard,pyGHDL dom Misc,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Names doc}@anchor{702}@anchor{pyGHDL/pyGHDL dom Names module-pyGHDL dom Names}@anchor{11}@anchor{pyGHDL/pyGHDL dom Names pyghdl-dom-names}@anchor{703}
-@subsection pyGHDL.dom.Names
+@anchor{pyGHDL/pyGHDL dom Names doc}@anchor{541}@anchor{pyGHDL/pyGHDL dom Names module-pyGHDL dom Names}@anchor{12}@anchor{pyGHDL/pyGHDL dom Names pyghdl-dom-names}@anchor{542}
+@subsection @code{pyGHDL.dom.Names}
@geindex module; pyGHDL.dom.Names
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{704,,SimpleName}:
-@code{Name} is the base class for all @emph{names} in the VHDL language model.
+@ref{543,,SimpleName}:
+A `simple name' is a name made from a single word.
@item
-@ref{705,,ParenthesisName}:
-@code{Name} is the base class for all @emph{names} in the VHDL language model.
+@ref{544,,ParenthesisName}:
+@code{Name} is the base-class for all `names' in the VHDL language model.
@item
-@ref{706,,IndexedName}:
-@code{Name} is the base class for all @emph{names} in the VHDL language model.
+@ref{545,,IndexedName}:
+@code{Name} is the base-class for all `names' in the VHDL language model.
@item
-@ref{707,,SlicedName}:
-@code{Name} is the base class for all @emph{names} in the VHDL language model.
+@ref{546,,SlicedName}:
+@code{Name} is the base-class for all `names' in the VHDL language model.
@item
-@ref{708,,SelectedName}:
-@code{Name} is the base class for all @emph{names} in the VHDL language model.
+@ref{547,,SelectedName}:
+A `selected name' is a name made from multiple words separated by a dot (@code{.}).
@item
-@ref{709,,AttributeName}:
-@code{Name} is the base class for all @emph{names} in the VHDL language model.
+@ref{548,,AttributeName}:
+@code{Name} is the base-class for all `names' in the VHDL language model.
@item
-@ref{70a,,AllName}:
-@code{Name} is the base class for all @emph{names} in the VHDL language model.
+@ref{549,,AllName}:
+The `all name' represents the reserved word @code{all} used in names.
@item
-@ref{70b,,OpenName}:
-@code{Name} is the base class for all @emph{names} in the VHDL language model.
+@ref{54a,,OpenName}:
+The `open name' represents the reserved word @code{open}.
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex SimpleName (class in pyGHDL.dom.Names)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName}@anchor{704}
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName}@anchor{543}
@deffn {Class} pyGHDL.dom.Names.SimpleName (node, identifier)
@subsubheading Inheritance
-@image{inheritance-e9350059b242d6f8bc030b54deb2e60adecfee02,,,[graphviz],png}
+@image{inheritance-707011e971008cd0a91b1ad728d464ba3063d09c,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Has_Prefix (pyGHDL.dom.Names.SimpleName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Has_Prefix}@anchor{70c}
-@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Identifier (pyGHDL.dom.Names.SimpleName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Identifier}@anchor{70d}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Names.SimpleName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName __init__}@anchor{54b}
+@deffn {Method} __init__ (node, identifier)
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Position (pyGHDL.dom.Names.SimpleName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Position}@anchor{70e}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@itemize *
-@*Return type:
-@ref{200,,Position}
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex Prefix (pyGHDL.dom.Names.SimpleName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Prefix}@anchor{70f}
-@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex _parent (pyGHDL.dom.Names.SimpleName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _parent}@anchor{54c}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex HasPrefix (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName HasPrefix}@anchor{54d}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @ref{543,,simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex Root (pyGHDL.dom.Names.SimpleName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Root}@anchor{710}
-@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Identifier (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Identifier}@anchor{54e}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex _position (pyGHDL.dom.Names.SimpleName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _position}@anchor{711}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex NormalizedIdentifier (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName NormalizedIdentifier}@anchor{54f}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
@end deffn
-@geindex _identifier (pyGHDL.dom.Names.SimpleName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _identifier}@anchor{712}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Parent (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Parent}@anchor{550}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _root (pyGHDL.dom.Names.SimpleName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _root}@anchor{713}
-@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@geindex Prefix (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Prefix}@anchor{551}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _prefix (pyGHDL.dom.Names.SimpleName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _prefix}@anchor{714}
-@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@geindex Root (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Root}@anchor{552}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @ref{543,,simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
-@geindex _iirNode (pyGHDL.dom.Names.SimpleName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _iirNode}@anchor{715}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Names.SimpleName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName __str__}@anchor{553}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
@geindex ParenthesisName (class in pyGHDL.dom.Names)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName}@anchor{705}
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName}@anchor{544}
@deffn {Class} pyGHDL.dom.Names.ParenthesisName (node, prefix, associations)
@subsubheading Inheritance
-@image{inheritance-ac178bf9a0a824b0eca8b88e0fe71d78174c137e,,,[graphviz],png}
+@image{inheritance-c7c52ded249a2faeae0944011fc10bae7d3deff8,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+
+@itemize *
+@item
+@code{node} (@code{Iir}) –
-@geindex Associations (pyGHDL.dom.Names.ParenthesisName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Associations}@anchor{716}
-@deffn {Property} Associations: List
+@item
+@code{prefix} (Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+@item
+@code{associations} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Names.ParenthesisName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName __init__}@anchor{554}
+@deffn {Method} __init__ (node, prefix, associations)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{prefix} (Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
+
+@item
+@code{associations} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}) –
+@end itemize
@end deffn
-@geindex Has_Prefix (pyGHDL.dom.Names.ParenthesisName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Has_Prefix}@anchor{717}
-@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@geindex _parent (pyGHDL.dom.Names.ParenthesisName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _parent}@anchor{555}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex HasPrefix (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName HasPrefix}@anchor{556}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @ref{543,,simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
@geindex Identifier (pyGHDL.dom.Names.ParenthesisName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Identifier}@anchor{718}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Identifier}@anchor{557}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex Position (pyGHDL.dom.Names.ParenthesisName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Position}@anchor{719}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex NormalizedIdentifier (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName NormalizedIdentifier}@anchor{558}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-@ref{200,,Position}
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
@end deffn
-@geindex Prefix (pyGHDL.dom.Names.ParenthesisName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Prefix}@anchor{71a}
-@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex Parent (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Parent}@anchor{559}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
@end deffn
-@geindex Root (pyGHDL.dom.Names.ParenthesisName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Root}@anchor{71b}
-@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Prefix (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Prefix}@anchor{55a}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+The name’s prefix in a chain of names.
-@end deffn
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
-@geindex _position (pyGHDL.dom.Names.ParenthesisName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _position}@anchor{71c}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _associations (pyGHDL.dom.Names.ParenthesisName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _associations}@anchor{71d}
-@deffn {Attribute} _associations: List
-@end deffn
+@geindex Root (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Root}@anchor{55b}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
-@geindex _identifier (pyGHDL.dom.Names.ParenthesisName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _identifier}@anchor{71e}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+The root (left-most) element in a chain of names.
-@geindex _root (pyGHDL.dom.Names.ParenthesisName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _root}@anchor{71f}
-@deffn {Attribute} _root: Nullable['Name']
-@end deffn
+In case the name is a @ref{543,,simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
-@geindex _prefix (pyGHDL.dom.Names.ParenthesisName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _prefix}@anchor{720}
-@deffn {Attribute} _prefix: Nullable['Name']
@end deffn
-@geindex _iirNode (pyGHDL.dom.Names.ParenthesisName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _iirNode}@anchor{721}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Names.ParenthesisName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName __str__}@anchor{55c}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
@geindex IndexedName (class in pyGHDL.dom.Names)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName}@anchor{706}
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName}@anchor{545}
@deffn {Class} pyGHDL.dom.Names.IndexedName (node, identifier)
@subsubheading Inheritance
-@image{inheritance-53799f61c091012b34176698853d72d44de146f6,,,[graphviz],png}
+@image{inheritance-4e6237061a9efa0db617e5ca210b3ea0d42846b7,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Has_Prefix (pyGHDL.dom.Names.IndexedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Has_Prefix}@anchor{722}
-@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Identifier (pyGHDL.dom.Names.IndexedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Identifier}@anchor{723}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Names.IndexedName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName __init__}@anchor{55d}
+@deffn {Method} __init__ (node, identifier)
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Indices (pyGHDL.dom.Names.IndexedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Indices}@anchor{724}
-@deffn {Property} Indices: List[Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]]
+@itemize *
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]]
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Position (pyGHDL.dom.Names.IndexedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Position}@anchor{725}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@end deffn
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Names.IndexedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _parent}@anchor{55e}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Prefix (pyGHDL.dom.Names.IndexedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Prefix}@anchor{726}
-@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex HasPrefix (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName HasPrefix}@anchor{55f}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
-@*Return type:
-Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+Returns true, if the name has a prefix.
+
+This is true for all names except @ref{543,,simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex Root (pyGHDL.dom.Names.IndexedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Root}@anchor{727}
-@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Identifier (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Identifier}@anchor{560}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+The identifier the name is referencing.
-@end deffn
+@*Returns:
+The referenced identifier.
-@geindex _position (pyGHDL.dom.Names.IndexedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _position}@anchor{728}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _indices (pyGHDL.dom.Names.IndexedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _indices}@anchor{729}
-@deffn {Attribute} _indices: List[Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]]
-@end deffn
+@geindex NormalizedIdentifier (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName NormalizedIdentifier}@anchor{561}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
-@geindex _identifier (pyGHDL.dom.Names.IndexedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _identifier}@anchor{72a}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex _root (pyGHDL.dom.Names.IndexedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _root}@anchor{72b}
-@deffn {Attribute} _root: Nullable['Name']
+@geindex Parent (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Parent}@anchor{562}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _prefix (pyGHDL.dom.Names.IndexedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _prefix}@anchor{72c}
-@deffn {Attribute} _prefix: Nullable['Name']
+@geindex Prefix (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Prefix}@anchor{563}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _iirNode (pyGHDL.dom.Names.IndexedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _iirNode}@anchor{72d}
-@deffn {Attribute} _iirNode: Iir
+@geindex Root (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Root}@anchor{564}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @ref{543,,simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
@end deffn
@geindex SlicedName (class in pyGHDL.dom.Names)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName}@anchor{707}
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName}@anchor{546}
@deffn {Class} pyGHDL.dom.Names.SlicedName (node, identifier)
@subsubheading Inheritance
-@image{inheritance-a60914fd956195fc8268275f77c975e3f875a1e6,,,[graphviz],png}
+@image{inheritance-dbe300ee87b4b9008000a9cd2c5a4594e3c18587,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Has_Prefix (pyGHDL.dom.Names.SlicedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Has_Prefix}@anchor{72e}
-@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Identifier (pyGHDL.dom.Names.SlicedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Identifier}@anchor{72f}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Names.SlicedName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName __init__}@anchor{565}
+@deffn {Method} __init__ (node, identifier)
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Position (pyGHDL.dom.Names.SlicedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Position}@anchor{730}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@itemize *
-@*Return type:
-@ref{200,,Position}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Prefix (pyGHDL.dom.Names.SlicedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Prefix}@anchor{731}
-@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@end deffn
-@*Return type:
-Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex _parent (pyGHDL.dom.Names.SlicedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _parent}@anchor{566}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Root (pyGHDL.dom.Names.SlicedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Root}@anchor{732}
-@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex HasPrefix (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName HasPrefix}@anchor{567}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+Returns true, if the name has a prefix.
+
+This is true for all names except @ref{543,,simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex _position (pyGHDL.dom.Names.SlicedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _position}@anchor{733}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifier (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Identifier}@anchor{568}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
+
@end deffn
-@geindex _identifier (pyGHDL.dom.Names.SlicedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _identifier}@anchor{734}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex NormalizedIdentifier (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName NormalizedIdentifier}@anchor{569}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
@end deffn
-@geindex _root (pyGHDL.dom.Names.SlicedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _root}@anchor{735}
-@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@geindex Parent (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Parent}@anchor{56a}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _prefix (pyGHDL.dom.Names.SlicedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _prefix}@anchor{736}
-@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@geindex Prefix (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Prefix}@anchor{56b}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _iirNode (pyGHDL.dom.Names.SlicedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _iirNode}@anchor{737}
-@deffn {Attribute} _iirNode: Iir
+@geindex Root (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Root}@anchor{56c}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @ref{543,,simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
@end deffn
@geindex SelectedName (class in pyGHDL.dom.Names)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName}@anchor{708}
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName}@anchor{547}
@deffn {Class} pyGHDL.dom.Names.SelectedName (node, identifier, prefix)
@subsubheading Inheritance
-@image{inheritance-b82cc93b659af4689f4f24f86de4f76d417d655d,,,[graphviz],png}
+@image{inheritance-f44c6d56cdd7d737637a0b081825b812749fc088,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Has_Prefix (pyGHDL.dom.Names.SelectedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Has_Prefix}@anchor{738}
-@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{prefix} (Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
+@end itemize
-@geindex Identifier (pyGHDL.dom.Names.SelectedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Identifier}@anchor{739}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Names.SelectedName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName __init__}@anchor{56d}
+@deffn {Method} __init__ (node, identifier, prefix)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Names.SelectedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Position}@anchor{73a}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{prefix} (Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
+@end itemize
@end deffn
-@geindex Prefix (pyGHDL.dom.Names.SelectedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Prefix}@anchor{73b}
-@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex _parent (pyGHDL.dom.Names.SelectedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _parent}@anchor{56e}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex HasPrefix (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName HasPrefix}@anchor{56f}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @ref{543,,simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex Root (pyGHDL.dom.Names.SelectedName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Root}@anchor{73c}
-@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Identifier (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Identifier}@anchor{570}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex _position (pyGHDL.dom.Names.SelectedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _position}@anchor{73d}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex NormalizedIdentifier (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName NormalizedIdentifier}@anchor{571}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
@end deffn
-@geindex _identifier (pyGHDL.dom.Names.SelectedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _identifier}@anchor{73e}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Parent (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Parent}@anchor{572}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _root (pyGHDL.dom.Names.SelectedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _root}@anchor{73f}
-@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@geindex Prefix (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Prefix}@anchor{573}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _prefix (pyGHDL.dom.Names.SelectedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _prefix}@anchor{740}
-@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@geindex Root (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Root}@anchor{574}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @ref{543,,simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
-@geindex _iirNode (pyGHDL.dom.Names.SelectedName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _iirNode}@anchor{741}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Names.SelectedName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName __str__}@anchor{575}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
@geindex AttributeName (class in pyGHDL.dom.Names)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName}@anchor{709}
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName}@anchor{548}
@deffn {Class} pyGHDL.dom.Names.AttributeName (node, identifier, prefix)
@subsubheading Inheritance
-@image{inheritance-5004cac115c27dcc73b81e5efeee46da8f5e5757,,,[graphviz],png}
+@image{inheritance-4fed09063c90400ed0008b8878c9b2d2ef91f82b,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Has_Prefix (pyGHDL.dom.Names.AttributeName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Has_Prefix}@anchor{742}
-@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{prefix} (Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
+@end itemize
-@geindex Identifier (pyGHDL.dom.Names.AttributeName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Identifier}@anchor{743}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Names.AttributeName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName __init__}@anchor{576}
+@deffn {Method} __init__ (node, identifier, prefix)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Position (pyGHDL.dom.Names.AttributeName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Position}@anchor{744}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{prefix} (Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
+@end itemize
@end deffn
-@geindex Prefix (pyGHDL.dom.Names.AttributeName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Prefix}@anchor{745}
-@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex _parent (pyGHDL.dom.Names.AttributeName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _parent}@anchor{577}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex HasPrefix (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName HasPrefix}@anchor{578}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @ref{543,,simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex Root (pyGHDL.dom.Names.AttributeName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Root}@anchor{746}
-@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Identifier (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Identifier}@anchor{579}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex _position (pyGHDL.dom.Names.AttributeName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _position}@anchor{747}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex NormalizedIdentifier (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName NormalizedIdentifier}@anchor{57a}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
@end deffn
-@geindex _identifier (pyGHDL.dom.Names.AttributeName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _identifier}@anchor{748}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Parent (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Parent}@anchor{57b}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _root (pyGHDL.dom.Names.AttributeName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _root}@anchor{749}
-@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@geindex Prefix (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Prefix}@anchor{57c}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _prefix (pyGHDL.dom.Names.AttributeName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _prefix}@anchor{74a}
-@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@geindex Root (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Root}@anchor{57d}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @ref{543,,simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
-@geindex _iirNode (pyGHDL.dom.Names.AttributeName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _iirNode}@anchor{74b}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Names.AttributeName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName __str__}@anchor{57e}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
@geindex AllName (class in pyGHDL.dom.Names)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName}@anchor{70a}
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName}@anchor{549}
@deffn {Class} pyGHDL.dom.Names.AllName (node, prefix)
@subsubheading Inheritance
-@image{inheritance-183243b8ac131125bdfcd3f09d3af62f56bf330f,,,[graphviz],png}
+@image{inheritance-af43c3569aeba37f0151aaa54cb3111cc5b4eea7,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Has_Prefix (pyGHDL.dom.Names.AllName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Has_Prefix}@anchor{74c}
-@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@item
+@code{prefix} (Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
+@end itemize
-@end deffn
-@geindex Identifier (pyGHDL.dom.Names.AllName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Identifier}@anchor{74d}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Names.AllName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName __init__}@anchor{57f}
+@deffn {Method} __init__ (node, prefix)
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Position (pyGHDL.dom.Names.AllName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Position}@anchor{74e}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@itemize *
-@*Return type:
-@ref{200,,Position}
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{prefix} (Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
+@end itemize
@end deffn
-@geindex Prefix (pyGHDL.dom.Names.AllName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Prefix}@anchor{74f}
-@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex _parent (pyGHDL.dom.Names.AllName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _parent}@anchor{580}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex HasPrefix (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName HasPrefix}@anchor{581}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @ref{543,,simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex Root (pyGHDL.dom.Names.AllName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Root}@anchor{750}
-@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Identifier (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Identifier}@anchor{582}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex _position (pyGHDL.dom.Names.AllName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _position}@anchor{751}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex NormalizedIdentifier (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName NormalizedIdentifier}@anchor{583}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
@end deffn
-@geindex _identifier (pyGHDL.dom.Names.AllName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _identifier}@anchor{752}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Parent (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Parent}@anchor{584}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _root (pyGHDL.dom.Names.AllName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _root}@anchor{753}
-@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@geindex Prefix (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Prefix}@anchor{585}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _prefix (pyGHDL.dom.Names.AllName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _prefix}@anchor{754}
-@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@geindex Root (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Root}@anchor{586}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @ref{543,,simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
-@geindex _iirNode (pyGHDL.dom.Names.AllName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _iirNode}@anchor{755}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Names.AllName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName __str__}@anchor{587}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
@geindex OpenName (class in pyGHDL.dom.Names)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName}@anchor{70b}
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName}@anchor{54a}
@deffn {Class} pyGHDL.dom.Names.OpenName (node)
@subsubheading Inheritance
-@image{inheritance-5e5eafc6ea8c1f16a78542748a8c6680081e2eef,,,[graphviz],png}
+@image{inheritance-5ecfeb442035cec18a80bc7500c7bc5b3e0abc30,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@code{node} (@code{Iir}) –
-@geindex Has_Prefix (pyGHDL.dom.Names.OpenName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Has_Prefix}@anchor{756}
-@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@geindex __init__() (pyGHDL.dom.Names.OpenName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName __init__}@anchor{588}
+@deffn {Method} __init__ (node)
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
+@code{node} (@code{Iir}) –
-@geindex Identifier (pyGHDL.dom.Names.OpenName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Identifier}@anchor{757}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _parent (pyGHDL.dom.Names.OpenName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _parent}@anchor{589}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Names.OpenName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Position}@anchor{758}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex HasPrefix (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName HasPrefix}@anchor{58a}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
-@*Return type:
-@ref{200,,Position}
+Returns true, if the name has a prefix.
+
+This is true for all names except @ref{543,,simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex Prefix (pyGHDL.dom.Names.OpenName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Prefix}@anchor{759}
-@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex Identifier (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Identifier}@anchor{58b}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex Root (pyGHDL.dom.Names.OpenName property)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Root}@anchor{75a}
-@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex NormalizedIdentifier (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName NormalizedIdentifier}@anchor{58c}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+The normalized identifier the name is referencing.
-@end deffn
+@*Returns:
+The referenced identifier (normalized).
-@geindex _position (pyGHDL.dom.Names.OpenName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _position}@anchor{75b}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _identifier (pyGHDL.dom.Names.OpenName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _identifier}@anchor{75c}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Parent (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Parent}@anchor{58d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _root (pyGHDL.dom.Names.OpenName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _root}@anchor{75d}
-@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@geindex Prefix (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Prefix}@anchor{58e}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _prefix (pyGHDL.dom.Names.OpenName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _prefix}@anchor{75e}
-@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@geindex Root (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Root}@anchor{58f}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @ref{543,,simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
-@geindex _iirNode (pyGHDL.dom.Names.OpenName attribute)
-@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _iirNode}@anchor{75f}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Names.OpenName method)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName __str__}@anchor{590}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
@@ -18312,20 +19469,24 @@ Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxM
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom NonStandard,pyGHDL dom Object,pyGHDL dom Names,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom NonStandard doc}@anchor{760}@anchor{pyGHDL/pyGHDL dom NonStandard module-pyGHDL dom NonStandard}@anchor{12}@anchor{pyGHDL/pyGHDL dom NonStandard pyghdl-dom-nonstandard}@anchor{761}
-@subsection pyGHDL.dom.NonStandard
+@anchor{pyGHDL/pyGHDL dom NonStandard doc}@anchor{591}@anchor{pyGHDL/pyGHDL dom NonStandard module-pyGHDL dom NonStandard}@anchor{13}@anchor{pyGHDL/pyGHDL dom NonStandard pyghdl-dom-nonstandard}@anchor{592}
+@subsection @code{pyGHDL.dom.NonStandard}
@geindex module; pyGHDL.dom.NonStandard
@@ -18336,507 +19497,545 @@ Add a module documentation.
@end quotation
@end cartouche
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{1ba,,Design}:
-A @code{Design} represents all loaded files (see @code{Document})
+@ref{593,,Design}:
+A @code{Design} represents all loaded and analysed files (see Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Document}). It’s the root of this
@item
-@ref{391,,Library}:
-A @code{Library} represents a VHDL library. It contains all @emph{primary} design
+@ref{594,,Library}:
+A @code{Library} represents a VHDL library. It contains all `primary' and `secondary' design units.
@item
-@ref{1c3,,Document}:
-A @code{Document} represents a sourcefile. It contains primary and secondary
+@ref{595,,Document}:
+A @code{Document} represents a sourcefile. It contains `primary' and `secondary' design units.
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex Design (class in pyGHDL.dom.NonStandard)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design}@anchor{1ba}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design}@anchor{593}
@deffn {Class} pyGHDL.dom.NonStandard.Design
@subsubheading Inheritance
-@image{inheritance-f38840981c14894155a52915ba2b8f311061ac8d,,,[graphviz],png}
+@image{inheritance-5f07b45fdd00de4c6c790f7b32030cda1b643499,,,[graphviz],png}
+
+@geindex __init__() (pyGHDL.dom.NonStandard.Design method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design __init__}@anchor{596}
+@deffn {Method} __init__ ()
-@subsubheading Members
+Initializes a VHDL design.
+@*Parameters:
+@code{name} – Name of the design.
+
+@end deffn
@geindex __ghdl_init() (pyGHDL.dom.NonStandard.Design method)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design __ghdl_init}@anchor{762}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design __ghdl_init}@anchor{597}
@deffn {Method} __ghdl_init ()
Initialization: set options and then load libraries.
@end deffn
-@geindex AddDocument() (pyGHDL.dom.NonStandard.Design method)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design AddDocument}@anchor{763}
-@deffn {Method} AddDocument (document, library)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@geindex _parent (pyGHDL.dom.NonStandard.Design attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _parent}@anchor{598}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
@geindex Documents (pyGHDL.dom.NonStandard.Design property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Documents}@anchor{764}
-@deffn {Property} Documents: List[pyVHDLModel.SyntaxModel.Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Document}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Documents}@anchor{599}
+@deffn {Property} Documents: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Document}]
Returns a list of all documents (files) loaded for this design.
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Document}]
-
-@end deffn
-
-@geindex GetLibrary() (pyGHDL.dom.NonStandard.Design method)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design GetLibrary}@anchor{765}
-@deffn {Method} GetLibrary (libraryName)
-
-@*Return type:
-Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}
-
@end deffn
@geindex Libraries (pyGHDL.dom.NonStandard.Design property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Libraries}@anchor{766}
-@deffn {Property} Libraries: Dict[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, pyVHDLModel.SyntaxModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Libraries}@anchor{59a}
+@deffn {Property} Libraries: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Library}]
Returns a list of all libraries specified for this design.
-
-@*Return type:
-Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}]
-
@end deffn
@geindex Parent (pyGHDL.dom.NonStandard.Design property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Parent}@anchor{767}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Parent}@anchor{59b}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
+@geindex name (pyGHDL.dom.NonStandard.Design attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design name}@anchor{59c}
+@deffn {Attribute} name: Optional@footnote{https://docs.python.org/3/library/typing.html#typing.Optional}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Name of the design
+@end deffn
+
@geindex _libraries (pyGHDL.dom.NonStandard.Design attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _libraries}@anchor{768}
-@deffn {Attribute} _libraries: Dict[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, @ref{391,,pyGHDL.dom.NonStandard.Library}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _libraries}@anchor{59d}
+@deffn {Attribute} _libraries: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, @ref{594,,Library}]
List of all libraries defined for a design.
@end deffn
@geindex _documents (pyGHDL.dom.NonStandard.Design attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _documents}@anchor{769}
-@deffn {Attribute} _documents: List[@ref{1c3,,pyGHDL.dom.NonStandard.Document}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _documents}@anchor{59e}
+@deffn {Attribute} _documents: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{595,,Document}]
List of all documents loaded for a design.
@end deffn
-@geindex _parent (pyGHDL.dom.NonStandard.Design attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _parent}@anchor{76a}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _dependencyGraph (pyGHDL.dom.NonStandard.Design attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _dependencyGraph}@anchor{59f}
+@deffn {Attribute} _dependencyGraph: @code{Graph}[None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, DesignUnit@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.DesignUnit}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
-Reference to a parent entity in the model.
+The graph of all dependencies in the designs.
+@end deffn
+
+@geindex _compileOrderGraph (pyGHDL.dom.NonStandard.Design attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _compileOrderGraph}@anchor{5a0}
+@deffn {Attribute} _compileOrderGraph: @code{Graph}[None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, @ref{595,,Document}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+A graph derived from dependency graph containing the order of documents for compilation.
+@end deffn
+
+@geindex _hierarchyGraph (pyGHDL.dom.NonStandard.Design attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _hierarchyGraph}@anchor{5a1}
+@deffn {Attribute} _hierarchyGraph: @code{Graph}[None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, DesignUnit@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.DesignUnit}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+A graph derived from dependency graph containing the design hierarchy.
+@end deffn
+
+@geindex _toplevel (pyGHDL.dom.NonStandard.Design attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _toplevel}@anchor{5a2}
+@deffn {Attribute} _toplevel: Union@footnote{https://docs.python.org/3/library/typing.html#typing.Union}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Entity}, Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Configuration}]
+
+When computed, the toplevel design unit is cached in this field.
@end deffn
@end deffn
@geindex Library (class in pyGHDL.dom.NonStandard)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library}@anchor{391}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library}@anchor{594}
@deffn {Class} pyGHDL.dom.NonStandard.Library (identifier)
@subsubheading Inheritance
-@image{inheritance-edcc1b497b0368e5390746f7ee1a9b6b0ff8bbd7,,,[graphviz],png}
+@image{inheritance-cde3ceb4e14a858b6616b0288669fc355215d7ba,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex Architectures (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Architectures}@anchor{76b}
-@deffn {Property} Architectures: Dict[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}, List[pyVHDLModel.SyntaxModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]]
+@geindex _parent (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _parent}@anchor{5a3}
+@deffn {Attribute} _parent: ModelEntity
-Returns a list of all architectures declarations declared in this library.
+Reference to a parent entity in the model.
+@end deffn
-@*Return type:
-Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}, List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]]
+@geindex Architectures (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Architectures}@anchor{5a4}
+@deffn {Property} Architectures: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Architecture}]]
+Returns a list of all architectures declarations declared in this library.
@end deffn
@geindex Configurations (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Configurations}@anchor{76c}
-@deffn {Property} Configurations: List[pyVHDLModel.SyntaxModel.Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Configurations}@anchor{5a5}
+@deffn {Property} Configurations: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Configuration}]
Returns a list of all configuration declarations declared in this library.
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
-
@end deffn
@geindex Contexts (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Contexts}@anchor{76d}
-@deffn {Property} Contexts: List[pyVHDLModel.SyntaxModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Contexts}@anchor{5a6}
+@deffn {Property} Contexts: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Context}]
Returns a list of all context declarations declared in this library.
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
-
@end deffn
@geindex Entities (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Entities}@anchor{76e}
-@deffn {Property} Entities: List[pyVHDLModel.SyntaxModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Entities}@anchor{5a7}
+@deffn {Property} Entities: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Entity}]
Returns a list of all entity declarations declared in this library.
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
-
@end deffn
@geindex Identifier (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Identifier}@anchor{76f}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Identifier}@anchor{5a8}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex PackageBodies (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library PackageBodies}@anchor{770}
-@deffn {Property} PackageBodies: List[pyVHDLModel.SyntaxModel.PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
+@geindex NormalizedIdentifier (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library NormalizedIdentifier}@anchor{5a9}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-Returns a list of all package body declarations declared in this library.
+Returns a model entity’s normalized identifier (lower case name).
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
+@*Returns:
+Normalized name of a model entity.
@end deffn
-@geindex Packages (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Packages}@anchor{771}
-@deffn {Property} Packages: List[pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
+@geindex PackageBodies (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library PackageBodies}@anchor{5aa}
+@deffn {Property} PackageBodies: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.PackageBody}]
-Returns a list of all package declarations declared in this library.
+Returns a list of all package body declarations declared in this library.
+@end deffn
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
+@geindex Packages (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Packages}@anchor{5ab}
+@deffn {Property} Packages: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Package}]
+Returns a list of all package declarations declared in this library.
@end deffn
@geindex Parent (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Parent}@anchor{772}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Parent}@anchor{5ac}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __init__() (pyGHDL.dom.NonStandard.Library method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library __init__}@anchor{5ad}
+@deffn {Method} __init__ (identifier)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.NonStandard.Library method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library __str__}@anchor{5ae}
+@deffn {Method} __str__ ()
+Return str(self).
@end deffn
@geindex _contexts (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _contexts}@anchor{773}
-@deffn {Attribute} _contexts: List[@ref{36b,,Context}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _contexts}@anchor{5af}
+@deffn {Attribute} _contexts: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Context}]
-List of all contexts defined in a library.
+Dictionary of all contexts defined in a library.
@end deffn
@geindex _configurations (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _configurations}@anchor{774}
-@deffn {Attribute} _configurations: List[@ref{36c,,Configuration}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _configurations}@anchor{5b0}
+@deffn {Attribute} _configurations: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Configuration}]
-List of all configurations defined in a library.
+Dictionary of all configurations defined in a library.
@end deffn
@geindex _entities (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _entities}@anchor{775}
-@deffn {Attribute} _entities: List[@ref{367,,Entity}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _entities}@anchor{5b1}
+@deffn {Attribute} _entities: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Entity}]
-List of all entities defined in a library.
+Dictionary of all entities defined in a library.
@end deffn
@geindex _architectures (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _architectures}@anchor{776}
-@deffn {Attribute} _architectures: Dict[@ref{354,,Name}, List[@ref{368,,Architecture}]]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _architectures}@anchor{5b2}
+@deffn {Attribute} _architectures: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Architecture}]]
Dictionary of all architectures defined in a library.
@end deffn
@geindex _packages (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _packages}@anchor{777}
-@deffn {Attribute} _packages: List[@ref{369,,Package}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _packages}@anchor{5b3}
+@deffn {Attribute} _packages: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Package}]
-List of all packages defined in a library.
+Dictionary of all packages defined in a library.
@end deffn
@geindex _packageBodies (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _packageBodies}@anchor{778}
-@deffn {Attribute} _packageBodies: List[@ref{36a,,PackageBody}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _packageBodies}@anchor{5b4}
+@deffn {Attribute} _packageBodies: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.PackageBody}]
-List of all package bodies defined in a library.
+Dictionary of all package bodies defined in a library.
@end deffn
-@geindex _parent (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _parent}@anchor{779}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _identifier (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _identifier}@anchor{5b5}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-Reference to a parent entity in the model.
+The identifier of a model entity.
@end deffn
-@geindex _identifier (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _identifier}@anchor{77a}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _normalizedIdentifier (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _normalizedIdentifier}@anchor{5b6}
+@deffn {Attribute} _normalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-The identifier of a model entity.
+The normalized (lower case) identifier of a model entity.
@end deffn
@end deffn
@geindex Document (class in pyGHDL.dom.NonStandard)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document}@anchor{1c3}
-@deffn {Class} pyGHDL.dom.NonStandard.Document (path, sourceCode=None, dontParse=False, dontTranslate=False)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document}@anchor{595}
+@deffn {Class} pyGHDL.dom.NonStandard.Document (path, sourceCode=None, vhdlVersion=VHDLVersion.VHDL2008, dontParse=False, dontTranslate=False)
@subsubheading Inheritance
-@image{inheritance-d1a9e6821196cb925e728e43befd24a969d40dca,,,[graphviz],png}
+@image{inheritance-c3e8d970479dc44b2bea21f84d4d77e10bdbadba,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex __ghdlFileID (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlFileID}@anchor{77b}
-@deffn {Attribute} __ghdlFileID: Any
-@end deffn
+@item
+@code{path} (Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}) –
-@geindex __ghdlSourceFileEntry (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlSourceFileEntry}@anchor{77c}
-@deffn {Attribute} __ghdlSourceFileEntry: Any
-@end deffn
+@item
+@code{sourceCode} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@geindex _filename (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _filename}@anchor{77d}
-@deffn {Attribute} _filename: pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
-@end deffn
+@item
+@code{vhdlVersion} (VHDLVersion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.VHDLVersion}) –
-@geindex __ghdlFile (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlFile}@anchor{77e}
-@deffn {Attribute} __ghdlFile: Any
-@end deffn
+@item
+@code{dontParse} (bool@footnote{https://docs.python.org/3/library/functions.html#bool}) –
+
+@item
+@code{dontTranslate} (bool@footnote{https://docs.python.org/3/library/functions.html#bool}) –
+@end itemize
-@geindex __ghdlProcessingTime (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlProcessingTime}@anchor{77f}
-@deffn {Attribute} __ghdlProcessingTime: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
-@end deffn
-@geindex __domTranslateTime (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __domTranslateTime}@anchor{780}
-@deffn {Attribute} __domTranslateTime: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@geindex __init__() (pyGHDL.dom.NonStandard.Document method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __init__}@anchor{5b7}
+@deffn {Method} __init__ (path, sourceCode=None, vhdlVersion=VHDLVersion.VHDL2008, dontParse=False, dontTranslate=False)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{path} (Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}) –
+
+@item
+@code{sourceCode} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+
+@item
+@code{vhdlVersion} (VHDLVersion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.VHDLVersion}) –
+
+@item
+@code{dontParse} (bool@footnote{https://docs.python.org/3/library/functions.html#bool}) –
+
+@item
+@code{dontTranslate} (bool@footnote{https://docs.python.org/3/library/functions.html#bool}) –
+@end itemize
+
@end deffn
-@geindex __loadFromPath() (pyGHDL.dom.NonStandard.Document method)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __loadFromPath}@anchor{781}
-@deffn {Method} __loadFromPath ()
+@geindex _parent (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _parent}@anchor{5b8}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
@geindex Architectures (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Architectures}@anchor{782}
-@deffn {Property} Architectures: List[pyVHDLModel.SyntaxModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Architectures}@anchor{5b9}
+@deffn {Property} Architectures: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Architecture}]]
Returns a list of all architecture declarations found in this document.
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
-
@end deffn
@geindex Configurations (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Configurations}@anchor{783}
-@deffn {Property} Configurations: List[pyVHDLModel.SyntaxModel.Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Configurations}@anchor{5ba}
+@deffn {Property} Configurations: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Configuration}]
Returns a list of all configuration declarations found in this document.
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
-
@end deffn
@geindex Contexts (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Contexts}@anchor{784}
-@deffn {Property} Contexts: List[pyVHDLModel.SyntaxModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Contexts}@anchor{5bb}
+@deffn {Property} Contexts: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Context}]
Returns a list of all context declarations found in this document.
+@end deffn
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
+@geindex DesignUnits (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document DesignUnits}@anchor{5bc}
+@deffn {Property} DesignUnits: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[DesignUnit@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.DesignUnit}]
+Returns a list of all design units declarations found in this document.
@end deffn
-@geindex Entities (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Entities}@anchor{785}
-@deffn {Property} Entities: List[pyVHDLModel.SyntaxModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
+@geindex Documentation (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Documentation}@anchor{5bd}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-Returns a list of all entity declarations found in this document.
+Returns a model entity’s associated documentation.
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex PackageBodies (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document PackageBodies}@anchor{786}
-@deffn {Property} PackageBodies: List[pyVHDLModel.SyntaxModel.PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
+@geindex Entities (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Entities}@anchor{5be}
+@deffn {Property} Entities: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Entity}]
-Returns a list of all package body declarations found in this document.
+Returns a list of all entity declarations found in this document.
+@end deffn
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
+@geindex PackageBodies (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document PackageBodies}@anchor{5bf}
+@deffn {Property} PackageBodies: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.PackageBody}]
+Returns a list of all package body declarations found in this document.
@end deffn
@geindex Packages (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Packages}@anchor{787}
-@deffn {Property} Packages: List[pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Packages}@anchor{5c0}
+@deffn {Property} Packages: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.Package}]
Returns a list of all package declarations found in this document.
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
-
@end deffn
@geindex Parent (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Parent}@anchor{788}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Parent}@anchor{5c1}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Path (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Path}@anchor{789}
-@deffn {Property} Path: pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
-
-@*Return type:
-Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
+@geindex VerificationModes (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document VerificationModes}@anchor{5c2}
+@deffn {Property} VerificationModes: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, VerificationMode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.PSLModel.html#pyVHDLModel.PSLModel.VerificationMode}]
+Returns a list of all verification mode declarations found in this document.
@end deffn
-@geindex VerificationUnits (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document VerificationUnits}@anchor{78a}
-@deffn {Property} VerificationUnits: List[pyVHDLModel.PSLModel.VerificationUnit@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.PSLModel.html#pyVHDLModel.PSLModel.VerificationUnit}]
-
-Returns a list of all configuration declarations found in this document.
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[VerificationUnit@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.PSLModel.html#pyVHDLModel.PSLModel.VerificationUnit}]
+@geindex VerificationProperties (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document VerificationProperties}@anchor{5c3}
+@deffn {Property} VerificationProperties: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, VerificationProperty@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.PSLModel.html#pyVHDLModel.PSLModel.VerificationProperty}]
+Returns a list of all verification property declarations found in this document.
@end deffn
-@geindex __loadFromString() (pyGHDL.dom.NonStandard.Document method)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __loadFromString}@anchor{78b}
-@deffn {Method} __loadFromString (sourceCode)
+@geindex VerificationUnits (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document VerificationUnits}@anchor{5c4}
+@deffn {Property} VerificationUnits: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, VerificationUnit@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.PSLModel.html#pyVHDLModel.PSLModel.VerificationUnit}]
+
+Returns a list of all verification unit declarations found in this document.
@end deffn
@geindex _path (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _path}@anchor{78c}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _path}@anchor{5c5}
@deffn {Attribute} _path: Path
path to the document. @code{None} if virtual document.
@end deffn
-@geindex _contexts (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _contexts}@anchor{78d}
-@deffn {Attribute} _contexts: List['Context']
+@geindex _designUnits (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _designUnits}@anchor{5c6}
+@deffn {Attribute} _designUnits: List[DesignUnit]
-List of all contexts defined in a document.
+List of all design units defined in a document.
@end deffn
-@geindex _configurations (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _configurations}@anchor{78e}
-@deffn {Attribute} _configurations: List['Configuration']
+@geindex _contexts (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _contexts}@anchor{5c7}
+@deffn {Attribute} _contexts: Dict[str, Context]
-List of all configurations defined in a document.
+Dictionary of all contexts defined in a document.
@end deffn
-@geindex _verificationUnits (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _verificationUnits}@anchor{78f}
-@deffn {Attribute} _verificationUnits: List['VerificationUnit']
+@geindex _configurations (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _configurations}@anchor{5c8}
+@deffn {Attribute} _configurations: Dict[str, Configuration]
-List of all PSL verification units defined in a document.
+Dictionary of all configurations defined in a document.
@end deffn
@geindex _entities (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _entities}@anchor{790}
-@deffn {Attribute} _entities: List['Entity']
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _entities}@anchor{5c9}
+@deffn {Attribute} _entities: Dict[str, Entity]
-List of all entities defined in a document.
+Dictionary of all entities defined in a document.
@end deffn
@geindex _architectures (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _architectures}@anchor{791}
-@deffn {Attribute} _architectures: List['Architecture']
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _architectures}@anchor{5ca}
+@deffn {Attribute} _architectures: Dict[str, Dict[str, Architecture]]
-List of all architectures defined in a document.
+Dictionary of all architectures defined in a document.
@end deffn
@geindex _packages (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packages}@anchor{792}
-@deffn {Attribute} _packages: List['Package']
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packages}@anchor{5cb}
+@deffn {Attribute} _packages: Dict[str, Package]
-List of all packages defined in a document.
+Dictionary of all packages defined in a document.
@end deffn
@geindex _packageBodies (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packageBodies}@anchor{793}
-@deffn {Attribute} _packageBodies: List['PackageBody']
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packageBodies}@anchor{5cc}
+@deffn {Attribute} _packageBodies: Dict[str, PackageBody]
-List of all package bodies defined in a document.
+Dictionary of all package bodies defined in a document.
@end deffn
-@geindex _parent (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _parent}@anchor{794}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _verificationUnits (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _verificationUnits}@anchor{5cd}
+@deffn {Attribute} _verificationUnits: Dict[str, VerificationUnit]
-Reference to a parent entity in the model.
+Dictionary of all PSL verification units defined in a document.
@end deffn
-@geindex translate() (pyGHDL.dom.NonStandard.Document method)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document translate}@anchor{795}
-@deffn {Method} translate ()
-@end deffn
+@geindex _verificationProperties (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _verificationProperties}@anchor{5ce}
+@deffn {Attribute} _verificationProperties: Dict[str, VerificationProperty]
-@geindex LibGHDLProcessingTime (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document LibGHDLProcessingTime}@anchor{796}
-@deffn {Property} LibGHDLProcessingTime: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+Dictionary of all PSL verification properties defined in a document.
+@end deffn
-@*Return type:
-float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@geindex _verificationModes (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _verificationModes}@anchor{5cf}
+@deffn {Attribute} _verificationModes: Dict[str, VerificationMode]
+Dictionary of all PSL verification modes defined in a document.
@end deffn
-@geindex DOMTranslationTime (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document DOMTranslationTime}@anchor{797}
-@deffn {Property} DOMTranslationTime: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
-
-@*Return type:
-float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@geindex _documentation (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _documentation}@anchor{5d0}
+@deffn {Attribute} _documentation: Nullable[str]
+The associated documentation of a model entity.
@end deffn
@end deffn
@@ -18849,651 +20048,823 @@ float@footnote{https://docs.python.org/3.6/library/functions.html#float}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom Object,pyGHDL dom PSL,pyGHDL dom NonStandard,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Object doc}@anchor{798}@anchor{pyGHDL/pyGHDL dom Object module-pyGHDL dom Object}@anchor{13}@anchor{pyGHDL/pyGHDL dom Object pyghdl-dom-object}@anchor{799}
-@subsection pyGHDL.dom.Object
+@anchor{pyGHDL/pyGHDL dom Object doc}@anchor{5d1}@anchor{pyGHDL/pyGHDL dom Object module-pyGHDL dom Object}@anchor{14}@anchor{pyGHDL/pyGHDL dom Object pyghdl-dom-object}@anchor{5d2}
+@subsection @code{pyGHDL.dom.Object}
@geindex module; pyGHDL.dom.Object
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{206,,Constant}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{5d3,,Constant}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{79a,,DeferredConstant}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{5d4,,DeferredConstant}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{207,,Variable}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{5d5,,Variable}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{79b,,SharedVariable}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{5d6,,SharedVariable}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{208,,Signal}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{5d7,,Signal}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{79c,,File}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{5d8,,File}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex Constant (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant}@anchor{206}
-@deffn {Class} pyGHDL.dom.Object.Constant (node, identifiers, subtype, defaultExpression)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant}@anchor{5d3}
+@deffn {Class} pyGHDL.dom.Object.Constant (node, identifiers, subtype, defaultExpression, documentation=None)
@subsubheading Inheritance
-@image{inheritance-97bbdea2dc6705aa4c4f2e14aa3b56553a47dba4,,,[graphviz],png}
+@image{inheritance-a5bea9944dfb463f9de9c0135fb3f6da4767b1e9,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Object.Constant class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant parse}@anchor{79d}
-@deffn {Method} classmethod parse (constantNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{206,,Constant}, @ref{79a,,DeferredConstant}]
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex DefaultExpression (pyGHDL.dom.Object.Constant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant DefaultExpression}@anchor{79e}
-@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Identifiers (pyGHDL.dom.Object.Constant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Identifiers}@anchor{79f}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@geindex __init__() (pyGHDL.dom.Object.Constant method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant __init__}@anchor{5d9}
+@deffn {Method} __init__ (node, identifiers, subtype, defaultExpression, documentation=None)
-Returns a model entity’s list of identifiers (name).
+Initializes a VHDL model entity.
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Parent (pyGHDL.dom.Object.Constant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Parent}@anchor{7a0}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-Returns a reference to the parent entity.
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@end deffn
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Position (pyGHDL.dom.Object.Constant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Position}@anchor{7a1}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-@ref{200,,Position}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _parent}@anchor{5da}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Subtype (pyGHDL.dom.Object.Constant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Subtype}@anchor{7a2}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex Documentation (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Documentation}@anchor{5db}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Object.Constant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _position}@anchor{7a3}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Identifiers}@anchor{5dc}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.Object.Constant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _subtype}@anchor{7a4}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant NormalizedIdentifiers}@anchor{5dd}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Object.Constant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _parent}@anchor{7a5}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Parent}@anchor{5de}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.Object.Constant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _identifiers}@anchor{7a6}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _identifiers}@anchor{5df}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.Object.Constant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _defaultExpression}@anchor{7a7}
-@deffn {Attribute} _defaultExpression: ExpressionUnion
+@geindex _normalizedIdentifiers (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _normalizedIdentifiers}@anchor{5e0}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
+
+A list of normalized (lower case) identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Object.Constant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _iirNode}@anchor{7a8}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _documentation}@anchor{5e1}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex DeferredConstant (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant}@anchor{79a}
-@deffn {Class} pyGHDL.dom.Object.DeferredConstant (node, identifiers, subtype)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant}@anchor{5d4}
+@deffn {Class} pyGHDL.dom.Object.DeferredConstant (node, identifiers, subtype, documentation=None)
@subsubheading Inheritance
-@image{inheritance-f4b4c316191cd181ca947d036b29bbb70ae920cb,,,[graphviz],png}
+@image{inheritance-af6fbbc50e4b1b43040eb61893d7614d60da7dc1,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Object.DeferredConstant class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant parse}@anchor{7a9}
-@deffn {Method} classmethod parse (constantNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{79a,,DeferredConstant}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex ConstantReference (pyGHDL.dom.Object.DeferredConstant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant ConstantReference}@anchor{7aa}
-@deffn {Property} ConstantReference: pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Object.DeferredConstant method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant __init__}@anchor{5e2}
+@deffn {Method} __init__ (node, identifiers, subtype, documentation=None)
-@geindex Identifiers (pyGHDL.dom.Object.DeferredConstant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Identifiers}@anchor{7ab}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+Initializes a VHDL model entity.
-Returns a model entity’s list of identifiers (name).
+@*Parameters:
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Object.DeferredConstant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Parent}@anchor{7ac}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-Returns a reference to the parent entity.
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Object.DeferredConstant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Position}@anchor{7ad}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _parent}@anchor{5e3}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Subtype (pyGHDL.dom.Object.DeferredConstant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Subtype}@anchor{7ae}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex Documentation (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Documentation}@anchor{5e4}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s associated documentation.
-@end deffn
+@*Returns:
+Associated documentation of a model entity.
-@geindex _position (pyGHDL.dom.Object.DeferredConstant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _position}@anchor{7af}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _constantReference (pyGHDL.dom.Object.DeferredConstant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _constantReference}@anchor{7b0}
-@deffn {Attribute} _constantReference: pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}
+@geindex Identifiers (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Identifiers}@anchor{5e5}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.Object.DeferredConstant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _subtype}@anchor{7b1}
-@deffn {Attribute} _subtype: SubtypeOrSymbol
+@geindex NormalizedIdentifiers (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant NormalizedIdentifiers}@anchor{5e6}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Object.DeferredConstant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _parent}@anchor{7b2}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Parent}@anchor{5e7}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.Object.DeferredConstant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _identifiers}@anchor{7b3}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _identifiers}@anchor{5e8}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Object.DeferredConstant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _iirNode}@anchor{7b4}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifiers (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _normalizedIdentifiers}@anchor{5e9}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
+
+A list of normalized (lower case) identifiers.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _documentation}@anchor{5ea}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex Variable (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable}@anchor{207}
-@deffn {Class} pyGHDL.dom.Object.Variable (node, identifiers, subtype, defaultExpression)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable}@anchor{5d5}
+@deffn {Class} pyGHDL.dom.Object.Variable (node, identifiers, subtype, defaultExpression, documentation=None)
@subsubheading Inheritance
-@image{inheritance-24732736c5ec9c18227d52b695bf966045df12dc,,,[graphviz],png}
+@image{inheritance-bf58688464468feb5f29441ff88956b0a445c481,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Object.Variable class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable parse}@anchor{7b5}
-@deffn {Method} classmethod parse (variableNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{207,,Variable}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex DefaultExpression (pyGHDL.dom.Object.Variable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable DefaultExpression}@anchor{7b6}
-@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Identifiers (pyGHDL.dom.Object.Variable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Identifiers}@anchor{7b7}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@geindex __init__() (pyGHDL.dom.Object.Variable method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable __init__}@anchor{5eb}
+@deffn {Method} __init__ (node, identifiers, subtype, defaultExpression, documentation=None)
-Returns a model entity’s list of identifiers (name).
+Initializes a VHDL model entity.
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Parent (pyGHDL.dom.Object.Variable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Parent}@anchor{7b8}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-Returns a reference to the parent entity.
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@end deffn
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex Position (pyGHDL.dom.Object.Variable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Position}@anchor{7b9}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@end deffn
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _parent}@anchor{5ec}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Subtype (pyGHDL.dom.Object.Variable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Subtype}@anchor{7ba}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex Documentation (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Documentation}@anchor{5ed}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Object.Variable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _position}@anchor{7bb}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Identifiers}@anchor{5ee}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.Object.Variable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _subtype}@anchor{7bc}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable NormalizedIdentifiers}@anchor{5ef}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Object.Variable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _parent}@anchor{7bd}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Parent}@anchor{5f0}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.Object.Variable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _identifiers}@anchor{7be}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _identifiers}@anchor{5f1}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.Object.Variable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _defaultExpression}@anchor{7bf}
-@deffn {Attribute} _defaultExpression: ExpressionUnion
+@geindex _normalizedIdentifiers (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _normalizedIdentifiers}@anchor{5f2}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
+
+A list of normalized (lower case) identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Object.Variable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _iirNode}@anchor{7c0}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _documentation}@anchor{5f3}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex SharedVariable (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable}@anchor{79b}
-@deffn {Class} pyGHDL.dom.Object.SharedVariable (node, identifiers, subtype)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable}@anchor{5d6}
+@deffn {Class} pyGHDL.dom.Object.SharedVariable (node, identifiers, subtype, documentation=None)
@subsubheading Inheritance
-@image{inheritance-ead9eac0a4895fe05e8aa3923e94e46ea8b93e30,,,[graphviz],png}
+@image{inheritance-f92b5d0c1d74213e1dddc96a0ed773d03cd8f817,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Object.SharedVariable class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable parse}@anchor{7c1}
-@deffn {Method} classmethod parse (variableNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{79b,,SharedVariable}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex Identifiers (pyGHDL.dom.Object.SharedVariable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Identifiers}@anchor{7c2}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-Returns a model entity’s list of identifiers (name).
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@geindex __init__() (pyGHDL.dom.Object.SharedVariable method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable __init__}@anchor{5f4}
+@deffn {Method} __init__ (node, identifiers, subtype, documentation=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Parent (pyGHDL.dom.Object.SharedVariable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Parent}@anchor{7c3}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex Position (pyGHDL.dom.Object.SharedVariable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Position}@anchor{7c4}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Subtype (pyGHDL.dom.Object.SharedVariable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Subtype}@anchor{7c5}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex _parent (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _parent}@anchor{5f5}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex Documentation (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Documentation}@anchor{5f6}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Object.SharedVariable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _position}@anchor{7c6}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Identifiers}@anchor{5f7}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.Object.SharedVariable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _subtype}@anchor{7c7}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable NormalizedIdentifiers}@anchor{5f8}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Object.SharedVariable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _parent}@anchor{7c8}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Parent}@anchor{5f9}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.Object.SharedVariable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _identifiers}@anchor{7c9}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _identifiers}@anchor{5fa}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Object.SharedVariable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _iirNode}@anchor{7ca}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifiers (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _normalizedIdentifiers}@anchor{5fb}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
+
+A list of normalized (lower case) identifiers.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _documentation}@anchor{5fc}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex Signal (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal}@anchor{208}
-@deffn {Class} pyGHDL.dom.Object.Signal (node, identifiers, subtype, defaultExpression)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal}@anchor{5d7}
+@deffn {Class} pyGHDL.dom.Object.Signal (node, identifiers, subtype, defaultExpression, documentation=None)
@subsubheading Inheritance
-@image{inheritance-8b7f4518220db4b299e273e50af3153260fffefe,,,[graphviz],png}
+@image{inheritance-771ed94c2d504151d16519b9f6bdf42500d16143,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
-@subsubheading Members
+@item
+@code{node} (@code{Iir}) –
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex parse() (pyGHDL.dom.Object.Signal class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal parse}@anchor{7cb}
-@deffn {Method} classmethod parse (signalNode)
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-@ref{208,,Signal}
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex DefaultExpression (pyGHDL.dom.Object.Signal property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal DefaultExpression}@anchor{7cc}
-@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@geindex __init__() (pyGHDL.dom.Object.Signal method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal __init__}@anchor{5fd}
+@deffn {Method} __init__ (node, identifiers, subtype, defaultExpression, documentation=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Identifiers (pyGHDL.dom.Object.Signal property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Identifiers}@anchor{7cd}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@*Parameters:
-Returns a model entity’s list of identifiers (name).
+@itemize *
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex Parent (pyGHDL.dom.Object.Signal property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Parent}@anchor{7ce}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-Returns a reference to the parent entity.
+@item
+@code{defaultExpression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Object.Signal property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Position}@anchor{7cf}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _parent}@anchor{5fe}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Subtype (pyGHDL.dom.Object.Signal property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Subtype}@anchor{7d0}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex Documentation (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Documentation}@anchor{5ff}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Object.Signal attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _position}@anchor{7d1}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Identifiers}@anchor{600}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.Object.Signal attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _subtype}@anchor{7d2}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal NormalizedIdentifiers}@anchor{601}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Object.Signal attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _parent}@anchor{7d3}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Parent}@anchor{602}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.Object.Signal attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _identifiers}@anchor{7d4}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _identifiers}@anchor{603}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.Object.Signal attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _defaultExpression}@anchor{7d5}
-@deffn {Attribute} _defaultExpression: ExpressionUnion
+@geindex _normalizedIdentifiers (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _normalizedIdentifiers}@anchor{604}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
+
+A list of normalized (lower case) identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Object.Signal attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _iirNode}@anchor{7d6}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _documentation}@anchor{605}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex File (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File}@anchor{79c}
-@deffn {Class} pyGHDL.dom.Object.File (node, identifiers, subtype)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File}@anchor{5d8}
+@deffn {Class} pyGHDL.dom.Object.File (node, identifiers, subtype, documentation=None)
@subsubheading Inheritance
-@image{inheritance-b4482708c00370f6aabafff33ac35719b054a165,,,[graphviz],png}
+@image{inheritance-09612fe36a493844a7df4bfa2c886f8ba3069e36,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Object.File class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File parse}@anchor{7d7}
-@deffn {Method} classmethod parse (fileNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{79c,,File}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@end deffn
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex Identifiers (pyGHDL.dom.Object.File property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Identifiers}@anchor{7d8}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-Returns a model entity’s list of identifiers (name).
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@geindex __init__() (pyGHDL.dom.Object.File method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File __init__}@anchor{606}
+@deffn {Method} __init__ (node, identifiers, subtype, documentation=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Parent (pyGHDL.dom.Object.File property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Parent}@anchor{7d9}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex Position (pyGHDL.dom.Object.File property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Position}@anchor{7da}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
+
+@end deffn
+@geindex _parent (pyGHDL.dom.Object.File attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _parent}@anchor{607}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex Subtype (pyGHDL.dom.Object.File property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Subtype}@anchor{7db}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex Documentation (pyGHDL.dom.Object.File property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Documentation}@anchor{608}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Object.File attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _position}@anchor{7dc}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Identifiers (pyGHDL.dom.Object.File property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Identifiers}@anchor{609}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
+
@end deffn
-@geindex _subtype (pyGHDL.dom.Object.File attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _subtype}@anchor{7dd}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@geindex NormalizedIdentifiers (pyGHDL.dom.Object.File property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File NormalizedIdentifiers}@anchor{60a}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+Returns a model entity’s tuple of normalized identifiers (lower case names).
+
+@*Returns:
+Tuple of normalized identifiers.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Object.File attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _parent}@anchor{7de}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Object.File property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Parent}@anchor{60b}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.Object.File attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _identifiers}@anchor{7df}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _identifiers}@anchor{60c}
+@deffn {Attribute} _identifiers: Tuple[str]
A list of identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Object.File attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _iirNode}@anchor{7e0}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifiers (pyGHDL.dom.Object.File attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _normalizedIdentifiers}@anchor{60d}
+@deffn {Attribute} _normalizedIdentifiers: Tuple[str]
+
+A list of normalized (lower case) identifiers.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Object.File attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _documentation}@anchor{60e}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@@ -19506,20 +20877,24 @@ A list of identifiers.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom PSL,pyGHDL dom Range,pyGHDL dom Object,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom PSL doc}@anchor{7e1}@anchor{pyGHDL/pyGHDL dom PSL module-pyGHDL dom PSL}@anchor{14}@anchor{pyGHDL/pyGHDL dom PSL pyghdl-dom-psl}@anchor{7e2}
-@subsection pyGHDL.dom.PSL
+@anchor{pyGHDL/pyGHDL dom PSL doc}@anchor{60f}@anchor{pyGHDL/pyGHDL dom PSL module-pyGHDL dom PSL}@anchor{15}@anchor{pyGHDL/pyGHDL dom PSL pyghdl-dom-psl}@anchor{610}
+@subsection @code{pyGHDL.dom.PSL}
@geindex module; pyGHDL.dom.PSL
@@ -19529,340 +20904,824 @@ This module contains all DOM classes for VHDL’s design units (@code{context},
@code{package body}, @code{context} and
@code{configuration}.
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{7e3,,VerificationUnit}:
+@ref{611,,VerificationUnit}:
A @code{PrimaryUnit} is a base-class for all primary units.
@item
-@ref{7e4,,VerificationProperty}:
+@ref{612,,VerificationProperty}:
A @code{PrimaryUnit} is a base-class for all primary units.
@item
-@ref{7e5,,VerificationMode}:
+@ref{613,,VerificationMode}:
A @code{PrimaryUnit} is a base-class for all primary units.
@item
-@ref{7e6,,DefaultClock}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{614,,DefaultClock}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex VerificationUnit (class in pyGHDL.dom.PSL)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit}@anchor{7e3}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit}@anchor{611}
@deffn {Class} pyGHDL.dom.PSL.VerificationUnit (node, identifier)
@subsubheading Inheritance
-@image{inheritance-3ba69ad39d056113df31306b57d60b7bf2b7fd67,,,[graphviz],png}
+@image{inheritance-c76502dfc5c629bca46d3ae2c20d344f716d2201,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.PSL.VerificationUnit method)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit __init__}@anchor{615}
+@deffn {Method} __init__ (node, identifier)
+
+Initializes a design unit.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Identifier (name) of the design unit.
+
+@item
+@code{contextItems} – A sequence of library, use or context clauses.
+
+@item
+@code{documentation} – Associated documentation of the design unit.
+
+@item
+@code{node} (@code{Iir}) –
+@end itemize
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _parent}@anchor{616}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex ContextItems (pyGHDL.dom.PSL.VerificationUnit property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit ContextItems}@anchor{617}
+@deffn {Property} ContextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{307,,LibraryClause} | @ref{308,,UseClause} | @ref{309,,ContextReference}]
-@subsubheading Members
+Read-only property to access the sequence of all context items comprising library, use and context clauses
+(@ref{618,,_contextItems}).
+@*Returns:
+Sequence of context items.
+
+@end deffn
+
+@geindex ContextReferences (pyGHDL.dom.PSL.VerificationUnit property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit ContextReferences}@anchor{619}
+@deffn {Property} ContextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
+
+Read-only property to access the sequence of context clauses (@ref{61a,,_contextReferences}).
+
+@*Returns:
+Sequence of context clauses.
+
+@end deffn
+
+@geindex Documentation (pyGHDL.dom.PSL.VerificationUnit property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Documentation}@anchor{61b}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
-@geindex parse() (pyGHDL.dom.PSL.VerificationUnit class method)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit parse}@anchor{7e7}
-@deffn {Method} classmethod parse (vunitNode)
@end deffn
@geindex Identifier (pyGHDL.dom.PSL.VerificationUnit property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Identifier}@anchor{7e8}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Identifier}@anchor{61c}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Library (pyGHDL.dom.PSL.VerificationUnit property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Library}@anchor{7e9}
-@deffn {Property} Library: @ref{391,,Library}
+@geindex LibraryReferences (pyGHDL.dom.PSL.VerificationUnit property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit LibraryReferences}@anchor{61d}
+@deffn {Property} LibraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
-@*Return type:
-@ref{391,,Library}
+Read-only property to access the sequence of library clauses (@ref{61e,,_libraryReferences}).
+
+@*Returns:
+Sequence of library clauses.
+
+@end deffn
+
+@geindex NormalizedIdentifier (pyGHDL.dom.PSL.VerificationUnit property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit NormalizedIdentifier}@anchor{61f}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
+@end deffn
+
+@geindex PackageReferences (pyGHDL.dom.PSL.VerificationUnit property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit PackageReferences}@anchor{620}
+@deffn {Property} PackageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
+
+Read-only property to access the sequence of use clauses (@ref{621,,_packageReferences}).
+
+@*Returns:
+Sequence of use clauses.
@end deffn
@geindex Parent (pyGHDL.dom.PSL.VerificationUnit property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Parent}@anchor{7ea}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Parent}@anchor{622}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.PSL.VerificationUnit property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Position}@anchor{7eb}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex _library (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _library}@anchor{623}
+@deffn {Attribute} _library: Library
-@*Return type:
-@ref{200,,Position}
+The VHDL library, the design unit was analyzed into.
+@end deffn
+
+@geindex _contextItems (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _contextItems}@anchor{618}
+@deffn {Attribute} _contextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextUnion]
+List of all context items (library, use and context clauses).
@end deffn
-@geindex _position (pyGHDL.dom.PSL.VerificationUnit attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _position}@anchor{7ec}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _libraryReferences (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _libraryReferences}@anchor{61e}
+@deffn {Attribute} _libraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause]
+
+List of library clauses.
@end deffn
-@geindex _parent (pyGHDL.dom.PSL.VerificationUnit attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _parent}@anchor{7ed}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _packageReferences (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _packageReferences}@anchor{621}
+@deffn {Attribute} _packageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause]
-Reference to a parent entity in the model.
+List of use clauses.
+@end deffn
+
+@geindex _contextReferences (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _contextReferences}@anchor{61a}
+@deffn {Attribute} _contextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference]
+
+List of context clauses.
+@end deffn
+
+@geindex _referencedLibraries (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _referencedLibraries}@anchor{624}
+@deffn {Attribute} _referencedLibraries: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Library]
+
+Referenced libraries based on explicit library clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedPackages (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _referencedPackages}@anchor{625}
+@deffn {Attribute} _referencedPackages: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Package]]
+
+Referenced packages based on explicit use clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedContexts (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _referencedContexts}@anchor{626}
+@deffn {Attribute} _referencedContexts: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Context]
+
+Referenced contexts based on explicit context references or implicit inheritance
+@end deffn
+
+@geindex _dependencyVertex (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _dependencyVertex}@anchor{627}
+@deffn {Attribute} _dependencyVertex: @code{Vertex}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, DesignUnit, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+The vertex in the dependency graph
+@end deffn
+
+@geindex _hierarchyVertex (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _hierarchyVertex}@anchor{628}
+@deffn {Attribute} _hierarchyVertex: @code{Vertex}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, DesignUnit, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+The vertex in the hierarchy graph
@end deffn
@geindex _identifier (pyGHDL.dom.PSL.VerificationUnit attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _identifier}@anchor{7ee}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _identifier}@anchor{629}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.PSL.VerificationUnit attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _iirNode}@anchor{7ef}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _normalizedIdentifier}@anchor{62a}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _documentation}@anchor{62b}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex VerificationProperty (class in pyGHDL.dom.PSL)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty}@anchor{7e4}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty}@anchor{612}
@deffn {Class} pyGHDL.dom.PSL.VerificationProperty (node, identifier)
@subsubheading Inheritance
-@image{inheritance-9250f1476f82e06a2011292e1677f62fc7432333,,,[graphviz],png}
+@image{inheritance-ac862b7ba53d6be9632b17775a02e9c420e30ea0,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.PSL.VerificationProperty method)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty __init__}@anchor{62c}
+@deffn {Method} __init__ (node, identifier)
+
+Initializes a design unit.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Identifier (name) of the design unit.
+
+@item
+@code{contextItems} – A sequence of library, use or context clauses.
+
+@item
+@code{documentation} – Associated documentation of the design unit.
+
+@item
+@code{node} (@code{Iir}) –
+@end itemize
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _parent}@anchor{62d}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex ContextItems (pyGHDL.dom.PSL.VerificationProperty property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty ContextItems}@anchor{62e}
+@deffn {Property} ContextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{307,,LibraryClause} | @ref{308,,UseClause} | @ref{309,,ContextReference}]
+
+Read-only property to access the sequence of all context items comprising library, use and context clauses
+(@ref{62f,,_contextItems}).
+
+@*Returns:
+Sequence of context items.
+
+@end deffn
+
+@geindex ContextReferences (pyGHDL.dom.PSL.VerificationProperty property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty ContextReferences}@anchor{630}
+@deffn {Property} ContextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
+
+Read-only property to access the sequence of context clauses (@ref{631,,_contextReferences}).
+
+@*Returns:
+Sequence of context clauses.
+
+@end deffn
+
+@geindex Documentation (pyGHDL.dom.PSL.VerificationProperty property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Documentation}@anchor{632}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@subsubheading Members
+Returns a model entity’s associated documentation.
+@*Returns:
+Associated documentation of a model entity.
-@geindex parse() (pyGHDL.dom.PSL.VerificationProperty class method)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty parse}@anchor{7f0}
-@deffn {Method} classmethod parse (vpropNode)
@end deffn
@geindex Identifier (pyGHDL.dom.PSL.VerificationProperty property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Identifier}@anchor{7f1}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Identifier}@anchor{633}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Library (pyGHDL.dom.PSL.VerificationProperty property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Library}@anchor{7f2}
-@deffn {Property} Library: @ref{391,,Library}
+@geindex LibraryReferences (pyGHDL.dom.PSL.VerificationProperty property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty LibraryReferences}@anchor{634}
+@deffn {Property} LibraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
-@*Return type:
-@ref{391,,Library}
+Read-only property to access the sequence of library clauses (@ref{635,,_libraryReferences}).
+
+@*Returns:
+Sequence of library clauses.
+
+@end deffn
+
+@geindex NormalizedIdentifier (pyGHDL.dom.PSL.VerificationProperty property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty NormalizedIdentifier}@anchor{636}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
+@end deffn
+
+@geindex PackageReferences (pyGHDL.dom.PSL.VerificationProperty property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty PackageReferences}@anchor{637}
+@deffn {Property} PackageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
+
+Read-only property to access the sequence of use clauses (@ref{638,,_packageReferences}).
+
+@*Returns:
+Sequence of use clauses.
@end deffn
@geindex Parent (pyGHDL.dom.PSL.VerificationProperty property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Parent}@anchor{7f3}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Parent}@anchor{639}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.PSL.VerificationProperty property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Position}@anchor{7f4}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex _library (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _library}@anchor{63a}
+@deffn {Attribute} _library: Library
-@*Return type:
-@ref{200,,Position}
+The VHDL library, the design unit was analyzed into.
+@end deffn
+@geindex _contextItems (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _contextItems}@anchor{62f}
+@deffn {Attribute} _contextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextUnion]
+
+List of all context items (library, use and context clauses).
@end deffn
-@geindex _position (pyGHDL.dom.PSL.VerificationProperty attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _position}@anchor{7f5}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _libraryReferences (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _libraryReferences}@anchor{635}
+@deffn {Attribute} _libraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause]
+
+List of library clauses.
@end deffn
-@geindex _parent (pyGHDL.dom.PSL.VerificationProperty attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _parent}@anchor{7f6}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _packageReferences (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _packageReferences}@anchor{638}
+@deffn {Attribute} _packageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause]
-Reference to a parent entity in the model.
+List of use clauses.
+@end deffn
+
+@geindex _contextReferences (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _contextReferences}@anchor{631}
+@deffn {Attribute} _contextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference]
+
+List of context clauses.
+@end deffn
+
+@geindex _referencedLibraries (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _referencedLibraries}@anchor{63b}
+@deffn {Attribute} _referencedLibraries: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Library]
+
+Referenced libraries based on explicit library clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedPackages (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _referencedPackages}@anchor{63c}
+@deffn {Attribute} _referencedPackages: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Package]]
+
+Referenced packages based on explicit use clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedContexts (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _referencedContexts}@anchor{63d}
+@deffn {Attribute} _referencedContexts: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Context]
+
+Referenced contexts based on explicit context references or implicit inheritance
+@end deffn
+
+@geindex _dependencyVertex (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _dependencyVertex}@anchor{63e}
+@deffn {Attribute} _dependencyVertex: @code{Vertex}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, DesignUnit, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+The vertex in the dependency graph
+@end deffn
+
+@geindex _hierarchyVertex (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _hierarchyVertex}@anchor{63f}
+@deffn {Attribute} _hierarchyVertex: @code{Vertex}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, DesignUnit, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+The vertex in the hierarchy graph
@end deffn
@geindex _identifier (pyGHDL.dom.PSL.VerificationProperty attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _identifier}@anchor{7f7}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _identifier}@anchor{640}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.PSL.VerificationProperty attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _iirNode}@anchor{7f8}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _normalizedIdentifier}@anchor{641}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _documentation}@anchor{642}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex VerificationMode (class in pyGHDL.dom.PSL)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode}@anchor{7e5}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode}@anchor{613}
@deffn {Class} pyGHDL.dom.PSL.VerificationMode (node, identifier)
@subsubheading Inheritance
-@image{inheritance-4fd3da11368c1432bc49f64530bd5713a3d5323a,,,[graphviz],png}
+@image{inheritance-1db36fd725ace0579a796ccd997853f4c407f036,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
-@subsubheading Members
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex parse() (pyGHDL.dom.PSL.VerificationMode class method)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode parse}@anchor{7f9}
-@deffn {Method} classmethod parse (vmodeNode)
+@geindex __init__() (pyGHDL.dom.PSL.VerificationMode method)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode __init__}@anchor{643}
+@deffn {Method} __init__ (node, identifier)
+
+Initializes a design unit.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Identifier (name) of the design unit.
+
+@item
+@code{contextItems} – A sequence of library, use or context clauses.
+
+@item
+@code{documentation} – Associated documentation of the design unit.
+
+@item
+@code{node} (@code{Iir}) –
+@end itemize
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _parent}@anchor{644}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex ContextItems (pyGHDL.dom.PSL.VerificationMode property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode ContextItems}@anchor{645}
+@deffn {Property} ContextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[@ref{307,,LibraryClause} | @ref{308,,UseClause} | @ref{309,,ContextReference}]
+
+Read-only property to access the sequence of all context items comprising library, use and context clauses
+(@ref{646,,_contextItems}).
+
+@*Returns:
+Sequence of context items.
+
+@end deffn
+
+@geindex ContextReferences (pyGHDL.dom.PSL.VerificationMode property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode ContextReferences}@anchor{647}
+@deffn {Property} ContextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.ContextReference}]
+
+Read-only property to access the sequence of context clauses (@ref{648,,_contextReferences}).
+
+@*Returns:
+Sequence of context clauses.
+
+@end deffn
+
+@geindex Documentation (pyGHDL.dom.PSL.VerificationMode property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Documentation}@anchor{649}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
+
@end deffn
@geindex Identifier (pyGHDL.dom.PSL.VerificationMode property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Identifier}@anchor{7fa}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Identifier}@anchor{64a}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
Returns a model entity’s identifier (name).
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Library (pyGHDL.dom.PSL.VerificationMode property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Library}@anchor{7fb}
-@deffn {Property} Library: @ref{391,,Library}
+@geindex LibraryReferences (pyGHDL.dom.PSL.VerificationMode property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode LibraryReferences}@anchor{64b}
+@deffn {Property} LibraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.LibraryClause}]
-@*Return type:
-@ref{391,,Library}
+Read-only property to access the sequence of library clauses (@ref{64c,,_libraryReferences}).
+
+@*Returns:
+Sequence of library clauses.
+
+@end deffn
+
+@geindex NormalizedIdentifier (pyGHDL.dom.PSL.VerificationMode property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode NormalizedIdentifier}@anchor{64d}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
+@end deffn
+
+@geindex PackageReferences (pyGHDL.dom.PSL.VerificationMode property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode PackageReferences}@anchor{64e}
+@deffn {Property} PackageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.DesignUnit.html#pyVHDLModel.DesignUnit.UseClause}]
+
+Read-only property to access the sequence of use clauses (@ref{64f,,_packageReferences}).
+
+@*Returns:
+Sequence of use clauses.
@end deffn
@geindex Parent (pyGHDL.dom.PSL.VerificationMode property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Parent}@anchor{7fc}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Parent}@anchor{650}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.PSL.VerificationMode property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Position}@anchor{7fd}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex _library (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _library}@anchor{651}
+@deffn {Attribute} _library: Library
-@*Return type:
-@ref{200,,Position}
+The VHDL library, the design unit was analyzed into.
+@end deffn
+
+@geindex _contextItems (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _contextItems}@anchor{646}
+@deffn {Attribute} _contextItems: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextUnion]
+List of all context items (library, use and context clauses).
@end deffn
-@geindex _position (pyGHDL.dom.PSL.VerificationMode attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _position}@anchor{7fe}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _libraryReferences (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _libraryReferences}@anchor{64c}
+@deffn {Attribute} _libraryReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[LibraryClause]
+
+List of library clauses.
@end deffn
-@geindex _parent (pyGHDL.dom.PSL.VerificationMode attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _parent}@anchor{7ff}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _packageReferences (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _packageReferences}@anchor{64f}
+@deffn {Attribute} _packageReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[UseClause]
-Reference to a parent entity in the model.
+List of use clauses.
+@end deffn
+
+@geindex _contextReferences (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _contextReferences}@anchor{648}
+@deffn {Attribute} _contextReferences: List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[ContextReference]
+
+List of context clauses.
+@end deffn
+
+@geindex _referencedLibraries (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _referencedLibraries}@anchor{652}
+@deffn {Attribute} _referencedLibraries: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Library]
+
+Referenced libraries based on explicit library clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedPackages (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _referencedPackages}@anchor{653}
+@deffn {Attribute} _referencedPackages: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Package]]
+
+Referenced packages based on explicit use clauses or implicit inheritance
+@end deffn
+
+@geindex _referencedContexts (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _referencedContexts}@anchor{654}
+@deffn {Attribute} _referencedContexts: Dict@footnote{https://docs.python.org/3/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, Context]
+
+Referenced contexts based on explicit context references or implicit inheritance
+@end deffn
+
+@geindex _dependencyVertex (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _dependencyVertex}@anchor{655}
+@deffn {Attribute} _dependencyVertex: @code{Vertex}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, DesignUnit, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+The vertex in the dependency graph
+@end deffn
+
+@geindex _hierarchyVertex (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _hierarchyVertex}@anchor{656}
+@deffn {Attribute} _hierarchyVertex: @code{Vertex}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}, DesignUnit, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+The vertex in the hierarchy graph
@end deffn
@geindex _identifier (pyGHDL.dom.PSL.VerificationMode attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _identifier}@anchor{800}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _identifier}@anchor{657}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.PSL.VerificationMode attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _iirNode}@anchor{801}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _normalizedIdentifier}@anchor{658}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _documentation}@anchor{659}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex DefaultClock (class in pyGHDL.dom.PSL)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock}@anchor{7e6}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock}@anchor{614}
@deffn {Class} pyGHDL.dom.PSL.DefaultClock (node, identifier)
@subsubheading Inheritance
-@image{inheritance-42def4283b0e718cdc38b50a312282189df5d881,,,[graphviz],png}
+@image{inheritance-edfb11d6eebcf24ac38dc60f62a2dc699f988ccc,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
-@subsubheading Members
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.PSL.DefaultClock method)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock __init__}@anchor{65a}
+@deffn {Method} __init__ (node, identifier)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.PSL.DefaultClock attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _parent}@anchor{65b}
+@deffn {Attribute} _parent: ModelEntity
-@geindex parse() (pyGHDL.dom.PSL.DefaultClock class method)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock parse}@anchor{802}
-@deffn {Method} classmethod parse (defaultClockNode)
+Reference to a parent entity in the model.
@end deffn
@geindex Identifier (pyGHDL.dom.PSL.DefaultClock property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Identifier}@anchor{803}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Identifier}@anchor{65c}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Parent (pyGHDL.dom.PSL.DefaultClock property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Parent}@anchor{804}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex NormalizedIdentifier (pyGHDL.dom.PSL.DefaultClock property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock NormalizedIdentifier}@anchor{65d}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-Returns a reference to the parent entity.
+Returns a model entity’s normalized identifier (lower case name).
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Normalized name of a model entity.
@end deffn
-@geindex Position (pyGHDL.dom.PSL.DefaultClock property)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Position}@anchor{805}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Parent (pyGHDL.dom.PSL.DefaultClock property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Parent}@anchor{65e}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-@ref{200,,Position}
+Returns a reference to the parent entity.
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _position (pyGHDL.dom.PSL.DefaultClock attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _position}@anchor{806}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex _identifier (pyGHDL.dom.PSL.DefaultClock attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _identifier}@anchor{807}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _identifier}@anchor{65f}
+@deffn {Attribute} _identifier: str
-@geindex _parent (pyGHDL.dom.PSL.DefaultClock attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _parent}@anchor{808}
-@deffn {Attribute} _parent: ModelEntity
-
-Reference to a parent entity in the model.
+The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.PSL.DefaultClock attribute)
-@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _iirNode}@anchor{809}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.PSL.DefaultClock attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _normalizedIdentifier}@anchor{660}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
@end deffn
@end deffn
@@ -19875,107 +21734,116 @@ Reference to a parent entity in the model.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom Range,pyGHDL dom Sequential,pyGHDL dom PSL,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Range doc}@anchor{80a}@anchor{pyGHDL/pyGHDL dom Range module-pyGHDL dom Range}@anchor{15}@anchor{pyGHDL/pyGHDL dom Range pyghdl-dom-range}@anchor{80b}
-@subsection pyGHDL.dom.Range
+@anchor{pyGHDL/pyGHDL dom Range doc}@anchor{661}@anchor{pyGHDL/pyGHDL dom Range module-pyGHDL dom Range}@anchor{16}@anchor{pyGHDL/pyGHDL dom Range pyghdl-dom-range}@anchor{662}
+@subsection @code{pyGHDL.dom.Range}
@geindex module; pyGHDL.dom.Range
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{21a,,Range}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{243,,Range}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex Range (class in pyGHDL.dom.Range)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range}@anchor{21a}
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range}@anchor{243}
@deffn {Class} pyGHDL.dom.Range.Range (leftBound, rightBound, direction)
@subsubheading Inheritance
-@image{inheritance-084916be6fd6336577cc9ad738a273976fcaaa6e,,,[graphviz],png}
+@image{inheritance-f6bc8b6da0c0b3a872c7a6fabf634702465a8f35,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Direction (pyGHDL.dom.Range.Range property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Direction}@anchor{80c}
-@deffn {Property} Direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+@item
+@code{leftBound} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+@item
+@code{rightBound} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{direction} (Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Direction}) –
+@end itemize
-@geindex LeftBound (pyGHDL.dom.Range.Range property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range LeftBound}@anchor{80d}
-@deffn {Property} LeftBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@geindex _parent (pyGHDL.dom.Range.Range attribute)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _parent}@anchor{663}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
@geindex Parent (pyGHDL.dom.Range.Range property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Parent}@anchor{80e}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Parent}@anchor{664}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex RightBound (pyGHDL.dom.Range.Range property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range RightBound}@anchor{80f}
-@deffn {Property} RightBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex __init__() (pyGHDL.dom.Range.Range method)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range __init__}@anchor{665}
+@deffn {Method} __init__ (leftBound, rightBound, direction)
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex _leftBound (pyGHDL.dom.Range.Range attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _leftBound}@anchor{810}
-@deffn {Attribute} _leftBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@itemize *
-@geindex _rightBound (pyGHDL.dom.Range.Range attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _rightBound}@anchor{811}
-@deffn {Attribute} _rightBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@item
+@code{leftBound} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{rightBound} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{direction} (Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Direction}) –
+@end itemize
-@geindex _direction (pyGHDL.dom.Range.Range attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _direction}@anchor{812}
-@deffn {Attribute} _direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
@end deffn
-@geindex _parent (pyGHDL.dom.Range.Range attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _parent}@anchor{813}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Range.Range method)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range __str__}@anchor{666}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-Reference to a parent entity in the model.
@end deffn
@end deffn
@@ -19988,1535 +21856,1852 @@ Reference to a parent entity in the model.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom Sequential,pyGHDL dom Subprogram,pyGHDL dom Range,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Sequential doc}@anchor{814}@anchor{pyGHDL/pyGHDL dom Sequential module-pyGHDL dom Sequential}@anchor{16}@anchor{pyGHDL/pyGHDL dom Sequential pyghdl-dom-sequential}@anchor{815}
-@subsection pyGHDL.dom.Sequential
+@anchor{pyGHDL/pyGHDL dom Sequential doc}@anchor{667}@anchor{pyGHDL/pyGHDL dom Sequential module-pyGHDL dom Sequential}@anchor{17}@anchor{pyGHDL/pyGHDL dom Sequential pyghdl-dom-sequential}@anchor{668}
+@subsection @code{pyGHDL.dom.Sequential}
@geindex module; pyGHDL.dom.Sequential
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{816,,IfBranch}:
+@ref{669,,IfBranch}:
A @code{Branch} is a base-class for all branches in a if statement.
@item
-@ref{817,,ElsifBranch}:
+@ref{66a,,ElsifBranch}:
A @code{Branch} is a base-class for all branches in a if statement.
@item
-@ref{818,,ElseBranch}:
+@ref{66b,,ElseBranch}:
A @code{Branch} is a base-class for all branches in a if statement.
@item
-@ref{819,,IfStatement}:
+@ref{66c,,IfStatement}:
A @code{CompoundStatement} is a base-class for all compound statements.
@item
-@ref{81a,,IndexedChoice}:
-A @code{SequentialChoice} is a base-class for all sequential choices
+@ref{66d,,IndexedChoice}:
+A @code{SequentialChoice} is a base-class for all sequential choices (in case statements).
@item
-@ref{81b,,RangedChoice}:
-A @code{SequentialChoice} is a base-class for all sequential choices
+@ref{66e,,RangedChoice}:
+A @code{SequentialChoice} is a base-class for all sequential choices (in case statements).
@item
-@ref{81c,,Case}:
+@ref{66f,,Case}:
A @code{Case} is a base-class for all cases.
@item
-@ref{81d,,OthersCase}:
+@ref{670,,OthersCase}:
A @code{Case} is a base-class for all cases.
@item
-@ref{81e,,CaseStatement}:
+@ref{671,,CaseStatement}:
A @code{CompoundStatement} is a base-class for all compound statements.
@item
-@ref{81f,,ForLoopStatement}:
+@ref{672,,ForLoopStatement}:
A @code{LoopStatement} is a base-class for all loop statements.
@item
-@ref{820,,SequentialSimpleSignalAssignment}:
+@ref{673,,SequentialSimpleSignalAssignment}:
A @code{SequentialStatement} is a base-class for all sequential statements.
@item
-@ref{821,,SequentialProcedureCall}:
+@ref{674,,SequentialProcedureCall}:
A @code{SequentialStatement} is a base-class for all sequential statements.
@item
-@ref{822,,SequentialAssertStatement}:
+@ref{675,,SequentialAssertStatement}:
A @code{SequentialStatement} is a base-class for all sequential statements.
@item
-@ref{823,,SequentialReportStatement}:
+@ref{676,,SequentialReportStatement}:
A @code{SequentialStatement} is a base-class for all sequential statements.
@item
-@ref{824,,NullStatement}:
+@ref{677,,NullStatement}:
A @code{SequentialStatement} is a base-class for all sequential statements.
@item
-@ref{825,,WaitStatement}:
+@ref{678,,WaitStatement}:
A @code{SequentialStatement} is a base-class for all sequential statements.
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex IfBranch (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch}@anchor{816}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch}@anchor{669}
@deffn {Class} pyGHDL.dom.Sequential.IfBranch (branchNode, condition, statements=None)
@subsubheading Inheritance
-@image{inheritance-2be1aa65d44c9d57ccd19c7f6837c79238c28640,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-4818b08fc1a12e8ff564db6c36275532c9ecb107,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.Sequential.IfBranch class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch parse}@anchor{826}
-@deffn {Method} classmethod parse (branchNode, label)
+@itemize *
-@*Return type:
-@ref{816,,IfBranch}
+@item
+@code{branchNode} (@code{Iir}) –
-@end deffn
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Condition (pyGHDL.dom.Sequential.IfBranch property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch Condition}@anchor{827}
-@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{]}) –
+@end itemize
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
-@end deffn
+@geindex __init__() (pyGHDL.dom.Sequential.IfBranch method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch __init__}@anchor{679}
+@deffn {Method} __init__ (branchNode, condition, statements=None)
-@geindex Parent (pyGHDL.dom.Sequential.IfBranch property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch Parent}@anchor{828}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes a VHDL model entity.
-Returns a reference to the parent entity.
+@*Parameters:
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-@end deffn
+@item
+@code{branchNode} (@code{Iir}) –
-@geindex Statements (pyGHDL.dom.Sequential.IfBranch property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch Statements}@anchor{829}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{]}) –
+@end itemize
@end deffn
@geindex _parent (pyGHDL.dom.Sequential.IfBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch _parent}@anchor{82a}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch _parent}@anchor{67a}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _statements (pyGHDL.dom.Sequential.IfBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch _statements}@anchor{82b}
-@deffn {Attribute} _statements: List[SequentialStatement]
-@end deffn
+@geindex Parent (pyGHDL.dom.Sequential.IfBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch Parent}@anchor{67b}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-@geindex _condition (pyGHDL.dom.Sequential.IfBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch _condition}@anchor{82c}
-@deffn {Attribute} _condition: ExpressionUnion
@end deffn
@end deffn
@geindex ElsifBranch (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch}@anchor{817}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch}@anchor{66a}
@deffn {Class} pyGHDL.dom.Sequential.ElsifBranch (branchNode, condition, statements=None)
@subsubheading Inheritance
-@image{inheritance-c08b2282cf1179440026facd8cef913033e74d13,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-8821c7be2ee4032cf91f9e5f07da21e66c7d73f4,,,[graphviz],png}
+@*Parameters:
-@geindex parse() (pyGHDL.dom.Sequential.ElsifBranch class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch parse}@anchor{82d}
-@deffn {Method} classmethod parse (branchNode, condition, label)
+@itemize *
-@*Return type:
-@ref{817,,ElsifBranch}
+@item
+@code{branchNode} (@code{Iir}) –
-@end deffn
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Condition (pyGHDL.dom.Sequential.ElsifBranch property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch Condition}@anchor{82e}
-@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{]}) –
+@end itemize
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
-@end deffn
+@geindex __init__() (pyGHDL.dom.Sequential.ElsifBranch method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch __init__}@anchor{67c}
+@deffn {Method} __init__ (branchNode, condition, statements=None)
-@geindex Parent (pyGHDL.dom.Sequential.ElsifBranch property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch Parent}@anchor{82f}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes a VHDL model entity.
-Returns a reference to the parent entity.
+@*Parameters:
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-@end deffn
+@item
+@code{branchNode} (@code{Iir}) –
-@geindex Statements (pyGHDL.dom.Sequential.ElsifBranch property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch Statements}@anchor{830}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{]}) –
+@end itemize
@end deffn
@geindex _parent (pyGHDL.dom.Sequential.ElsifBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch _parent}@anchor{831}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch _parent}@anchor{67d}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _statements (pyGHDL.dom.Sequential.ElsifBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch _statements}@anchor{832}
-@deffn {Attribute} _statements: List[SequentialStatement]
-@end deffn
+@geindex Parent (pyGHDL.dom.Sequential.ElsifBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch Parent}@anchor{67e}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-@geindex _condition (pyGHDL.dom.Sequential.ElsifBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch _condition}@anchor{833}
-@deffn {Attribute} _condition: ExpressionUnion
@end deffn
@end deffn
@geindex ElseBranch (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch}@anchor{818}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch}@anchor{66b}
@deffn {Class} pyGHDL.dom.Sequential.ElseBranch (branchNode, statements=None)
@subsubheading Inheritance
-@image{inheritance-8a178199051eb65d1d7be2fba1087471764199f6,,,[graphviz],png}
+@image{inheritance-78966a27530b7941e161c4bb588e71fa41974068,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Sequential.ElseBranch class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch parse}@anchor{834}
-@deffn {Method} classmethod parse (branchNode, label)
+@item
+@code{branchNode} (@code{Iir}) –
-@*Return type:
-@ref{818,,ElseBranch}
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{]}) –
+@end itemize
-@end deffn
-@geindex Parent (pyGHDL.dom.Sequential.ElseBranch property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch Parent}@anchor{835}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex __init__() (pyGHDL.dom.Sequential.ElseBranch method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch __init__}@anchor{67f}
+@deffn {Method} __init__ (branchNode, statements=None)
-Returns a reference to the parent entity.
+Initializes a VHDL model entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Statements (pyGHDL.dom.Sequential.ElseBranch property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch Statements}@anchor{836}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@item
+@code{branchNode} (@code{Iir}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{] }@code{| }@code{None}) –
+@end itemize
@end deffn
@geindex _parent (pyGHDL.dom.Sequential.ElseBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch _parent}@anchor{837}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch _parent}@anchor{680}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _statements (pyGHDL.dom.Sequential.ElseBranch attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch _statements}@anchor{838}
-@deffn {Attribute} _statements: List[SequentialStatement]
+@geindex Parent (pyGHDL.dom.Sequential.ElseBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch Parent}@anchor{681}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
@end deffn
@geindex IfStatement (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement}@anchor{819}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement}@anchor{66c}
@deffn {Class} pyGHDL.dom.Sequential.IfStatement (ifNode, ifBranch, elsifBranches=None, elseBranch=None, label=None)
@subsubheading Inheritance
-@image{inheritance-ad207fb4c082ac72d0a8939fd8f5c43e6f0b330d,,,[graphviz],png}
+@image{inheritance-8fed2fdfde8a2cb627d3edc4c68d28d04dcee02a,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Sequential.IfStatement class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement parse}@anchor{839}
-@deffn {Method} classmethod parse (ifNode, label)
+@item
+@code{ifNode} (@code{Iir}) –
-@*Return type:
-@ref{819,,IfStatement}
+@item
+@code{ifBranch} (@ref{669,,IfBranch}) –
-@end deffn
+@item
+@code{elsifBranches} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{66a,,ElsifBranch}@code{]}) –
-@geindex ElsIfBranches (pyGHDL.dom.Sequential.IfStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement ElsIfBranches}@anchor{83a}
-@deffn {Property} ElsIfBranches: List[pyVHDLModel.SyntaxModel.ElsifBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifBranch}]
+@item
+@code{elseBranch} (@ref{66b,,ElseBranch}) –
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ElsifBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifBranch}]
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex ElseBranch (pyGHDL.dom.Sequential.IfStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement ElseBranch}@anchor{83b}
-@deffn {Property} ElseBranch: pyVHDLModel.SyntaxModel.ElseBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseBranch}
+@geindex __init__() (pyGHDL.dom.Sequential.IfStatement method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement __init__}@anchor{682}
+@deffn {Method} __init__ (ifNode, ifBranch, elsifBranches=None, elseBranch=None, label=None)
-@*Return type:
-ElseBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseBranch}
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex IfBranch (pyGHDL.dom.Sequential.IfStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement IfBranch}@anchor{83c}
-@deffn {Property} IfBranch: pyVHDLModel.SyntaxModel.IfBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfBranch}
+@itemize *
-@*Return type:
-IfBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfBranch}
+@item
+@code{ifNode} (@code{Iir}) –
-@end deffn
+@item
+@code{ifBranch} (@ref{669,,IfBranch}) –
-@geindex Label (pyGHDL.dom.Sequential.IfStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Label}@anchor{83d}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{elsifBranches} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{66a,,ElsifBranch}@code{] }@code{| }@code{None}) –
-Returns a model entity’s label.
+@item
+@code{elseBranch} (@ref{66b,,ElseBranch}@code{ | }@code{None}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Parent (pyGHDL.dom.Sequential.IfStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Parent}@anchor{83e}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-
-Returns a reference to the parent entity.
-
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Sequential.IfStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _parent}@anchor{683}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Sequential.IfStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Position}@anchor{83f}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Label (pyGHDL.dom.Sequential.IfStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Label}@anchor{684}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Sequential.IfStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _position}@anchor{840}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
-@geindex _ifBranch (pyGHDL.dom.Sequential.IfStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _ifBranch}@anchor{841}
-@deffn {Attribute} _ifBranch: pyVHDLModel.SyntaxModel.IfBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfBranch}
-@end deffn
+@geindex NormalizedLabel (pyGHDL.dom.Sequential.IfStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement NormalizedLabel}@anchor{685}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex _elsifBranches (pyGHDL.dom.Sequential.IfStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _elsifBranches}@anchor{842}
-@deffn {Attribute} _elsifBranches: List[@ref{817,,pyGHDL.dom.Sequential.ElsifBranch}]
-@end deffn
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
-@geindex _elseBranch (pyGHDL.dom.Sequential.IfStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _elseBranch}@anchor{843}
-@deffn {Attribute} _elseBranch: pyVHDLModel.SyntaxModel.ElseBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseBranch}
@end deffn
-@geindex _parent (pyGHDL.dom.Sequential.IfStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _parent}@anchor{844}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Sequential.IfStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Parent}@anchor{686}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Sequential.IfStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _label}@anchor{845}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _label}@anchor{687}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Sequential.IfStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _iirNode}@anchor{846}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _normalizedLabel (pyGHDL.dom.Sequential.IfStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _normalizedLabel}@anchor{688}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex IndexedChoice (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice}@anchor{81a}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice}@anchor{66d}
@deffn {Class} pyGHDL.dom.Sequential.IndexedChoice (node, expression)
@subsubheading Inheritance
-@image{inheritance-ed62c5a9a3f3375c27889b85ba6c6b7e7beb87a8,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-b55984adb544bf985a765f4f8dd6ff6b544ac226,,,[graphviz],png}
+@*Parameters:
-@geindex Expression (pyGHDL.dom.Sequential.IndexedChoice property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice Expression}@anchor{847}
-@deffn {Property} Expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@itemize *
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex Parent (pyGHDL.dom.Sequential.IndexedChoice property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice Parent}@anchor{848}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@geindex __init__() (pyGHDL.dom.Sequential.IndexedChoice method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice __init__}@anchor{689}
+@deffn {Method} __init__ (node, expression)
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes a VHDL model entity.
-@end deffn
-
-@geindex Position (pyGHDL.dom.Sequential.IndexedChoice property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice Position}@anchor{849}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@*Parameters:
-@*Return type:
-@ref{200,,Position}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.Sequential.IndexedChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _position}@anchor{84a}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+@end itemize
-@geindex _expression (pyGHDL.dom.Sequential.IndexedChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _expression}@anchor{84b}
-@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Sequential.IndexedChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _parent}@anchor{84c}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _parent}@anchor{68a}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Sequential.IndexedChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _iirNode}@anchor{84d}
-@deffn {Attribute} _iirNode: Iir
+@geindex Parent (pyGHDL.dom.Sequential.IndexedChoice property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice Parent}@anchor{68b}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Sequential.IndexedChoice method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice __str__}@anchor{68c}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex RangedChoice (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice}@anchor{81b}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice}@anchor{66e}
@deffn {Class} pyGHDL.dom.Sequential.RangedChoice (node, rng)
@subsubheading Inheritance
-@image{inheritance-174fcdd88fc82348c5ada1a03de9e2f754824a86,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-3069dc6392605c71a9fd7476e62d6c462eaa63e4,,,[graphviz],png}
+@*Parameters:
-@geindex Parent (pyGHDL.dom.Sequential.RangedChoice property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice Parent}@anchor{84e}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-Returns a reference to the parent entity.
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{rng} (@ref{243,,Range}) –
+@end itemize
-@end deffn
-@geindex Position (pyGHDL.dom.Sequential.RangedChoice property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice Position}@anchor{84f}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex __init__() (pyGHDL.dom.Sequential.RangedChoice method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice __init__}@anchor{68d}
+@deffn {Method} __init__ (node, rng)
-@*Return type:
-@ref{200,,Position}
+Initializes a VHDL model entity.
-@end deffn
-
-@geindex Range (pyGHDL.dom.Sequential.RangedChoice property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice Range}@anchor{850}
-@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@*Parameters:
-@*Return type:
-Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.Sequential.RangedChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _position}@anchor{851}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
-@end deffn
+@item
+@code{rng} (@ref{243,,Range}) –
+@end itemize
-@geindex _range (pyGHDL.dom.Sequential.RangedChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _range}@anchor{852}
-@deffn {Attribute} _range: @ref{21a,,pyGHDL.dom.Range.Range}
@end deffn
@geindex _parent (pyGHDL.dom.Sequential.RangedChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _parent}@anchor{853}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _parent}@anchor{68e}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Sequential.RangedChoice attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _iirNode}@anchor{854}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
-@end deffn
-@end deffn
-
-@geindex Case (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case}@anchor{81c}
-@deffn {Class} pyGHDL.dom.Sequential.Case (node, choices, statements=None)
+@geindex Parent (pyGHDL.dom.Sequential.RangedChoice property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice Parent}@anchor{68f}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@subsubheading Inheritance
+Returns a reference to the parent entity.
-@image{inheritance-989341f2129660db05539a468deaf6ababecd789,,,[graphviz],png}
+@*Returns:
+Parent entity.
-@subsubheading Members
+@end deffn
+@geindex __str__() (pyGHDL.dom.Sequential.RangedChoice method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice __str__}@anchor{690}
+@deffn {Method} __str__ ()
-@geindex parse() (pyGHDL.dom.Sequential.Case class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case parse}@anchor{855}
-@deffn {Method} classmethod parse (caseNode, choices, label)
+Return str(self).
@*Return type:
-@ref{81c,,Case}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@end deffn
+@end deffn
-@geindex Choices (pyGHDL.dom.Sequential.Case property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Choices}@anchor{856}
-@deffn {Property} Choices: List[pyVHDLModel.SyntaxModel.SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialChoice}]
+@geindex Case (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case}@anchor{66f}
+@deffn {Class} pyGHDL.dom.Sequential.Case (node, choices, statements=None)
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialChoice}]
+@subsubheading Inheritance
-@end deffn
+@image{inheritance-c4ec02c3c95d2cd3b6d9870f208fc0e5059f6fd5,,,[graphviz],png}
-@geindex Parent (pyGHDL.dom.Sequential.Case property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Parent}@anchor{857}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-@end deffn
+@item
+@code{choices} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialChoice}@code{]}) –
-@geindex Position (pyGHDL.dom.Sequential.Case property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Position}@anchor{858}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{]}) –
+@end itemize
-@*Return type:
-@ref{200,,Position}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Sequential.Case method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case __init__}@anchor{691}
+@deffn {Method} __init__ (node, choices, statements=None)
-@geindex Statements (pyGHDL.dom.Sequential.Case property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Statements}@anchor{859}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+Initializes a VHDL model entity.
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@*Parameters:
-@end deffn
+@itemize *
-@geindex _position (pyGHDL.dom.Sequential.Case attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _position}@anchor{85a}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{choices} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialChoice}@code{]}) –
+
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{] }@code{| }@code{None}) –
+@end itemize
-@geindex _choices (pyGHDL.dom.Sequential.Case attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _choices}@anchor{85b}
-@deffn {Attribute} _choices: List[pyVHDLModel.SyntaxModel.SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialChoice}]
@end deffn
@geindex _parent (pyGHDL.dom.Sequential.Case attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _parent}@anchor{85c}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _parent}@anchor{692}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _statements (pyGHDL.dom.Sequential.Case attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _statements}@anchor{85d}
-@deffn {Attribute} _statements: List[SequentialStatement]
+@geindex Parent (pyGHDL.dom.Sequential.Case property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Parent}@anchor{693}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _iirNode (pyGHDL.dom.Sequential.Case attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _iirNode}@anchor{85e}
-@deffn {Attribute} _iirNode: Iir
+@geindex __str__() (pyGHDL.dom.Sequential.Case method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case __str__}@anchor{694}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@geindex OthersCase (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase}@anchor{81d}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase}@anchor{670}
@deffn {Class} pyGHDL.dom.Sequential.OthersCase (caseNode, statements=None)
@subsubheading Inheritance
-@image{inheritance-8001d33ed8be06c6fa40b553f427210b3d8db97b,,,[graphviz],png}
+@image{inheritance-572240624be37dea35f7a6f10d4c5eeb6527fcf4,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Sequential.OthersCase class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase parse}@anchor{85f}
-@deffn {Method} classmethod parse (caseNode, label=None)
+@item
+@code{caseNode} (@code{Iir}) –
-@*Return type:
-@ref{81d,,OthersCase}
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{]}) –
+@end itemize
-@end deffn
-@geindex Choices (pyGHDL.dom.Sequential.OthersCase property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Choices}@anchor{860}
-@deffn {Property} Choices: List[pyVHDLModel.SyntaxModel.Choice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Choice}]
+@geindex __init__() (pyGHDL.dom.Sequential.OthersCase method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase __init__}@anchor{695}
+@deffn {Method} __init__ (caseNode, statements=None)
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Choice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Choice}]
+Initializes a VHDL model entity.
-@end deffn
+@*Parameters:
-@geindex Parent (pyGHDL.dom.Sequential.OthersCase property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Parent}@anchor{861}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-Returns a reference to the parent entity.
+@item
+@code{caseNode} (@code{Iir}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{] }@code{| }@code{None}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Sequential.OthersCase property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Position}@anchor{862}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Sequential.OthersCase attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _parent}@anchor{696}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Statements (pyGHDL.dom.Sequential.OthersCase property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Statements}@anchor{863}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@geindex Parent (pyGHDL.dom.Sequential.OthersCase property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Parent}@anchor{697}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _position (pyGHDL.dom.Sequential.OthersCase attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _position}@anchor{864}
-@deffn {Attribute} _position: @ref{200,,Position} = None
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _choices (pyGHDL.dom.Sequential.OthersCase attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _choices}@anchor{865}
-@deffn {Attribute} _choices: List
@end deffn
-@geindex _parent (pyGHDL.dom.Sequential.OthersCase attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _parent}@anchor{866}
-@deffn {Attribute} _parent: ModelEntity
+@geindex __str__() (pyGHDL.dom.Sequential.OthersCase method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase __str__}@anchor{698}
+@deffn {Method} __str__ ()
-Reference to a parent entity in the model.
-@end deffn
+Return str(self).
-@geindex _statements (pyGHDL.dom.Sequential.OthersCase attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _statements}@anchor{867}
-@deffn {Attribute} _statements: List[SequentialStatement]
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _iirNode (pyGHDL.dom.Sequential.OthersCase attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _iirNode}@anchor{868}
-@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex CaseStatement (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement}@anchor{81e}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement}@anchor{671}
@deffn {Class} pyGHDL.dom.Sequential.CaseStatement (caseNode, label, expression, cases)
@subsubheading Inheritance
-@image{inheritance-c0bf13d2467bf9318fc1c0ede0340c4cd8e47f85,,,[graphviz],png}
+@image{inheritance-69da7a54febba4b0e75e794c0b70968d0cda666e,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Sequential.CaseStatement class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement parse}@anchor{869}
-@deffn {Method} classmethod parse (caseNode, label)
+@item
+@code{caseNode} (@code{Iir}) –
-@*Return type:
-@ref{81e,,CaseStatement}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Cases (pyGHDL.dom.Sequential.CaseStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Cases}@anchor{86a}
-@deffn {Property} Cases: List[pyVHDLModel.SyntaxModel.SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialCase}]
+@item
+@code{cases} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialCase}@code{]}) –
+@end itemize
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialCase}]
-@end deffn
+@geindex __init__() (pyGHDL.dom.Sequential.CaseStatement method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement __init__}@anchor{699}
+@deffn {Method} __init__ (caseNode, label, expression, cases)
-@geindex Label (pyGHDL.dom.Sequential.CaseStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Label}@anchor{86b}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes a VHDL model entity.
-Returns a model entity’s label.
+@*Parameters:
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@itemize *
-@end deffn
+@item
+@code{caseNode} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Sequential.CaseStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Parent}@anchor{86c}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-Returns a reference to the parent entity.
+@item
+@code{expression} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{cases} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialCase}@code{]}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Sequential.CaseStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Position}@anchor{86d}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Sequential.CaseStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _parent}@anchor{69a}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex SelectExpression (pyGHDL.dom.Sequential.CaseStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement SelectExpression}@anchor{86e}
-@deffn {Property} SelectExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex Label (pyGHDL.dom.Sequential.CaseStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Label}@anchor{69b}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Sequential.CaseStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _position}@anchor{86f}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _expression (pyGHDL.dom.Sequential.CaseStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _expression}@anchor{870}
-@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
-@end deffn
+@geindex NormalizedLabel (pyGHDL.dom.Sequential.CaseStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement NormalizedLabel}@anchor{69c}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
-@geindex _cases (pyGHDL.dom.Sequential.CaseStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _cases}@anchor{871}
-@deffn {Attribute} _cases: List[pyVHDLModel.SyntaxModel.SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialCase}]
@end deffn
-@geindex _parent (pyGHDL.dom.Sequential.CaseStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _parent}@anchor{872}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Sequential.CaseStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Parent}@anchor{69d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Sequential.CaseStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _label}@anchor{873}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _label}@anchor{69e}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Sequential.CaseStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _iirNode}@anchor{874}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedLabel (pyGHDL.dom.Sequential.CaseStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _normalizedLabel}@anchor{69f}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex ForLoopStatement (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement}@anchor{81f}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement}@anchor{672}
@deffn {Class} pyGHDL.dom.Sequential.ForLoopStatement (loopNode, loopIndex, rng, statements=None, label=None)
@subsubheading Inheritance
-@image{inheritance-33285d161423c6f82412206037289897e7d360e4,,,[graphviz],png}
+@image{inheritance-a7a0f0820f9ea2cb8dbd5149f94dcc7999db5a5a,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Sequential.ForLoopStatement class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement parse}@anchor{875}
-@deffn {Method} classmethod parse (loopNode, label)
+@item
+@code{loopNode} (@code{Iir}) –
-@*Return type:
-@ref{81f,,ForLoopStatement}
+@item
+@code{loopIndex} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{rng} (@ref{243,,Range}) –
-@geindex Label (pyGHDL.dom.Sequential.ForLoopStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Label}@anchor{876}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{]}) –
-Returns a model entity’s label.
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Sequential.ForLoopStatement method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement __init__}@anchor{6a0}
+@deffn {Method} __init__ (loopNode, loopIndex, rng, statements=None, label=None)
-@geindex LoopIndex (pyGHDL.dom.Sequential.ForLoopStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement LoopIndex}@anchor{877}
-@deffn {Property} LoopIndex: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes a VHDL model entity.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Parent (pyGHDL.dom.Sequential.ForLoopStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Parent}@anchor{878}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{loopNode} (@code{Iir}) –
-Returns a reference to the parent entity.
+@item
+@code{loopIndex} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{rng} (@ref{243,,Range}) –
-@end deffn
+@item
+@code{statements} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Sequential.html#pyVHDLModel.Sequential.SequentialStatement}@code{] }@code{| }@code{None}) –
-@geindex Position (pyGHDL.dom.Sequential.ForLoopStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Position}@anchor{879}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
-@*Return type:
-@ref{200,,Position}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.ForLoopStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _parent}@anchor{6a1}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Range (pyGHDL.dom.Sequential.ForLoopStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Range}@anchor{87a}
-@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@geindex Label (pyGHDL.dom.Sequential.ForLoopStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Label}@anchor{6a2}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+Returns a model entity’s label.
+
+@*Returns:
+Label of a model entity.
@end deffn
-@geindex Statements (pyGHDL.dom.Sequential.ForLoopStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Statements}@anchor{87b}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@geindex NormalizedLabel (pyGHDL.dom.Sequential.ForLoopStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement NormalizedLabel}@anchor{6a3}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+Returns a model entity’s normalized (lower case) label.
-@end deffn
+@*Returns:
+Normalized label of a model entity.
-@geindex _position (pyGHDL.dom.Sequential.ForLoopStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _position}@anchor{87c}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _loopIndex (pyGHDL.dom.Sequential.ForLoopStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _loopIndex}@anchor{87d}
-@deffn {Attribute} _loopIndex: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+@geindex Parent (pyGHDL.dom.Sequential.ForLoopStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Parent}@anchor{6a4}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _range (pyGHDL.dom.Sequential.ForLoopStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _range}@anchor{87e}
-@deffn {Attribute} _range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _parent (pyGHDL.dom.Sequential.ForLoopStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _parent}@anchor{87f}
-@deffn {Attribute} _parent: ModelEntity
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Sequential.ForLoopStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _label}@anchor{880}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _label}@anchor{6a5}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _statements (pyGHDL.dom.Sequential.ForLoopStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _statements}@anchor{881}
-@deffn {Attribute} _statements: List[SequentialStatement]
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Sequential.ForLoopStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _normalizedLabel}@anchor{6a6}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _iirNode (pyGHDL.dom.Sequential.ForLoopStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _iirNode}@anchor{882}
-@deffn {Attribute} _iirNode: Iir
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex SequentialSimpleSignalAssignment (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment}@anchor{820}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment}@anchor{673}
@deffn {Class} pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment (assignmentNode, target, waveform, label=None)
@subsubheading Inheritance
-@image{inheritance-50a70fe51cab1892b5dca229f8783ccc2eed633d,,,[graphviz],png}
+@image{inheritance-0e42d7a4eb1dc805fd8e5f1252d64e7fd58cb5a1,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment parse}@anchor{883}
-@deffn {Method} classmethod parse (assignmentNode, label=None)
+@item
+@code{assignmentNode} (@code{Iir}) –
-@*Return type:
-@ref{820,,SequentialSimpleSignalAssignment}
+@item
+@code{target} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@end deffn
+@item
+@code{waveform} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{276,,WaveformElement}@code{]}) –
-@geindex Label (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Label}@anchor{884}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-Returns a model entity’s label.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment __init__}@anchor{6a7}
+@deffn {Method} __init__ (assignmentNode, target, waveform, label=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex Parent (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Parent}@anchor{885}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Parameters:
-Returns a reference to the parent entity.
+@itemize *
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{assignmentNode} (@code{Iir}) –
-@end deffn
+@item
+@code{target} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@geindex Position (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Position}@anchor{886}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{waveform} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{276,,WaveformElement}@code{]}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Target (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Target}@anchor{887}
-@deffn {Property} Target: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex _parent (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _parent}@anchor{6a8}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Waveform (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Waveform}@anchor{888}
-@deffn {Property} Waveform: List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+@geindex Label (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Label}@anchor{6a9}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+Returns a model entity’s label.
-@end deffn
+@*Returns:
+Label of a model entity.
-@geindex _position (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _position}@anchor{889}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
-@geindex _waveform (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _waveform}@anchor{88a}
-@deffn {Attribute} _waveform: List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+@geindex NormalizedLabel (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment NormalizedLabel}@anchor{6aa}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _parent}@anchor{88b}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Parent}@anchor{6ab}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _label}@anchor{88c}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _label}@anchor{6ac}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _target (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _target}@anchor{88d}
-@deffn {Attribute} _target: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _normalizedLabel}@anchor{6ad}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _iirNode (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _iirNode}@anchor{88e}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex SequentialProcedureCall (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall}@anchor{821}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall}@anchor{674}
@deffn {Class} pyGHDL.dom.Sequential.SequentialProcedureCall (callNode, procedureName, parameterMappings, label=None)
@subsubheading Inheritance
-@image{inheritance-4aac85fe8950e6adeb235638b1dde1e00eec9e7c,,,[graphviz],png}
+@image{inheritance-dc3fc35041160c0dab6bcb849c2616c9b29133f7,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Sequential.SequentialProcedureCall class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall parse}@anchor{88f}
-@deffn {Method} classmethod parse (callNode, label)
+@item
+@code{callNode} (@code{Iir}) –
-@*Return type:
-@ref{821,,SequentialProcedureCall}
+@item
+@code{procedureName} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-@end deffn
+@item
+@code{parameterMappings} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{266,,ParameterAssociationItem}@code{]}) –
-@geindex Label (pyGHDL.dom.Sequential.SequentialProcedureCall property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Label}@anchor{890}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-Returns a model entity’s label.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Sequential.SequentialProcedureCall method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall __init__}@anchor{6ae}
+@deffn {Method} __init__ (callNode, procedureName, parameterMappings, label=None)
-@end deffn
+Initializes a VHDL model entity.
-@geindex ParameterMappings (pyGHDL.dom.Sequential.SequentialProcedureCall property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall ParameterMappings}@anchor{891}
-@deffn {Property} ParameterMappings: List[pyVHDLModel.SyntaxModel.ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
+@*Parameters:
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
+@itemize *
-@end deffn
+@item
+@code{callNode} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Sequential.SequentialProcedureCall property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Parent}@anchor{892}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{procedureName} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
-Returns a reference to the parent entity.
+@item
+@code{parameterMappings} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@ref{266,,ParameterAssociationItem}@code{]}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Sequential.SequentialProcedureCall property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Position}@anchor{893}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _parent}@anchor{6af}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Procedure (pyGHDL.dom.Sequential.SequentialProcedureCall property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Procedure}@anchor{894}
-@deffn {Property} Procedure: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Label (pyGHDL.dom.Sequential.SequentialProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Label}@anchor{6b0}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+Returns a model entity’s label.
+
+@*Returns:
+Label of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _position}@anchor{895}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex NormalizedLabel (pyGHDL.dom.Sequential.SequentialProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall NormalizedLabel}@anchor{6b1}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _parent}@anchor{896}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Sequential.SequentialProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Parent}@anchor{6b2}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _label (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _label}@anchor{897}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _label}@anchor{6b3}
+@deffn {Attribute} _label: Nullable[str]
The label of a model entity.
@end deffn
-@geindex _procedure (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _procedure}@anchor{898}
-@deffn {Attribute} _procedure: @ref{354,,Name}
-@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _normalizedLabel}@anchor{6b4}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
-@geindex _parameterMappings (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _parameterMappings}@anchor{899}
-@deffn {Attribute} _parameterMappings: List[@ref{24f,,ParameterAssociationItem}]
-@end deffn
-
-@geindex _iirNode (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _iirNode}@anchor{89a}
-@deffn {Attribute} _iirNode: Iir
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
@geindex SequentialAssertStatement (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement}@anchor{822}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement}@anchor{675}
@deffn {Class} pyGHDL.dom.Sequential.SequentialAssertStatement (assertNode, condition, message=None, severity=None, label=None)
@subsubheading Inheritance
-@image{inheritance-edd6165f9c2f98fc59fe29420529ab4c942b921d,,,[graphviz],png}
+@image{inheritance-cb74c33b58c7e37df7f03fc4587e90bb6b4d7de4,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Sequential.SequentialAssertStatement class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement parse}@anchor{89b}
-@deffn {Method} classmethod parse (assertNode, label)
+@item
+@code{assertNode} (@code{Iir}) –
-@*Return type:
-@ref{822,,SequentialAssertStatement}
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@end deffn
+@item
+@code{message} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{severity} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
-@geindex Condition (pyGHDL.dom.Sequential.SequentialAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Condition}@anchor{89c}
-@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@geindex __init__() (pyGHDL.dom.Sequential.SequentialAssertStatement method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement __init__}@anchor{6b5}
+@deffn {Method} __init__ (assertNode, condition, message=None, severity=None, label=None)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{assertNode} (@code{Iir}) –
+
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{message} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{severity} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _parent}@anchor{6b6}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
@geindex Label (pyGHDL.dom.Sequential.SequentialAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Label}@anchor{89d}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Label}@anchor{6b7}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
Returns a model entity’s label.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Label of a model entity.
@end deffn
-@geindex Message (pyGHDL.dom.Sequential.SequentialAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Message}@anchor{89e}
-@deffn {Property} Message: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex NormalizedLabel (pyGHDL.dom.Sequential.SequentialAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement NormalizedLabel}@anchor{6b8}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
@end deffn
@geindex Parent (pyGHDL.dom.Sequential.SequentialAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Parent}@anchor{89f}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Parent}@anchor{6b9}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.Sequential.SequentialAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Position}@anchor{8a0}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex _label (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _label}@anchor{6ba}
+@deffn {Attribute} _label: Nullable[str]
-@*Return type:
-@ref{200,,Position}
+The label of a model entity.
+@end deffn
+@geindex _normalizedLabel (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _normalizedLabel}@anchor{6bb}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+
+The normalized (lower case) label of a model entity.
+@end deffn
@end deffn
-@geindex Severity (pyGHDL.dom.Sequential.SequentialAssertStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Severity}@anchor{8a1}
-@deffn {Property} Severity: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex SequentialReportStatement (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement}@anchor{676}
+@deffn {Class} pyGHDL.dom.Sequential.SequentialReportStatement (reportNode, message, severity=None, label=None)
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@subsubheading Inheritance
-@end deffn
+@image{inheritance-91b2f14eb5e69bf9665a645e2d828259b297d148,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{reportNode} (@code{Iir}) –
+
+@item
+@code{message} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{severity} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Sequential.SequentialReportStatement method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement __init__}@anchor{6bc}
+@deffn {Method} __init__ (reportNode, message, severity=None, label=None)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{reportNode} (@code{Iir}) –
+
+@item
+@code{message} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{severity} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex _position (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _position}@anchor{8a2}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _parent (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _parent}@anchor{8a3}
+@geindex _parent (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _parent}@anchor{6bd}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _label (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _label}@anchor{8a4}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Label (pyGHDL.dom.Sequential.SequentialReportStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Label}@anchor{6be}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s label.
+
+@*Returns:
+Label of a model entity.
-The label of a model entity.
@end deffn
-@geindex _condition (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _condition}@anchor{8a5}
-@deffn {Attribute} _condition: ExpressionUnion
+@geindex NormalizedLabel (pyGHDL.dom.Sequential.SequentialReportStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement NormalizedLabel}@anchor{6bf}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
+
@end deffn
-@geindex _message (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _message}@anchor{8a6}
-@deffn {Attribute} _message: ExpressionUnion
+@geindex Parent (pyGHDL.dom.Sequential.SequentialReportStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Parent}@anchor{6c0}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _severity (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _severity}@anchor{8a7}
-@deffn {Attribute} _severity: ExpressionUnion
+@geindex _label (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _label}@anchor{6c1}
+@deffn {Attribute} _label: Nullable[str]
+
+The label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _iirNode}@anchor{8a8}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedLabel (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _normalizedLabel}@anchor{6c2}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
-@geindex SequentialReportStatement (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement}@anchor{823}
-@deffn {Class} pyGHDL.dom.Sequential.SequentialReportStatement (reportNode, message, severity=None, label=None)
+@geindex NullStatement (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement}@anchor{677}
+@deffn {Class} pyGHDL.dom.Sequential.NullStatement (waitNode, label=None)
@subsubheading Inheritance
-@image{inheritance-fb8aedfbed3408a80504debc28c314b5cda9deef,,,[graphviz],png}
+@image{inheritance-ca51ee30f1de26f8b28dcfc0c13c5d4222630751,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Sequential.SequentialReportStatement class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement parse}@anchor{8a9}
-@deffn {Method} classmethod parse (reportNode, label)
+@item
+@code{waitNode} (@code{Iir}) –
-@*Return type:
-@ref{823,,SequentialReportStatement}
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Sequential.NullStatement method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement __init__}@anchor{6c3}
+@deffn {Method} __init__ (waitNode, label=None)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{waitNode} (@code{Iir}) –
+
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Label (pyGHDL.dom.Sequential.SequentialReportStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Label}@anchor{8aa}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _parent (pyGHDL.dom.Sequential.NullStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _parent}@anchor{6c4}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex Label (pyGHDL.dom.Sequential.NullStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Label}@anchor{6c5}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
Returns a model entity’s label.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Label of a model entity.
@end deffn
-@geindex Message (pyGHDL.dom.Sequential.SequentialReportStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Message}@anchor{8ab}
-@deffn {Property} Message: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex NormalizedLabel (pyGHDL.dom.Sequential.NullStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement NormalizedLabel}@anchor{6c6}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
@end deffn
-@geindex Parent (pyGHDL.dom.Sequential.SequentialReportStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Parent}@anchor{8ac}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex Parent (pyGHDL.dom.Sequential.NullStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Parent}@anchor{6c7}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.Sequential.SequentialReportStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Position}@anchor{8ad}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex _label (pyGHDL.dom.Sequential.NullStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _label}@anchor{6c8}
+@deffn {Attribute} _label: Nullable[str]
-@*Return type:
-@ref{200,,Position}
+The label of a model entity.
+@end deffn
+
+@geindex _normalizedLabel (pyGHDL.dom.Sequential.NullStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _normalizedLabel}@anchor{6c9}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+The normalized (lower case) label of a model entity.
+@end deffn
@end deffn
-@geindex Severity (pyGHDL.dom.Sequential.SequentialReportStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Severity}@anchor{8ae}
-@deffn {Property} Severity: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex WaitStatement (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement}@anchor{678}
+@deffn {Class} pyGHDL.dom.Sequential.WaitStatement (waitNode, sensitivityList=None, condition=None, timeout=None, label=None)
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@subsubheading Inheritance
-@end deffn
+@image{inheritance-f9be7367c6b87cadcf42c6fcb6d5a2d1b7902117,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{waitNode} (@code{Iir}) –
+
+@item
+@code{sensitivityList} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}@code{]}) –
+
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{timeout} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Sequential.WaitStatement method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement __init__}@anchor{6ca}
+@deffn {Method} __init__ (waitNode, sensitivityList=None, condition=None, timeout=None, label=None)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{waitNode} (@code{Iir}) –
+
+@item
+@code{sensitivityList} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}@code{]}) –
+
+@item
+@code{condition} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{timeout} (@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}) –
+
+@item
+@code{label} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex _position (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _position}@anchor{8af}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _parent (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _parent}@anchor{8b0}
+@geindex _parent (pyGHDL.dom.Sequential.WaitStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _parent}@anchor{6cb}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _label (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _label}@anchor{8b1}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Label (pyGHDL.dom.Sequential.WaitStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Label}@anchor{6cc}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s label.
+
+@*Returns:
+Label of a model entity.
+
+@end deffn
+
+@geindex NormalizedLabel (pyGHDL.dom.Sequential.WaitStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement NormalizedLabel}@anchor{6cd}
+@deffn {Property} NormalizedLabel: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s normalized (lower case) label.
+
+@*Returns:
+Normalized label of a model entity.
-The label of a model entity.
@end deffn
-@geindex _message (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _message}@anchor{8b2}
-@deffn {Attribute} _message: ExpressionUnion
+@geindex Parent (pyGHDL.dom.Sequential.WaitStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Parent}@anchor{6ce}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _severity (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _severity}@anchor{8b3}
-@deffn {Attribute} _severity: ExpressionUnion
+@geindex _label (pyGHDL.dom.Sequential.WaitStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _label}@anchor{6cf}
+@deffn {Attribute} _label: Nullable[str]
+
+The label of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _iirNode}@anchor{8b4}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedLabel (pyGHDL.dom.Sequential.WaitStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _normalizedLabel}@anchor{6d0}
+@deffn {Attribute} _normalizedLabel: Nullable[str]
+
+The normalized (lower case) label of a model entity.
@end deffn
@end deffn
-@geindex NullStatement (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement}@anchor{824}
-@deffn {Class} pyGHDL.dom.Sequential.NullStatement (waitNode, label=None)
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
+
+@c # define a hard line break for HTML
+
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
+@node pyGHDL dom Subprogram,pyGHDL dom Symbol,pyGHDL dom Sequential,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Subprogram doc}@anchor{6d1}@anchor{pyGHDL/pyGHDL dom Subprogram module-pyGHDL dom Subprogram}@anchor{18}@anchor{pyGHDL/pyGHDL dom Subprogram pyghdl-dom-subprogram}@anchor{6d2}
+@subsection @code{pyGHDL.dom.Subprogram}
+
+
+@geindex module; pyGHDL.dom.Subprogram
+
+`Classes'
+
+
+@itemize -
+
+@item
+@ref{6d3,,Function}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
+
+@item
+@ref{6d4,,Procedure}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
+@end itemize
+
+
+__________________________________________________________________
+
+
+`Classes'
+
+@geindex Function (class in pyGHDL.dom.Subprogram)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function}@anchor{6d3}
+@deffn {Class} pyGHDL.dom.Subprogram.Function (node, functionName, returnType, genericItems=None, parameterItems=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-ed7b52a693d7be033fae298be352f755831fb48c,,,[graphviz],png}
+@image{inheritance-e404c52f32da9d59320ad3eb4c0e5dab6bde1e68,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Label (pyGHDL.dom.Sequential.NullStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Label}@anchor{8b5}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{node} (@code{Iir}) –
-Returns a model entity’s label.
+@item
+@code{functionName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{returnType} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+
+@item
+@code{genericItems} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}@code{]}) –
+
+@item
+@code{parameterItems} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.ParameterInterfaceItem}@code{]}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Subprogram.Function method)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function __init__}@anchor{6d5}
+@deffn {Method} __init__ (node, functionName, returnType, genericItems=None, parameterItems=None, documentation=None)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{functionName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{returnType} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+
+@item
+@code{genericItems} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}@code{] }@code{| }@code{None}) –
+
+@item
+@code{parameterItems} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.ParameterInterfaceItem}@code{] }@code{| }@code{None}) –
+
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex Parent (pyGHDL.dom.Sequential.NullStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Parent}@anchor{8b6}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parent}@anchor{6d6}
+@deffn {Attribute} _parent: ModelEntity
-Returns a reference to the parent entity.
+Reference to a parent entity in the model.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex Documentation (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Documentation}@anchor{6d7}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex Position (pyGHDL.dom.Sequential.NullStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Position}@anchor{8b7}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Identifier (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Identifier}@anchor{6d8}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
+
+@end deffn
+
+@geindex NormalizedIdentifier (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function NormalizedIdentifier}@anchor{6d9}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Sequential.NullStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _position}@anchor{8b8}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Parent (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Parent}@anchor{6da}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Sequential.NullStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _parent}@anchor{8b9}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _identifier (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _identifier}@anchor{6db}
+@deffn {Attribute} _identifier: str
-Reference to a parent entity in the model.
+The identifier of a model entity.
@end deffn
-@geindex _label (pyGHDL.dom.Sequential.NullStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _label}@anchor{8ba}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _normalizedIdentifier (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _normalizedIdentifier}@anchor{6dc}
+@deffn {Attribute} _normalizedIdentifier: str
-The label of a model entity.
+The normalized (lower case) identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Sequential.NullStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _iirNode}@anchor{8bb}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _documentation}@anchor{6dd}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
-@geindex WaitStatement (class in pyGHDL.dom.Sequential)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement}@anchor{825}
-@deffn {Class} pyGHDL.dom.Sequential.WaitStatement (waitNode, sensitivityList=None, condition=None, timeout=None, label=None)
+@geindex Procedure (class in pyGHDL.dom.Subprogram)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure}@anchor{6d4}
+@deffn {Class} pyGHDL.dom.Subprogram.Procedure (node, procedureName, genericItems=None, parameterItems=None, documentation=None)
@subsubheading Inheritance
-@image{inheritance-9e76f0f9d646afddf1e02779af181bf736ecc0fb,,,[graphviz],png}
+@image{inheritance-fa0991a5d6504e18c5fce8933ff30bfaff90f78f,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Sequential.WaitStatement class method)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement parse}@anchor{8bc}
-@deffn {Method} classmethod parse (waitNode, label)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{825,,WaitStatement}
+@item
+@code{procedureName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{genericItems} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}@code{]}) –
-@geindex Condition (pyGHDL.dom.Sequential.WaitStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Condition}@anchor{8bd}
-@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@item
+@code{parameterItems} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.ParameterInterfaceItem}@code{]}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Label (pyGHDL.dom.Sequential.WaitStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Label}@anchor{8be}
-@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Subprogram.Procedure method)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure __init__}@anchor{6de}
+@deffn {Method} __init__ (node, procedureName, genericItems=None, parameterItems=None, documentation=None)
-Returns a model entity’s label.
+Initializes a VHDL model entity.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Parent (pyGHDL.dom.Sequential.WaitStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Parent}@anchor{8bf}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{node} (@code{Iir}) –
-Returns a reference to the parent entity.
+@item
+@code{procedureName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{genericItems} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}@code{] }@code{| }@code{None}) –
-@end deffn
+@item
+@code{parameterItems} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.ParameterInterfaceItem}@code{] }@code{| }@code{None}) –
-@geindex Position (pyGHDL.dom.Sequential.WaitStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Position}@anchor{8c0}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{documentation} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{ | }@code{None}) –
+@end itemize
-@*Return type:
-@ref{200,,Position}
+@end deffn
+@geindex _parent (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parent}@anchor{6df}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex SensitivityList (pyGHDL.dom.Sequential.WaitStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement SensitivityList}@anchor{8c1}
-@deffn {Property} SensitivityList: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex Documentation (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Documentation}@anchor{6e0}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex Timeout (pyGHDL.dom.Sequential.WaitStatement property)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Timeout}@anchor{8c2}
-@deffn {Property} Timeout: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex Identifier (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Identifier}@anchor{6e1}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+Returns a model entity’s identifier (name).
-@end deffn
+@*Returns:
+Name of a model entity.
-@geindex _position (pyGHDL.dom.Sequential.WaitStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _position}@anchor{8c3}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _sensitivityList (pyGHDL.dom.Sequential.WaitStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _sensitivityList}@anchor{8c4}
-@deffn {Attribute} _sensitivityList: Optional[List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]]
-@end deffn
+@geindex NormalizedIdentifier (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure NormalizedIdentifier}@anchor{6e2}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
-@geindex _timeout (pyGHDL.dom.Sequential.WaitStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _timeout}@anchor{8c5}
-@deffn {Attribute} _timeout: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex _parent (pyGHDL.dom.Sequential.WaitStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _parent}@anchor{8c6}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Parent}@anchor{6e3}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
-@geindex _label (pyGHDL.dom.Sequential.WaitStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _label}@anchor{8c7}
-@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _identifier (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _identifier}@anchor{6e4}
+@deffn {Attribute} _identifier: str
-The label of a model entity.
+The identifier of a model entity.
@end deffn
-@geindex _condition (pyGHDL.dom.Sequential.WaitStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _condition}@anchor{8c8}
-@deffn {Attribute} _condition: ExpressionUnion
+@geindex _normalizedIdentifier (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _normalizedIdentifier}@anchor{6e5}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Sequential.WaitStatement attribute)
-@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _iirNode}@anchor{8c9}
-@deffn {Attribute} _iirNode: Iir
+@geindex _documentation (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _documentation}@anchor{6e6}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@@ -21529,1000 +23714,2141 @@ The label of a model entity.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
-@node pyGHDL dom Subprogram,pyGHDL dom Symbol,pyGHDL dom Sequential,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Subprogram doc}@anchor{8ca}@anchor{pyGHDL/pyGHDL dom Subprogram module-pyGHDL dom Subprogram}@anchor{17}@anchor{pyGHDL/pyGHDL dom Subprogram pyghdl-dom-subprogram}@anchor{8cb}
-@subsection pyGHDL.dom.Subprogram
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+@node pyGHDL dom Symbol,pyGHDL dom Type,pyGHDL dom Subprogram,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Symbol doc}@anchor{6e7}@anchor{pyGHDL/pyGHDL dom Symbol module-pyGHDL dom Symbol}@anchor{19}@anchor{pyGHDL/pyGHDL dom Symbol pyghdl-dom-symbol}@anchor{6e8}
+@subsection @code{pyGHDL.dom.Symbol}
-@geindex module; pyGHDL.dom.Subprogram
-@c #-----------------------------------
+@geindex module; pyGHDL.dom.Symbol
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{8cc,,Function}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{6e9,,LibraryReferenceSymbol}:
+A library reference in a library clause.
+
+@item
+@ref{6ea,,PackageReferenceSymbol}:
+A package reference in a use clause.
+
+@item
+@ref{6eb,,PackageMembersReferenceSymbol}:
+A package member reference in a use clause.
+
+@item
+@ref{6ec,,AllPackageMembersReferenceSymbol}:
+A package reference in a use clause.
+
+@item
+@ref{6ed,,ContextReferenceSymbol}:
+A context reference in a context clause.
+
+@item
+@ref{28e,,EntityInstantiationSymbol}:
+An entity reference in a direct entity instantiation.
+
+@item
+@ref{286,,ComponentInstantiationSymbol}:
+A component reference in a component instantiation.
+
+@item
+@ref{297,,ConfigurationInstantiationSymbol}:
+A configuration reference in a configuration instantiation.
+
+@item
+@ref{32e,,EntitySymbol}:
+An entity reference in an architecture declaration.
+
+@item
+@ref{28f,,ArchitectureSymbol}:
+An entity reference in an entity instantiation with architecture name.
+
+@item
+@ref{36a,,PackageSymbol}:
+A package reference in a package body declaration.
+
+@item
+@ref{6ee,,SimpleSubtypeSymbol}:
+A configuration reference in a configuration instantiation.
@item
-@ref{8cd,,Procedure}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{6ef,,ConstrainedScalarSubtypeSymbol}:
+A configuration reference in a configuration instantiation.
+
+@item
+@ref{6f0,,ConstrainedCompositeSubtypeSymbol}:
+A configuration reference in a configuration instantiation.
+
+@item
+@ref{6f1,,SimpleObjectOrFunctionCallSymbol}:
+A configuration reference in a configuration instantiation.
+
+@item
+@ref{6f2,,IndexedObjectOrFunctionCallSymbol}:
+A configuration reference in a configuration instantiation.
@end itemize
-@c #-----------------------------------
-@geindex Function (class in pyGHDL.dom.Subprogram)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function}@anchor{8cc}
-@deffn {Class} pyGHDL.dom.Subprogram.Function (node, functionName, returnType, genericItems=None, parameterItems=None)
+__________________________________________________________________
+
+
+`Classes'
+
+@geindex LibraryReferenceSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol LibraryReferenceSymbol}@anchor{6e9}
+@deffn {Class} pyGHDL.dom.Symbol.LibraryReferenceSymbol (identifierNode, identifier)
@subsubheading Inheritance
-@image{inheritance-9ce87281bce5cb20ee7f6c05227b8cce31904780,,,[graphviz],png}
+@image{inheritance-373834f8a4652f6fd95b2a86e9b8410a0ebfcd38,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
-@subsubheading Members
+@geindex __init__() (pyGHDL.dom.Symbol.LibraryReferenceSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol LibraryReferenceSymbol __init__}@anchor{6f3}
+@deffn {Method} __init__ (identifierNode, identifier)
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex _genericItems (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _genericItems}@anchor{8ce}
-@deffn {Attribute} _genericItems: List['GenericInterfaceItem']
@end deffn
-@geindex _parameterItems (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parameterItems}@anchor{8cf}
-@deffn {Attribute} _parameterItems: List['ParameterInterfaceItem']
+@geindex _parent (pyGHDL.dom.Symbol.LibraryReferenceSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol LibraryReferenceSymbol _parent}@anchor{6f4}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _returnType (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _returnType}@anchor{8d0}
-@deffn {Attribute} _returnType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+@geindex HasPrefix (pyGHDL.dom.Symbol.LibraryReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol LibraryReferenceSymbol HasPrefix}@anchor{6f5}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
+
@end deffn
-@geindex parse() (pyGHDL.dom.Subprogram.Function class method)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function parse}@anchor{8d1}
-@deffn {Method} classmethod parse (functionNode)
+@geindex Identifier (pyGHDL.dom.Symbol.LibraryReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol LibraryReferenceSymbol Identifier}@anchor{6f6}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-@ref{8cc,,Function}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex DeclaredItems (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function DeclaredItems}@anchor{8d2}
-@deffn {Property} DeclaredItems: List
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.LibraryReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol LibraryReferenceSymbol NormalizedIdentifier}@anchor{6f7}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
@end deffn
-@geindex GenericItems (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function GenericItems}@anchor{8d3}
-@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@geindex Parent (pyGHDL.dom.Symbol.LibraryReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol LibraryReferenceSymbol Parent}@anchor{6f8}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
@end deffn
-@geindex Identifier (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Identifier}@anchor{8d4}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Prefix (pyGHDL.dom.Symbol.LibraryReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol LibraryReferenceSymbol Prefix}@anchor{6f9}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-Returns a model entity’s identifier (name).
+The name’s prefix in a chain of names.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
@end deffn
-@geindex IsPure (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function IsPure}@anchor{8d5}
-@deffn {Property} IsPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@geindex Root (pyGHDL.dom.Symbol.LibraryReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol LibraryReferenceSymbol Root}@anchor{6fa}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
@end deffn
-@geindex ParameterItems (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function ParameterItems}@anchor{8d6}
-@deffn {Property} ParameterItems: List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
+@geindex __str__() (pyGHDL.dom.Symbol.LibraryReferenceSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol LibraryReferenceSymbol __str__}@anchor{6fb}
+@deffn {Method} __str__ ()
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
+Return str(self).
+@end deffn
+@end deffn
+
+@geindex PackageReferenceSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageReferenceSymbol}@anchor{6ea}
+@deffn {Class} pyGHDL.dom.Symbol.PackageReferenceSymbol (identifierNode, identifier, prefix)
+
+@subsubheading Inheritance
+
+@image{inheritance-8f2a56220f9132f338f4388b39abcdd347102aa1,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{prefix} (@ref{6e9,,LibraryReferenceSymbol}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.PackageReferenceSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageReferenceSymbol __init__}@anchor{6fc}
+@deffn {Method} __init__ (identifierNode, identifier, prefix)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{prefix} (@ref{6e9,,LibraryReferenceSymbol}) –
+@end itemize
@end deffn
-@geindex Parent (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Parent}@anchor{8d7}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Symbol.PackageReferenceSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageReferenceSymbol _parent}@anchor{6fd}
+@deffn {Attribute} _parent: ModelEntity
-Returns a reference to the parent entity.
+Reference to a parent entity in the model.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex HasPrefix (pyGHDL.dom.Symbol.PackageReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageReferenceSymbol HasPrefix}@anchor{6fe}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex Position (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Position}@anchor{8d8}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Identifier (pyGHDL.dom.Symbol.PackageReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageReferenceSymbol Identifier}@anchor{6ff}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-@ref{200,,Position}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex ReturnType (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function ReturnType}@anchor{8d9}
-@deffn {Property} ReturnType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.PackageReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageReferenceSymbol NormalizedIdentifier}@anchor{700}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
@end deffn
-@geindex Statements (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Statements}@anchor{8da}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@geindex Parent (pyGHDL.dom.Symbol.PackageReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageReferenceSymbol Parent}@anchor{701}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
@end deffn
-@geindex _position (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _position}@anchor{8db}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Prefix (pyGHDL.dom.Symbol.PackageReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageReferenceSymbol Prefix}@anchor{702}
+@deffn {Property} Prefix: LibraryReferenceSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.LibraryReferenceSymbol}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _declaredItems (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _declaredItems}@anchor{8dc}
-@deffn {Attribute} _declaredItems: List
+@geindex Root (pyGHDL.dom.Symbol.PackageReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageReferenceSymbol Root}@anchor{703}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
-@geindex _statements (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _statements}@anchor{8dd}
-@deffn {Attribute} _statements: List['SequentialStatement']
+@geindex __str__() (pyGHDL.dom.Symbol.PackageReferenceSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageReferenceSymbol __str__}@anchor{704}
+@deffn {Method} __str__ ()
+
+Return str(self).
+@end deffn
@end deffn
-@geindex _isPure (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _isPure}@anchor{8de}
-@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@geindex PackageMembersReferenceSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageMembersReferenceSymbol}@anchor{6eb}
+@deffn {Class} pyGHDL.dom.Symbol.PackageMembersReferenceSymbol (identifierNode, identifier, prefix)
+
+@subsubheading Inheritance
+
+@image{inheritance-19e8d830d997bf83d4f6c703fec57d9a586278cc,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{prefix} (@ref{6ea,,PackageReferenceSymbol}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.PackageMembersReferenceSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageMembersReferenceSymbol __init__}@anchor{705}
+@deffn {Method} __init__ (identifierNode, identifier, prefix)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{prefix} (@ref{6ea,,PackageReferenceSymbol}) –
+@end itemize
+
@end deffn
-@geindex _parent (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parent}@anchor{8df}
+@geindex _parent (pyGHDL.dom.Symbol.PackageMembersReferenceSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageMembersReferenceSymbol _parent}@anchor{706}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _identifier (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _identifier}@anchor{8e0}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex HasPrefix (pyGHDL.dom.Symbol.PackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageMembersReferenceSymbol HasPrefix}@anchor{707}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
-The identifier of a model entity.
-@end deffn
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
-@geindex _iirNode (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _iirNode}@anchor{8e1}
-@deffn {Attribute} _iirNode: Iir
-@end deffn
@end deffn
-@geindex Procedure (class in pyGHDL.dom.Subprogram)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure}@anchor{8cd}
-@deffn {Class} pyGHDL.dom.Subprogram.Procedure (node, procedureName, genericItems=None, parameterItems=None)
+@geindex Identifier (pyGHDL.dom.Symbol.PackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageMembersReferenceSymbol Identifier}@anchor{708}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@subsubheading Inheritance
+The identifier the name is referencing.
-@image{inheritance-980aa6ce541dccd701ae402c05afcd2bafab28ea,,,[graphviz],png}
+@*Returns:
+The referenced identifier.
+
+@end deffn
-@subsubheading Members
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.PackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageMembersReferenceSymbol NormalizedIdentifier}@anchor{709}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
-@geindex _genericItems (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _genericItems}@anchor{8e2}
-@deffn {Attribute} _genericItems: List['GenericInterfaceItem']
@end deffn
-@geindex _parameterItems (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parameterItems}@anchor{8e3}
-@deffn {Attribute} _parameterItems: List['ParameterInterfaceItem']
+@geindex Parent (pyGHDL.dom.Symbol.PackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageMembersReferenceSymbol Parent}@anchor{70a}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex parse() (pyGHDL.dom.Subprogram.Procedure class method)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure parse}@anchor{8e4}
-@deffn {Method} classmethod parse (procedureNode)
+@geindex Prefix (pyGHDL.dom.Symbol.PackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageMembersReferenceSymbol Prefix}@anchor{70b}
+@deffn {Property} Prefix: PackageReferenceSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.PackageReferenceSymbol}
-@*Return type:
-@ref{8cd,,Procedure}
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
@end deffn
-@geindex DeclaredItems (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure DeclaredItems}@anchor{8e5}
-@deffn {Property} DeclaredItems: List
+@geindex Root (pyGHDL.dom.Symbol.PackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageMembersReferenceSymbol Root}@anchor{70c}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
@end deffn
-@geindex GenericItems (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure GenericItems}@anchor{8e6}
-@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+@geindex __str__() (pyGHDL.dom.Symbol.PackageMembersReferenceSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageMembersReferenceSymbol __str__}@anchor{70d}
+@deffn {Method} __str__ ()
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+Return str(self).
+@end deffn
+@end deffn
+
+@geindex AllPackageMembersReferenceSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol AllPackageMembersReferenceSymbol}@anchor{6ec}
+@deffn {Class} pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol (identifierNode, prefix)
+
+@subsubheading Inheritance
+
+@image{inheritance-d4ec2593cb4315b4e1aa1f31844dc47dd171f429,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{prefix} (@ref{6ea,,PackageReferenceSymbol}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol AllPackageMembersReferenceSymbol __init__}@anchor{70e}
+@deffn {Method} __init__ (identifierNode, prefix)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{prefix} (@ref{6ea,,PackageReferenceSymbol}) –
+@end itemize
@end deffn
-@geindex Identifier (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Identifier}@anchor{8e7}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _parent (pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol AllPackageMembersReferenceSymbol _parent}@anchor{70f}
+@deffn {Attribute} _parent: ModelEntity
-Returns a model entity’s identifier (name).
+Reference to a parent entity in the model.
+@end deffn
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex HasPrefix (pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol AllPackageMembersReferenceSymbol HasPrefix}@anchor{710}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex IsPure (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure IsPure}@anchor{8e8}
-@deffn {Property} IsPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@geindex Identifier (pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol AllPackageMembersReferenceSymbol Identifier}@anchor{711}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex ParameterItems (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure ParameterItems}@anchor{8e9}
-@deffn {Property} ParameterItems: List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol AllPackageMembersReferenceSymbol NormalizedIdentifier}@anchor{712}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
@end deffn
-@geindex Parent (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Parent}@anchor{8ea}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex Parent (pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol AllPackageMembersReferenceSymbol Parent}@anchor{713}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Position}@anchor{8eb}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Prefix (pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol AllPackageMembersReferenceSymbol Prefix}@anchor{714}
+@deffn {Property} Prefix: PackageReferenceSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.PackageReferenceSymbol}
-@*Return type:
-@ref{200,,Position}
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
@end deffn
-@geindex Statements (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Statements}@anchor{8ec}
-@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+@geindex Root (pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol AllPackageMembersReferenceSymbol Root}@anchor{715}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+The root (left-most) element in a chain of names.
-@end deffn
+In case the name is a @code{simple name}, the root points to the name itself.
-@geindex _isPure (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _isPure}@anchor{8ed}
-@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool} = False
-@end deffn
+@*Returns:
+The name’s root element.
-@geindex _position (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _position}@anchor{8ee}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _declaredItems (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _declaredItems}@anchor{8ef}
-@deffn {Attribute} _declaredItems: List
+@geindex __str__() (pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol AllPackageMembersReferenceSymbol __str__}@anchor{716}
+@deffn {Method} __str__ ()
+
+Return str(self).
+@end deffn
@end deffn
-@geindex _statements (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _statements}@anchor{8f0}
-@deffn {Attribute} _statements: List['SequentialStatement']
+@geindex ContextReferenceSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ContextReferenceSymbol}@anchor{6ed}
+@deffn {Class} pyGHDL.dom.Symbol.ContextReferenceSymbol (identifierNode, identifier, prefix)
+
+@subsubheading Inheritance
+
+@image{inheritance-b0ed8d0e29670cdc0008528da22c8e09903a216e,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{prefix} (@ref{6e9,,LibraryReferenceSymbol}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.ContextReferenceSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ContextReferenceSymbol __init__}@anchor{717}
+@deffn {Method} __init__ (identifierNode, identifier, prefix)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{prefix} (@ref{6e9,,LibraryReferenceSymbol}) –
+@end itemize
+
@end deffn
-@geindex _parent (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parent}@anchor{8f1}
+@geindex _parent (pyGHDL.dom.Symbol.ContextReferenceSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ContextReferenceSymbol _parent}@anchor{718}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _identifier (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _identifier}@anchor{8f2}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex HasPrefix (pyGHDL.dom.Symbol.ContextReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ContextReferenceSymbol HasPrefix}@anchor{719}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Symbol.ContextReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ContextReferenceSymbol Identifier}@anchor{71a}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
-The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _iirNode}@anchor{8f3}
-@deffn {Attribute} _iirNode: Iir
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.ContextReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ContextReferenceSymbol NormalizedIdentifier}@anchor{71b}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
@end deffn
+
+@geindex Parent (pyGHDL.dom.Symbol.ContextReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ContextReferenceSymbol Parent}@anchor{71c}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
-@c # <file> is used to denote the special path
-@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+@geindex Prefix (pyGHDL.dom.Symbol.ContextReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ContextReferenceSymbol Prefix}@anchor{71d}
+@deffn {Property} Prefix: LibraryReferenceSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.LibraryReferenceSymbol}
-@c This data file has been placed in the public domain.
+The name’s prefix in a chain of names.
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
-@c This data file has been placed in the public domain.
+@end deffn
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@geindex Root (pyGHDL.dom.Symbol.ContextReferenceSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ContextReferenceSymbol Root}@anchor{71e}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
-@c # define a hard line break for HTML
+The root (left-most) element in a chain of names.
-@node pyGHDL dom Symbol,pyGHDL dom Type,pyGHDL dom Subprogram,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Symbol doc}@anchor{8f4}@anchor{pyGHDL/pyGHDL dom Symbol module-pyGHDL dom Symbol}@anchor{18}@anchor{pyGHDL/pyGHDL dom Symbol pyghdl-dom-symbol}@anchor{8f5}
-@subsection pyGHDL.dom.Symbol
+In case the name is a @code{simple name}, the root points to the name itself.
+@*Returns:
+The name’s root element.
-@geindex module; pyGHDL.dom.Symbol
+@end deffn
-@c #-----------------------------------
+@geindex __str__() (pyGHDL.dom.Symbol.ContextReferenceSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ContextReferenceSymbol __str__}@anchor{71f}
+@deffn {Method} __str__ ()
-@strong{Classes}
+Return str(self).
+@end deffn
+@end deffn
+@geindex EntityInstantiationSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntityInstantiationSymbol}@anchor{28e}
+@deffn {Class} pyGHDL.dom.Symbol.EntityInstantiationSymbol (identifierNode, identifier, prefix)
-@itemize -
+@subsubheading Inheritance
+
+@image{inheritance-56bfb37058ee5e1a239539b3a8e1dda0005e15ed,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
@item
-@ref{8f6,,EntitySymbol}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@code{identifierNode} (@code{Iir}) –
@item
-@ref{8f7,,SimpleSubtypeSymbol}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
@item
-@ref{8f8,,ConstrainedScalarSubtypeSymbol}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@code{prefix} (@ref{6e9,,LibraryReferenceSymbol}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.EntityInstantiationSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntityInstantiationSymbol __init__}@anchor{720}
+@deffn {Method} __init__ (identifierNode, identifier, prefix)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
@item
-@ref{8f9,,ConstrainedCompositeSubtypeSymbol}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@code{identifierNode} (@code{Iir}) –
@item
-@ref{8fa,,SimpleObjectOrFunctionCallSymbol}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
@item
-@ref{8fb,,IndexedObjectOrFunctionCallSymbol}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@code{prefix} (@ref{6e9,,LibraryReferenceSymbol}) –
@end itemize
-@c #-----------------------------------
+@end deffn
-@geindex EntitySymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol}@anchor{8f6}
-@deffn {Class} pyGHDL.dom.Symbol.EntitySymbol (node, entityName)
+@geindex _parent (pyGHDL.dom.Symbol.EntityInstantiationSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntityInstantiationSymbol _parent}@anchor{721}
+@deffn {Attribute} _parent: ModelEntity
-@subsubheading Inheritance
+Reference to a parent entity in the model.
+@end deffn
-@image{inheritance-14e3870f111856a8852977e010e25f4398c7451b,,,[graphviz],png}
+@geindex HasPrefix (pyGHDL.dom.Symbol.EntityInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntityInstantiationSymbol HasPrefix}@anchor{722}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
-@subsubheading Members
+Returns true, if the name has a prefix.
+This is true for all names except @code{simple names}.
-@geindex Entity (pyGHDL.dom.Symbol.EntitySymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Entity}@anchor{8fc}
-@deffn {Property} Entity: pyVHDLModel.SyntaxModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}
+@*Returns:
+@code{True}, if the name as a prefix.
-@*Return type:
-Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Symbol.EntityInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntityInstantiationSymbol Identifier}@anchor{723}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex Parent (pyGHDL.dom.Symbol.EntitySymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Parent}@anchor{8fd}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.EntityInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntityInstantiationSymbol NormalizedIdentifier}@anchor{724}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Symbol.EntityInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntityInstantiationSymbol Parent}@anchor{725}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.Symbol.EntitySymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Position}@anchor{8fe}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Prefix (pyGHDL.dom.Symbol.EntityInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntityInstantiationSymbol Prefix}@anchor{726}
+@deffn {Property} Prefix: LibraryReferenceSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.LibraryReferenceSymbol}
-@*Return type:
-@ref{200,,Position}
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
@end deffn
-@geindex Reference (pyGHDL.dom.Symbol.EntitySymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Reference}@anchor{8ff}
-@deffn {Property} Reference: Any
+@geindex Root (pyGHDL.dom.Symbol.EntityInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntityInstantiationSymbol Root}@anchor{727}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
-@*Return type:
-Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
@end deffn
-@geindex SymbolName (pyGHDL.dom.Symbol.EntitySymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol SymbolName}@anchor{900}
-@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex __str__() (pyGHDL.dom.Symbol.EntityInstantiationSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntityInstantiationSymbol __str__}@anchor{728}
+@deffn {Method} __str__ ()
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+Return str(self).
+@end deffn
+@end deffn
+
+@geindex ComponentInstantiationSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ComponentInstantiationSymbol}@anchor{286}
+@deffn {Class} pyGHDL.dom.Symbol.ComponentInstantiationSymbol (identifierNode, identifier)
+
+@subsubheading Inheritance
+
+@image{inheritance-c7394fdd0a0a3c300046eaffbdfc7af6f63d0545,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.ComponentInstantiationSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ComponentInstantiationSymbol __init__}@anchor{729}
+@deffn {Method} __init__ (identifierNode, identifier)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex _position (pyGHDL.dom.Symbol.EntitySymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _position}@anchor{901}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Symbol.ComponentInstantiationSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ComponentInstantiationSymbol _parent}@anchor{72a}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _reference (pyGHDL.dom.Symbol.EntitySymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _reference}@anchor{902}
-@deffn {Attribute} _reference: Any = None
+@geindex HasPrefix (pyGHDL.dom.Symbol.ComponentInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ComponentInstantiationSymbol HasPrefix}@anchor{72b}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
+
@end deffn
-@geindex _symbolName (pyGHDL.dom.Symbol.EntitySymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _symbolName}@anchor{903}
-@deffn {Attribute} _symbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Identifier (pyGHDL.dom.Symbol.ComponentInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ComponentInstantiationSymbol Identifier}@anchor{72c}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
+
@end deffn
-@geindex _possibleReferences (pyGHDL.dom.Symbol.EntitySymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _possibleReferences}@anchor{904}
-@deffn {Attribute} _possibleReferences: pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.ComponentInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ComponentInstantiationSymbol NormalizedIdentifier}@anchor{72d}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
@end deffn
-@geindex _parent (pyGHDL.dom.Symbol.EntitySymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _parent}@anchor{905}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Symbol.ComponentInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ComponentInstantiationSymbol Parent}@anchor{72e}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex Prefix (pyGHDL.dom.Symbol.ComponentInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ComponentInstantiationSymbol Prefix}@anchor{72f}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Symbol.EntitySymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _iirNode}@anchor{906}
-@deffn {Attribute} _iirNode: Iir
+@geindex Root (pyGHDL.dom.Symbol.ComponentInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ComponentInstantiationSymbol Root}@anchor{730}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Symbol.ComponentInstantiationSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ComponentInstantiationSymbol __str__}@anchor{731}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
-@geindex SimpleSubtypeSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol}@anchor{8f7}
-@deffn {Class} pyGHDL.dom.Symbol.SimpleSubtypeSymbol (node, subtypeName)
+@geindex ConfigurationInstantiationSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConfigurationInstantiationSymbol}@anchor{297}
+@deffn {Class} pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol (identifierNode, identifier)
@subsubheading Inheritance
-@image{inheritance-2953ed71a17dba267daee6fa3c754a0c1a01338e,,,[graphviz],png}
+@image{inheritance-fc0f0b02190418a63ae1795c7e596ae4540ef34b,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Parent (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Parent}@anchor{907}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{identifierNode} (@code{Iir}) –
-Returns a reference to the parent entity.
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@geindex __init__() (pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConfigurationInstantiationSymbol __init__}@anchor{732}
+@deffn {Method} __init__ (identifierNode, identifier)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Position}@anchor{908}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex _parent (pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConfigurationInstantiationSymbol _parent}@anchor{733}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-@ref{200,,Position}
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex HasPrefix (pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConfigurationInstantiationSymbol HasPrefix}@anchor{734}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex Reference (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Reference}@anchor{909}
-@deffn {Property} Reference: Any
+@geindex Identifier (pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConfigurationInstantiationSymbol Identifier}@anchor{735}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex Subtype (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Subtype}@anchor{90a}
-@deffn {Property} Subtype: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConfigurationInstantiationSymbol NormalizedIdentifier}@anchor{736}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
@end deffn
-@geindex SymbolName (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol SymbolName}@anchor{90b}
-@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Parent (pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConfigurationInstantiationSymbol Parent}@anchor{737}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
@end deffn
-@geindex _position (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _position}@anchor{90c}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Prefix (pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConfigurationInstantiationSymbol Prefix}@anchor{738}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _reference (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _reference}@anchor{90d}
-@deffn {Attribute} _reference: Any = None
+@geindex Root (pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConfigurationInstantiationSymbol Root}@anchor{739}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
-@geindex _symbolName (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _symbolName}@anchor{90e}
-@deffn {Attribute} _symbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex __str__() (pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConfigurationInstantiationSymbol __str__}@anchor{73a}
+@deffn {Method} __str__ ()
+
+Return str(self).
+@end deffn
@end deffn
-@geindex _possibleReferences (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _possibleReferences}@anchor{90f}
-@deffn {Attribute} _possibleReferences: pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
+@geindex EntitySymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol}@anchor{32e}
+@deffn {Class} pyGHDL.dom.Symbol.EntitySymbol (identifierNode, identifier)
+
+@subsubheading Inheritance
+
+@image{inheritance-af30a6531d9740c127967408301cb6e82ac700a1,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.EntitySymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol __init__}@anchor{73b}
+@deffn {Method} __init__ (identifierNode, identifier)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
@end deffn
-@geindex _parent (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _parent}@anchor{910}
+@geindex _parent (pyGHDL.dom.Symbol.EntitySymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _parent}@anchor{73c}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _iirNode}@anchor{911}
-@deffn {Attribute} _iirNode: Iir
-@end deffn
+@geindex HasPrefix (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol HasPrefix}@anchor{73d}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
+
@end deffn
-@geindex ConstrainedScalarSubtypeSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol}@anchor{8f8}
-@deffn {Class} pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol (node, subtypeName, rng=None)
+@geindex Identifier (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Identifier}@anchor{73e}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@subsubheading Inheritance
+The identifier the name is referencing.
-@image{inheritance-0e718d7f791eda519239e31a42e7bb5720d99045,,,[graphviz],png}
+@*Returns:
+The referenced identifier.
-@subsubheading Members
+@end deffn
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol NormalizedIdentifier}@anchor{73f}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
-@geindex parse() (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol class method)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol parse}@anchor{912}
-@deffn {Method} classmethod parse (node)
@end deffn
-@geindex Parent (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Parent}@anchor{913}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex Parent (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Parent}@anchor{740}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Position}@anchor{914}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Prefix (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Prefix}@anchor{741}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
@end deffn
-@geindex Range (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Range}@anchor{915}
-@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@geindex Root (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Root}@anchor{742}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
-@*Return type:
-Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
@end deffn
-@geindex Reference (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Reference}@anchor{916}
-@deffn {Property} Reference: Any
+@geindex __str__() (pyGHDL.dom.Symbol.EntitySymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol __str__}@anchor{743}
+@deffn {Method} __str__ ()
-@*Return type:
-Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
+Return str(self).
+@end deffn
+@end deffn
+
+@geindex ArchitectureSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ArchitectureSymbol}@anchor{28f}
+@deffn {Class} pyGHDL.dom.Symbol.ArchitectureSymbol (identifierNode, identifier, prefix)
+
+@subsubheading Inheritance
+
+@image{inheritance-d31885f3a41415fee3d60218956ce51f3efa99d7,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{prefix} (@ref{32e,,EntitySymbol}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.ArchitectureSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ArchitectureSymbol __init__}@anchor{744}
+@deffn {Method} __init__ (identifierNode, identifier, prefix)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{prefix} (@ref{32e,,EntitySymbol}) –
+@end itemize
@end deffn
-@geindex Subtype (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Subtype}@anchor{917}
-@deffn {Property} Subtype: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+@geindex _parent (pyGHDL.dom.Symbol.ArchitectureSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ArchitectureSymbol _parent}@anchor{745}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex HasPrefix (pyGHDL.dom.Symbol.ArchitectureSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ArchitectureSymbol HasPrefix}@anchor{746}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex SymbolName (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol SymbolName}@anchor{918}
-@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Identifier (pyGHDL.dom.Symbol.ArchitectureSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ArchitectureSymbol Identifier}@anchor{747}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex _position (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _position}@anchor{919}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.ArchitectureSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ArchitectureSymbol NormalizedIdentifier}@anchor{748}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
@end deffn
-@geindex _reference (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _reference}@anchor{91a}
-@deffn {Attribute} _reference: Any = None
+@geindex Parent (pyGHDL.dom.Symbol.ArchitectureSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ArchitectureSymbol Parent}@anchor{749}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _range (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _range}@anchor{91b}
-@deffn {Attribute} _range: @ref{21a,,Range}
+@geindex Prefix (pyGHDL.dom.Symbol.ArchitectureSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ArchitectureSymbol Prefix}@anchor{74a}
+@deffn {Property} Prefix: EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.EntitySymbol}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _symbolName (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _symbolName}@anchor{91c}
-@deffn {Attribute} _symbolName: @ref{354,,Name}
+@geindex Root (pyGHDL.dom.Symbol.ArchitectureSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ArchitectureSymbol Root}@anchor{74b}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
-@geindex _possibleReferences (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _possibleReferences}@anchor{91d}
-@deffn {Attribute} _possibleReferences: PossibleReference
+@geindex __str__() (pyGHDL.dom.Symbol.ArchitectureSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ArchitectureSymbol __str__}@anchor{74c}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+@end deffn
@end deffn
-@geindex _parent (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _parent}@anchor{91e}
+@geindex PackageSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageSymbol}@anchor{36a}
+@deffn {Class} pyGHDL.dom.Symbol.PackageSymbol (identifierNode, identifier)
+
+@subsubheading Inheritance
+
+@image{inheritance-33042288126044da4ee22cde9a0224c1c8b186eb,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.PackageSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageSymbol __init__}@anchor{74d}
+@deffn {Method} __init__ (identifierNode, identifier)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifierNode} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Symbol.PackageSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageSymbol _parent}@anchor{74e}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _iirNode}@anchor{91f}
-@deffn {Attribute} _iirNode: Iir
+@geindex HasPrefix (pyGHDL.dom.Symbol.PackageSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageSymbol HasPrefix}@anchor{74f}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
+
@end deffn
+
+@geindex Identifier (pyGHDL.dom.Symbol.PackageSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageSymbol Identifier}@anchor{750}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
+
@end deffn
-@geindex ConstrainedCompositeSubtypeSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol}@anchor{8f9}
-@deffn {Class} pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol (node, subtypeName, constraints=None)
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.PackageSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageSymbol NormalizedIdentifier}@anchor{751}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@subsubheading Inheritance
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
-@image{inheritance-347c5f71d1d2a44b3b73d3ec6b1dbe05dcf3ab9e,,,[graphviz],png}
+@end deffn
-@subsubheading Members
+@geindex Parent (pyGHDL.dom.Symbol.PackageSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageSymbol Parent}@anchor{752}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-@geindex parse() (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol class method)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol parse}@anchor{920}
-@deffn {Method} classmethod parse (node)
@end deffn
-@geindex Constraints (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Constraints}@anchor{921}
-@deffn {Property} Constraints: List[Union[pyVHDLModel.SyntaxModel.RangeExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeExpression}, pyVHDLModel.SyntaxModel.RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeAttribute}, pyVHDLModel.SyntaxModel.RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeSubtype}]]
+@geindex Prefix (pyGHDL.dom.Symbol.PackageSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageSymbol Prefix}@anchor{753}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[RangeExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeExpression}, RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeAttribute}, RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeSubtype}]]
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
@end deffn
-@geindex Parent (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Parent}@anchor{922}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex Root (pyGHDL.dom.Symbol.PackageSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageSymbol Root}@anchor{754}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
-Returns a reference to the parent entity.
+The root (left-most) element in a chain of names.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
@end deffn
-@geindex Position (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Position}@anchor{923}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex __str__() (pyGHDL.dom.Symbol.PackageSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol PackageSymbol __str__}@anchor{755}
+@deffn {Method} __str__ ()
-@*Return type:
-@ref{200,,Position}
+Return str(self).
+@end deffn
+@end deffn
+
+@geindex SimpleSubtypeSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol}@anchor{6ee}
+@deffn {Class} pyGHDL.dom.Symbol.SimpleSubtypeSymbol (node, subtypeName)
+
+@subsubheading Inheritance
+
+@image{inheritance-04a184a1e8a758fcb799b4eab50a49191afb3647,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{subtypeName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.SimpleSubtypeSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol __init__}@anchor{756}
+@deffn {Method} __init__ (node, subtypeName)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{subtypeName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex Reference (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Reference}@anchor{924}
-@deffn {Property} Reference: Any
+@geindex _parent (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _parent}@anchor{757}
+@deffn {Attribute} _parent: ModelEntity
-@*Return type:
-Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex HasPrefix (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol HasPrefix}@anchor{758}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex Subtype (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Subtype}@anchor{925}
-@deffn {Property} Subtype: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+@geindex Identifier (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Identifier}@anchor{759}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex SymbolName (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol SymbolName}@anchor{926}
-@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol NormalizedIdentifier}@anchor{75a}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
@end deffn
-@geindex _position (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _position}@anchor{927}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Parent (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Parent}@anchor{75b}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
@end deffn
-@geindex _reference (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _reference}@anchor{928}
-@deffn {Attribute} _reference: Any = None
+@geindex Prefix (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Prefix}@anchor{75c}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _constraints (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _constraints}@anchor{929}
-@deffn {Attribute} _constraints: List[Union[RangeExpression, RangeAttribute, RangeSubtype]]
+@geindex Root (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Root}@anchor{75d}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
-@geindex _symbolName (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _symbolName}@anchor{92a}
-@deffn {Attribute} _symbolName: @ref{354,,Name}
+@geindex __str__() (pyGHDL.dom.Symbol.SimpleSubtypeSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol __str__}@anchor{75e}
+@deffn {Method} __str__ ()
+
+Return str(self).
+@end deffn
@end deffn
-@geindex _possibleReferences (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _possibleReferences}@anchor{92b}
-@deffn {Attribute} _possibleReferences: PossibleReference
+@geindex ConstrainedScalarSubtypeSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol}@anchor{6ef}
+@deffn {Class} pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol (node, subtypeName, rng=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-731e676bef5ef3473fe3323435eaf513212784bb,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{subtypeName} (@code{<module 'pyVHDLModel.Name' from '/Library/Frameworks/Python.framework/Versions/3.8/lib/python3.8/site-packages/pyVHDLModel/Name.py'>}) –
+
+@item
+@code{rng} (@ref{243,,Range}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol __init__}@anchor{75f}
+@deffn {Method} __init__ (node, subtypeName, rng=None)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{subtypeName} (@code{<module 'pyVHDLModel.Name' from '/Library/Frameworks/Python.framework/Versions/3.8/lib/python3.8/site-packages/pyVHDLModel/Name.py'>}) –
+
+@item
+@code{rng} (@ref{243,,Range}@code{ | }@code{None}) –
+@end itemize
+
@end deffn
-@geindex _parent (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _parent}@anchor{92c}
+@geindex _parent (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _parent}@anchor{760}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _iirNode}@anchor{92d}
-@deffn {Attribute} _iirNode: Iir
-@end deffn
-@end deffn
+@geindex HasPrefix (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol HasPrefix}@anchor{761}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
-@geindex SimpleObjectOrFunctionCallSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol}@anchor{8fa}
-@deffn {Class} pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol (objectName)
+Returns true, if the name has a prefix.
-@subsubheading Inheritance
+This is true for all names except @code{simple names}.
-@image{inheritance-fd513336ec65b9b3968ac2bb31f267f78488c4f1,,,[graphviz],png}
+@*Returns:
+@code{True}, if the name as a prefix.
-@subsubheading Members
+@end deffn
+@geindex Identifier (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Identifier}@anchor{762}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
-@geindex parse() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol class method)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol parse}@anchor{92e}
-@deffn {Method} classmethod parse (node)
@end deffn
-@geindex ObjectOrFunction (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol ObjectOrFunction}@anchor{92f}
-@deffn {Property} ObjectOrFunction: Union[pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, pyVHDLModel.SyntaxModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, pyVHDLModel.SyntaxModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}, pyVHDLModel.SyntaxModel.EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol NormalizedIdentifier}@anchor{763}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}, EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
@end deffn
-@geindex Parent (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Parent}@anchor{930}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex Parent (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Parent}@anchor{764}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
Returns a reference to the parent entity.
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Parent entity.
@end deffn
-@geindex Position (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Position}@anchor{931}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Prefix (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Prefix}@anchor{765}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
@end deffn
-@geindex Reference (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Reference}@anchor{932}
-@deffn {Property} Reference: Any
+@geindex Root (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Root}@anchor{766}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
-@*Return type:
-Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
@end deffn
-@geindex SymbolName (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol SymbolName}@anchor{933}
-@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex __str__() (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol __str__}@anchor{767}
+@deffn {Method} __str__ ()
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+Return str(self).
+@end deffn
+@end deffn
+
+@geindex ConstrainedCompositeSubtypeSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol}@anchor{6f0}
+@deffn {Class} pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol (node, subtypeName, constraints=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-12525d3f87b163513734b2871b19ca0aeab6a17a,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{subtypeName} (@code{<module 'pyVHDLModel.Name' from '/Library/Frameworks/Python.framework/Versions/3.8/lib/python3.8/site-packages/pyVHDLModel/Name.py'>}) –
+
+@item
+@code{constraints} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol __init__}@anchor{768}
+@deffn {Method} __init__ (node, subtypeName, constraints=None)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{subtypeName} (@code{<module 'pyVHDLModel.Name' from '/Library/Frameworks/Python.framework/Versions/3.8/lib/python3.8/site-packages/pyVHDLModel/Name.py'>}) –
+
+@item
+@code{constraints} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{ | }@code{None}) –
+@end itemize
@end deffn
-@geindex _position (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _position}@anchor{934}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _parent}@anchor{769}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _reference (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _reference}@anchor{935}
-@deffn {Attribute} _reference: Any = None
+@geindex HasPrefix (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol HasPrefix}@anchor{76a}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
+
@end deffn
-@geindex _symbolName (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _symbolName}@anchor{936}
-@deffn {Attribute} _symbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Identifier (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Identifier}@anchor{76b}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
+
@end deffn
-@geindex _possibleReferences (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _possibleReferences}@anchor{937}
-@deffn {Attribute} _possibleReferences: pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol NormalizedIdentifier}@anchor{76c}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
@end deffn
-@geindex _parent (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _parent}@anchor{938}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Parent}@anchor{76d}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex Prefix (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Prefix}@anchor{76e}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
-Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _iirNode}@anchor{939}
-@deffn {Attribute} _iirNode: Iir
+@geindex Root (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Root}@anchor{76f}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol __str__}@anchor{770}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
-@geindex IndexedObjectOrFunctionCallSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol}@anchor{8fb}
-@deffn {Class} pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol (node, name)
+@geindex SimpleObjectOrFunctionCallSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol}@anchor{6f1}
+@deffn {Class} pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol (node, identifier)
@subsubheading Inheritance
-@image{inheritance-0c62c19b6c948ba3f2963815f387e2b0f70fcbfa,,,[graphviz],png}
+@image{inheritance-81e7b015a58b0f46f79e9fab83399f51717285ec,,,[graphviz],png}
+
+@*Parameters:
-@subsubheading Members
+@itemize *
+@item
+@code{node} (@code{Iir}) –
-@geindex parse() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol class method)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol parse}@anchor{93a}
-@deffn {Method} classmethod parse (node)
-@end deffn
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@geindex ObjectOrFunction (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol ObjectOrFunction}@anchor{93b}
-@deffn {Property} ObjectOrFunction: Union[pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, pyVHDLModel.SyntaxModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, pyVHDLModel.SyntaxModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]
+@geindex __init__() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol __init__}@anchor{771}
+@deffn {Method} __init__ (node, identifier)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex Parent (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Parent}@anchor{93c}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _parent}@anchor{772}
+@deffn {Attribute} _parent: ModelEntity
-Returns a reference to the parent entity.
+Reference to a parent entity in the model.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex HasPrefix (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol HasPrefix}@anchor{773}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
@end deffn
-@geindex Position (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Position}@anchor{93d}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Identifier (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Identifier}@anchor{774}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-@ref{200,,Position}
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
@end deffn
-@geindex Reference (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Reference}@anchor{93e}
-@deffn {Property} Reference: Any
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol NormalizedIdentifier}@anchor{775}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
@end deffn
-@geindex SymbolName (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol SymbolName}@anchor{93f}
-@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex Parent (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Parent}@anchor{776}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
@end deffn
-@geindex _position (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _position}@anchor{940}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex Prefix (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Prefix}@anchor{777}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
@end deffn
-@geindex _reference (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _reference}@anchor{941}
-@deffn {Attribute} _reference: Any = None
+@geindex Root (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Root}@anchor{778}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
@end deffn
-@geindex _symbolName (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _symbolName}@anchor{942}
-@deffn {Attribute} _symbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@geindex __str__() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol __str__}@anchor{779}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
+@end deffn
+
+@geindex IndexedObjectOrFunctionCallSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol}@anchor{6f2}
+@deffn {Class} pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol (node, prefix, indices)
+
+@subsubheading Inheritance
+
+@image{inheritance-7b1727a50727a59f93449e9117e892ad736dc015,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{prefix} (@code{<module 'pyVHDLModel.Name' from '/Library/Frameworks/Python.framework/Versions/3.8/lib/python3.8/site-packages/pyVHDLModel/Name.py'>}) –
+
+@item
+@code{indices} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}@code{]}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol __init__}@anchor{77a}
+@deffn {Method} __init__ (node, prefix, indices)
+
+Initializes a VHDL model entity.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{prefix} (@code{<module 'pyVHDLModel.Name' from '/Library/Frameworks/Python.framework/Versions/3.8/lib/python3.8/site-packages/pyVHDLModel/Name.py'>}) –
+
+@item
+@code{indices} (Iterable@footnote{https://docs.python.org/3/library/typing.html#typing.Iterable}@code{[}@code{BaseExpression}@code{ | }@ref{238,,QualifiedExpression}@code{ | }@ref{239,,FunctionCall}@code{ | }@ref{23a,,TypeConversion}@code{ | }@code{Literal}@code{]}) –
+@end itemize
-@geindex _possibleReferences (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _possibleReferences}@anchor{943}
-@deffn {Attribute} _possibleReferences: pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
@end deffn
@geindex _parent (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _parent}@anchor{944}
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _parent}@anchor{77b}
@deffn {Attribute} _parent: ModelEntity
Reference to a parent entity in the model.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _iirNode}@anchor{945}
-@deffn {Attribute} _iirNode: Iir
+@geindex HasPrefix (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol HasPrefix}@anchor{77c}
+@deffn {Property} HasPrefix: bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+Returns true, if the name has a prefix.
+
+This is true for all names except @code{simple names}.
+
+@*Returns:
+@code{True}, if the name as a prefix.
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Identifier}@anchor{77d}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The identifier the name is referencing.
+
+@*Returns:
+The referenced identifier.
+
+@end deffn
+
+@geindex NormalizedIdentifier (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol NormalizedIdentifier}@anchor{77e}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+The normalized identifier the name is referencing.
+
+@*Returns:
+The referenced identifier (normalized).
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Parent}@anchor{77f}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
+
+@end deffn
+
+@geindex Prefix (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Prefix}@anchor{780}
+@deffn {Property} Prefix: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+The name’s prefix in a chain of names.
+
+@*Returns:
+The name left from current name, if not a simple name, otherwise @code{None}.
+
+@end deffn
+
+@geindex Root (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Root}@anchor{781}
+@deffn {Property} Root: Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}
+
+The root (left-most) element in a chain of names.
+
+In case the name is a @code{simple name}, the root points to the name itself.
+
+@*Returns:
+The name’s root element.
+
+@end deffn
+
+@geindex __str__() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol __str__}@anchor{782}
+@deffn {Method} __str__ ()
+
+Return str(self).
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
@end deffn
@end deffn
@@ -22535,1223 +25861,1498 @@ Reference to a parent entity in the model.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom Type,pyGHDL dom _Translate,pyGHDL dom Symbol,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Type doc}@anchor{946}@anchor{pyGHDL/pyGHDL dom Type module-pyGHDL dom Type}@anchor{19}@anchor{pyGHDL/pyGHDL dom Type pyghdl-dom-type}@anchor{947}
-@subsection pyGHDL.dom.Type
+@anchor{pyGHDL/pyGHDL dom Type doc}@anchor{783}@anchor{pyGHDL/pyGHDL dom Type module-pyGHDL dom Type}@anchor{1a}@anchor{pyGHDL/pyGHDL dom Type pyghdl-dom-type}@anchor{784}
+@subsection @code{pyGHDL.dom.Type}
@geindex module; pyGHDL.dom.Type
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{948,,IncompleteType}:
-@code{BaseType} is the base class of all type entities in this model.
+@ref{785,,IncompleteType}:
+@code{BaseType} is the base-class of all type entities in this model.
@item
-@ref{949,,EnumeratedType}:
+@ref{786,,EnumeratedType}:
A @code{ScalarType} is a base-class for all scalar types.
@item
-@ref{94a,,IntegerType}:
+@ref{787,,IntegerType}:
A @code{RangedScalarType} is a base-class for all scalar types with a range.
@item
-@ref{94b,,PhysicalType}:
+@ref{788,,PhysicalType}:
A @code{RangedScalarType} is a base-class for all scalar types with a range.
@item
-@ref{94c,,ArrayType}:
+@ref{789,,ArrayType}:
A @code{CompositeType} is a base-class for all composite types.
@item
-@ref{94d,,RecordTypeElement}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{78a,,RecordTypeElement}:
+@code{ModelEntity} is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple
@item
-@ref{94e,,RecordType}:
+@ref{78b,,RecordType}:
A @code{CompositeType} is a base-class for all composite types.
@item
-@ref{94f,,ProtectedType}:
-@code{BaseType} is the base class of all type entities in this model.
+@ref{78c,,ProtectedType}:
+@code{BaseType} is the base-class of all type entities in this model.
@item
-@ref{950,,ProtectedTypeBody}:
-@code{BaseType} is the base class of all type entities in this model.
+@ref{78d,,ProtectedTypeBody}:
+@code{BaseType} is the base-class of all type entities in this model.
@item
-@ref{951,,AccessType}:
-@code{BaseType} is the base class of all type entities in this model.
+@ref{78e,,AccessType}:
+@code{BaseType} is the base-class of all type entities in this model.
@item
-@ref{952,,FileType}:
-@code{BaseType} is the base class of all type entities in this model.
+@ref{78f,,FileType}:
+@code{BaseType} is the base-class of all type entities in this model.
@item
-@ref{239,,Subtype}:
-@code{BaseType} is the base class of all type entities in this model.
+@ref{790,,Subtype}:
+@code{BaseType} is the base-class of all type entities in this model.
@end itemize
-@c #-----------------------------------
+
+__________________________________________________________________
+
+
+`Classes'
@geindex IncompleteType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType}@anchor{948}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType}@anchor{785}
@deffn {Class} pyGHDL.dom.Type.IncompleteType (node, identifier)
@subsubheading Inheritance
-@image{inheritance-500e9dc48467a7eebccdf7e2e787048ef162e4ab,,,[graphviz],png}
+@image{inheritance-ca9e7e80d516ffd540a7bc9e2dde58cab31baa08,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Type.IncompleteType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType parse}@anchor{953}
-@deffn {Method} classmethod parse (node)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{948,,IncompleteType}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Identifier (pyGHDL.dom.Type.IncompleteType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Identifier}@anchor{954}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Type.IncompleteType method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType __init__}@anchor{791}
+@deffn {Method} __init__ (node, identifier)
-Returns a model entity’s identifier (name).
+Initializes underlying @code{BaseType}.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Name of the type.
+
+@item
+@code{node} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Parent (pyGHDL.dom.Type.IncompleteType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Parent}@anchor{955}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Type.IncompleteType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _parent}@anchor{792}
+@deffn {Attribute} _parent: ModelEntity
-Returns a reference to the parent entity.
+Reference to a parent entity in the model.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex Documentation (pyGHDL.dom.Type.IncompleteType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Documentation}@anchor{793}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex Position (pyGHDL.dom.Type.IncompleteType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Position}@anchor{956}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Identifier (pyGHDL.dom.Type.IncompleteType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Identifier}@anchor{794}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Type.IncompleteType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _position}@anchor{957}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.IncompleteType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType NormalizedIdentifier}@anchor{795}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Type.IncompleteType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _parent}@anchor{958}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Type.IncompleteType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Parent}@anchor{796}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Type.IncompleteType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _identifier}@anchor{959}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _identifier}@anchor{797}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.IncompleteType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _iirNode}@anchor{95a}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.IncompleteType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _normalizedIdentifier}@anchor{798}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Type.IncompleteType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _documentation}@anchor{799}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex EnumeratedType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType}@anchor{949}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType}@anchor{786}
@deffn {Class} pyGHDL.dom.Type.EnumeratedType (node, identifier, literals)
@subsubheading Inheritance
-@image{inheritance-5799cdeebf1a9043c20f02ade195298a974c69ba,,,[graphviz],png}
+@image{inheritance-7a741f276dfa5aab0a2175d2f93590b9879586ae,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Type.EnumeratedType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType parse}@anchor{95b}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{949,,EnumeratedType}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{literals} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}@ref{50e,,EnumerationLiteral}@code{]}) –
+@end itemize
-@geindex Identifier (pyGHDL.dom.Type.EnumeratedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Identifier}@anchor{95c}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s identifier (name).
+@geindex __init__() (pyGHDL.dom.Type.EnumeratedType method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType __init__}@anchor{79a}
+@deffn {Method} __init__ (node, identifier, literals)
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes underlying @code{BaseType}.
-@end deffn
+@*Parameters:
-@geindex Literals (pyGHDL.dom.Type.EnumeratedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Literals}@anchor{95d}
-@deffn {Property} Literals: List[pyVHDLModel.SyntaxModel.EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
+@itemize *
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Name of the type.
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Type.EnumeratedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Parent}@anchor{95e}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{literals} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}@ref{50e,,EnumerationLiteral}@code{]}) –
+@end itemize
-Returns a reference to the parent entity.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _parent}@anchor{79b}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Type.EnumeratedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Position}@anchor{95f}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Documentation (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Documentation}@anchor{79c}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Type.EnumeratedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _position}@anchor{960}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@geindex Identifier (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Identifier}@anchor{79d}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
+
@end deffn
-@geindex _literals (pyGHDL.dom.Type.EnumeratedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _literals}@anchor{961}
-@deffn {Attribute} _literals: List[@ref{6ae,,pyGHDL.dom.Literal.EnumerationLiteral}]
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType NormalizedIdentifier}@anchor{79e}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Type.EnumeratedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _parent}@anchor{962}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Parent}@anchor{79f}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Type.EnumeratedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _identifier}@anchor{963}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _identifier}@anchor{7a0}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.EnumeratedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _iirNode}@anchor{964}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _normalizedIdentifier}@anchor{7a1}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _documentation}@anchor{7a2}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex IntegerType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType}@anchor{94a}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType}@anchor{787}
@deffn {Class} pyGHDL.dom.Type.IntegerType (node, typeName, rng)
@subsubheading Inheritance
-@image{inheritance-639507d32ef8554f6ab70c6bff9ef0df04a6c3b2,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-7df52b51de4c86a8eec6196b1ac738cbe1b8522d,,,[graphviz],png}
+@*Parameters:
-@geindex Identifier (pyGHDL.dom.Type.IntegerType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Identifier}@anchor{965}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@itemize *
-Returns a model entity’s identifier (name).
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{typeName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{rng} (@ref{243,,Range}@code{ | }@ref{7a3,,Name}) –
+@end itemize
-@geindex Parent (pyGHDL.dom.Type.IntegerType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Parent}@anchor{966}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@geindex __init__() (pyGHDL.dom.Type.IntegerType method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType __init__}@anchor{7a4}
+@deffn {Method} __init__ (node, typeName, rng)
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes underlying @code{BaseType}.
-@end deffn
+@*Parameters:
-@geindex Position (pyGHDL.dom.Type.IntegerType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Position}@anchor{967}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@itemize *
-@*Return type:
-@ref{200,,Position}
+@item
+@code{identifier} – Name of the type.
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Range (pyGHDL.dom.Type.IntegerType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Range}@anchor{968}
-@deffn {Property} Range: Union[pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}, pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@item
+@code{typeName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}, Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@item
+@code{rng} (@ref{243,,Range}@code{ | }Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
+@end itemize
@end deffn
-@geindex _position (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _position}@anchor{969}
-@deffn {Attribute} _position: @ref{200,,Position} = None
+@geindex _parent (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _parent}@anchor{7a5}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _range (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _range}@anchor{96a}
-@deffn {Attribute} _range: Union[@ref{21a,,Range}, pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex Documentation (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Documentation}@anchor{7a6}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
+
@end deffn
-@geindex _leftBound (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _leftBound}@anchor{96b}
-@deffn {Attribute} _leftBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex Identifier (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Identifier}@anchor{7a7}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
+
@end deffn
-@geindex _rightBound (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _rightBound}@anchor{96c}
-@deffn {Attribute} _rightBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType NormalizedIdentifier}@anchor{7a8}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _parent}@anchor{96d}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Parent}@anchor{7a9}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _identifier}@anchor{96e}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _identifier}@anchor{7aa}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _iirNode}@anchor{96f}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _normalizedIdentifier}@anchor{7ab}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _documentation}@anchor{7ac}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex PhysicalType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType}@anchor{94b}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType}@anchor{788}
@deffn {Class} pyGHDL.dom.Type.PhysicalType (node, typeName, rng, primaryUnit, units)
@subsubheading Inheritance
-@image{inheritance-926b116ec4ead21805fb5432391cd82ec0bba76c,,,[graphviz],png}
+@image{inheritance-adadeac08eeed736c4666528b7dc82c3dc6bff4b,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Type.PhysicalType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType parse}@anchor{970}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{94b,,PhysicalType}
+@item
+@code{typeName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{rng} (@ref{243,,Range}@code{ | }Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
-@geindex Identifier (pyGHDL.dom.Type.PhysicalType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Identifier}@anchor{971}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{primaryUnit} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-Returns a model entity’s identifier (name).
+@item
+@code{units} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{, }@ref{511,,PhysicalIntegerLiteral}@code{]}@code{]}) –
+@end itemize
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Type.PhysicalType method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType __init__}@anchor{7ad}
+@deffn {Method} __init__ (node, typeName, rng, primaryUnit, units)
-@geindex Parent (pyGHDL.dom.Type.PhysicalType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Parent}@anchor{972}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+Initializes underlying @code{BaseType}.
-Returns a reference to the parent entity.
+@*Parameters:
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@itemize *
-@end deffn
+@item
+@code{identifier} – Name of the type.
-@geindex Position (pyGHDL.dom.Type.PhysicalType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Position}@anchor{973}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{200,,Position}
+@item
+@code{typeName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{rng} (@ref{243,,Range}@code{ | }Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Name.html#pyVHDLModel.Name.Name}) –
-@geindex PrimaryUnit (pyGHDL.dom.Type.PhysicalType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType PrimaryUnit}@anchor{974}
-@deffn {Property} PrimaryUnit: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{primaryUnit} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{units} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{, }@ref{511,,PhysicalIntegerLiteral}@code{]}@code{]}) –
+@end itemize
@end deffn
-@geindex Range (pyGHDL.dom.Type.PhysicalType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Range}@anchor{975}
-@deffn {Property} Range: Union[pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}, pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
-
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}, Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@geindex _parent (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _parent}@anchor{7ae}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex SecondaryUnits (pyGHDL.dom.Type.PhysicalType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType SecondaryUnits}@anchor{976}
-@deffn {Property} SecondaryUnits: List[Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, pyVHDLModel.SyntaxModel.PhysicalIntegerLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PhysicalIntegerLiteral}]]
+@geindex Documentation (pyGHDL.dom.Type.PhysicalType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Documentation}@anchor{7af}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Tuple@footnote{https://docs.python.org/3.6/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, PhysicalIntegerLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PhysicalIntegerLiteral}]]
+Returns a model entity’s associated documentation.
-@end deffn
+@*Returns:
+Associated documentation of a model entity.
-@geindex _position (pyGHDL.dom.Type.PhysicalType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _position}@anchor{977}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _primaryUnit (pyGHDL.dom.Type.PhysicalType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _primaryUnit}@anchor{978}
-@deffn {Attribute} _primaryUnit: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@end deffn
+@geindex Identifier (pyGHDL.dom.Type.PhysicalType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Identifier}@anchor{7b0}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex _secondaryUnits (pyGHDL.dom.Type.PhysicalType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _secondaryUnits}@anchor{979}
-@deffn {Attribute} _secondaryUnits: List[Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, @ref{6b1,,PhysicalIntegerLiteral}]]
-@end deffn
+Returns a model entity’s identifier (name).
-@geindex _range (pyGHDL.dom.Type.PhysicalType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _range}@anchor{97a}
-@deffn {Attribute} _range: Union['Range', @ref{354,,Name}]
-@end deffn
+@*Returns:
+Name of a model entity.
-@geindex _leftBound (pyGHDL.dom.Type.PhysicalType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _leftBound}@anchor{97b}
-@deffn {Attribute} _leftBound: ExpressionUnion
@end deffn
-@geindex _rightBound (pyGHDL.dom.Type.PhysicalType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _rightBound}@anchor{97c}
-@deffn {Attribute} _rightBound: ExpressionUnion
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.PhysicalType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType NormalizedIdentifier}@anchor{7b1}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Type.PhysicalType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _parent}@anchor{97d}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Type.PhysicalType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Parent}@anchor{7b2}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Type.PhysicalType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _identifier}@anchor{97e}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _identifier}@anchor{7b3}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.PhysicalType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _iirNode}@anchor{97f}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _normalizedIdentifier}@anchor{7b4}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _documentation}@anchor{7b5}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex ArrayType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType}@anchor{94c}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType}@anchor{789}
@deffn {Class} pyGHDL.dom.Type.ArrayType (node, identifier, indices, elementSubtype)
@subsubheading Inheritance
-@image{inheritance-8232d93bbe0e961c8d6169ed6025ca49aaa5f52d,,,[graphviz],png}
+@image{inheritance-acdc3d2cccf2bd2ae9a5f768aaad76f27f2d8be5,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Type.ArrayType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType parse}@anchor{980}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{94c,,ArrayType}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{indices} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}) –
-@geindex Dimensions (pyGHDL.dom.Type.ArrayType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Dimensions}@anchor{981}
-@deffn {Property} Dimensions: List[pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}]
+@item
+@code{elementSubtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}]
-@end deffn
+@geindex __init__() (pyGHDL.dom.Type.ArrayType method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType __init__}@anchor{7b6}
+@deffn {Method} __init__ (node, identifier, indices, elementSubtype)
-@geindex ElementType (pyGHDL.dom.Type.ArrayType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType ElementType}@anchor{982}
-@deffn {Property} ElementType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+Initializes underlying @code{BaseType}.
-@*Return type:
-Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Identifier (pyGHDL.dom.Type.ArrayType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Identifier}@anchor{983}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Name of the type.
-Returns a model entity’s identifier (name).
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{indices} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}) –
+
+@item
+@code{elementSubtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
@end deffn
-@geindex Parent (pyGHDL.dom.Type.ArrayType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Parent}@anchor{984}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Type.ArrayType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _parent}@anchor{7b7}
+@deffn {Attribute} _parent: ModelEntity
-Returns a reference to the parent entity.
+Reference to a parent entity in the model.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex Documentation (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Documentation}@anchor{7b8}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex Position (pyGHDL.dom.Type.ArrayType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Position}@anchor{985}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Identifier (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Identifier}@anchor{7b9}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s identifier (name).
-@end deffn
+@*Returns:
+Name of a model entity.
-@geindex _position (pyGHDL.dom.Type.ArrayType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _position}@anchor{986}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
-@geindex _dimensions (pyGHDL.dom.Type.ArrayType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _dimensions}@anchor{987}
-@deffn {Attribute} _dimensions: List[@ref{21a,,pyGHDL.dom.Range.Range}]
-@end deffn
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType NormalizedIdentifier}@anchor{7ba}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
-@geindex _elementType (pyGHDL.dom.Type.ArrayType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _elementType}@anchor{988}
-@deffn {Attribute} _elementType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@end deffn
-@geindex _parent (pyGHDL.dom.Type.ArrayType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _parent}@anchor{989}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Parent}@anchor{7bb}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Type.ArrayType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _identifier}@anchor{98a}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _identifier}@anchor{7bc}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.ArrayType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _iirNode}@anchor{98b}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.ArrayType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _normalizedIdentifier}@anchor{7bd}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Type.ArrayType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _documentation}@anchor{7be}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex RecordTypeElement (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement}@anchor{94d}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement}@anchor{78a}
@deffn {Class} pyGHDL.dom.Type.RecordTypeElement (node, identifiers, subtype)
@subsubheading Inheritance
-@image{inheritance-ac2d3cb1a43ecc6034ab849b1c67fc042f76f002,,,[graphviz],png}
+@image{inheritance-9c04aec8105ef93038eeadacbd6c5c542f39f6c5,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
-@subsubheading Members
+@item
+@code{node} (@code{Iir}) –
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-@geindex parse() (pyGHDL.dom.Type.RecordTypeElement class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement parse}@anchor{98c}
-@deffn {Method} classmethod parse (elementDeclarationNode)
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
-@*Return type:
-@ref{94d,,RecordTypeElement}
-@end deffn
+@geindex __init__() (pyGHDL.dom.Type.RecordTypeElement method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement __init__}@anchor{7bf}
+@deffn {Method} __init__ (node, identifiers, subtype)
-@geindex Identifiers (pyGHDL.dom.Type.RecordTypeElement property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Identifiers}@anchor{98d}
-@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+Initializes a VHDL model entity.
-Returns a model entity’s list of identifiers (name).
+@*Parameters:
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@itemize *
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Type.RecordTypeElement property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Parent}@anchor{98e}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{identifiers} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
-Returns a reference to the parent entity.
+@item
+@code{subtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@end deffn
+@geindex _parent (pyGHDL.dom.Type.RecordTypeElement attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _parent}@anchor{7c0}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Type.RecordTypeElement property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Position}@anchor{98f}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Identifiers (pyGHDL.dom.Type.RecordTypeElement property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Identifiers}@anchor{7c1}
+@deffn {Property} Identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s tuple of identifiers (names).
+
+@*Returns:
+Tuple of identifiers.
@end deffn
-@geindex Subtype (pyGHDL.dom.Type.RecordTypeElement property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Subtype}@anchor{990}
-@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+@geindex NormalizedIdentifiers (pyGHDL.dom.Type.RecordTypeElement property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement NormalizedIdentifiers}@anchor{7c2}
+@deffn {Property} NormalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
-@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Returns a model entity’s tuple of normalized identifiers (lower case names).
-@end deffn
+@*Returns:
+Tuple of normalized identifiers.
-@geindex _position (pyGHDL.dom.Type.RecordTypeElement attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _position}@anchor{991}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _subtype (pyGHDL.dom.Type.RecordTypeElement attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _subtype}@anchor{992}
-@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
-@end deffn
+@geindex Parent (pyGHDL.dom.Type.RecordTypeElement property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Parent}@anchor{7c3}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _parent (pyGHDL.dom.Type.RecordTypeElement attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _parent}@anchor{993}
-@deffn {Attribute} _parent: ModelEntity
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifiers (pyGHDL.dom.Type.RecordTypeElement attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _identifiers}@anchor{994}
-@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _identifiers}@anchor{7c4}
+@deffn {Attribute} _identifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
A list of identifiers.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.RecordTypeElement attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _iirNode}@anchor{995}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifiers (pyGHDL.dom.Type.RecordTypeElement attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _normalizedIdentifiers}@anchor{7c5}
+@deffn {Attribute} _normalizedIdentifiers: Tuple@footnote{https://docs.python.org/3/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3/library/stdtypes.html#str}]
+
+A list of normalized (lower case) identifiers.
@end deffn
@end deffn
@geindex RecordType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType}@anchor{94e}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType}@anchor{78b}
@deffn {Class} pyGHDL.dom.Type.RecordType (node, identifier, elements=None)
@subsubheading Inheritance
-@image{inheritance-b1317c58e6d5daa9c653acbe19fca9ca91929a39,,,[graphviz],png}
+@image{inheritance-cfbda24f6cca3449bfa09045a76ab0589d169b76,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Type.RecordType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType parse}@anchor{996}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{94e,,RecordType}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{elements} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}@ref{78a,,RecordTypeElement}@code{]}) –
+@end itemize
-@geindex Elements (pyGHDL.dom.Type.RecordType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Elements}@anchor{997}
-@deffn {Property} Elements: List[pyVHDLModel.SyntaxModel.RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RecordTypeElement}]
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RecordTypeElement}]
+@geindex __init__() (pyGHDL.dom.Type.RecordType method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType __init__}@anchor{7c6}
+@deffn {Method} __init__ (node, identifier, elements=None)
-@end deffn
+Initializes underlying @code{BaseType}.
-@geindex Identifier (pyGHDL.dom.Type.RecordType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Identifier}@anchor{998}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Parameters:
-Returns a model entity’s identifier (name).
+@itemize *
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Name of the type.
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Type.RecordType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Parent}@anchor{999}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{elements} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}@ref{78a,,RecordTypeElement}@code{] }@code{| }@code{None}) –
+@end itemize
-Returns a reference to the parent entity.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Type.RecordType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _parent}@anchor{7c7}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Type.RecordType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Position}@anchor{99a}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Documentation (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Documentation}@anchor{7c8}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Type.RecordType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _position}@anchor{99b}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@geindex Identifier (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Identifier}@anchor{7c9}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
+
@end deffn
-@geindex _elements (pyGHDL.dom.Type.RecordType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _elements}@anchor{99c}
-@deffn {Attribute} _elements: List[pyVHDLModel.SyntaxModel.RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RecordTypeElement}]
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType NormalizedIdentifier}@anchor{7ca}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Type.RecordType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _parent}@anchor{99d}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Parent}@anchor{7cb}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Type.RecordType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _identifier}@anchor{99e}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _identifier}@anchor{7cc}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.RecordType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _iirNode}@anchor{99f}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.RecordType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _normalizedIdentifier}@anchor{7cd}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Type.RecordType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _documentation}@anchor{7ce}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex ProtectedType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType}@anchor{94f}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType}@anchor{78c}
@deffn {Class} pyGHDL.dom.Type.ProtectedType (node, identifier, methods=None)
@subsubheading Inheritance
-@image{inheritance-ccf8357a58775a283ad0d7bb00583f925af4beb8,,,[graphviz],png}
+@image{inheritance-992f896b10d48efd9b4f960b556cc05b973017bd,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Type.ProtectedType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType parse}@anchor{9a0}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{94f,,ProtectedType}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{methods} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{ | }Iterator@footnote{https://docs.python.org/3/library/typing.html#typing.Iterator}) –
+@end itemize
-@geindex Identifier (pyGHDL.dom.Type.ProtectedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Identifier}@anchor{9a1}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s identifier (name).
+@geindex __init__() (pyGHDL.dom.Type.ProtectedType method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType __init__}@anchor{7cf}
+@deffn {Method} __init__ (node, identifier, methods=None)
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes underlying @code{BaseType}.
-@end deffn
+@*Parameters:
-@geindex Methods (pyGHDL.dom.Type.ProtectedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Methods}@anchor{9a2}
-@deffn {Property} Methods: List[Union[pyVHDLModel.SyntaxModel.Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure}, pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
+@itemize *
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Name of the type.
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Type.ProtectedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Parent}@anchor{9a3}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{methods} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{ | }Iterator@footnote{https://docs.python.org/3/library/typing.html#typing.Iterator}@code{ | }@code{None}) –
+@end itemize
-Returns a reference to the parent entity.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Type.ProtectedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _parent}@anchor{7d0}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Type.ProtectedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Position}@anchor{9a4}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Documentation (pyGHDL.dom.Type.ProtectedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Documentation}@anchor{7d1}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Type.ProtectedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _position}@anchor{9a5}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@geindex Identifier (pyGHDL.dom.Type.ProtectedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Identifier}@anchor{7d2}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
+
@end deffn
-@geindex _methods (pyGHDL.dom.Type.ProtectedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _methods}@anchor{9a6}
-@deffn {Attribute} _methods: List[Union[@ref{8cd,,pyGHDL.dom.Subprogram.Procedure}, @ref{8cc,,pyGHDL.dom.Subprogram.Function}]]
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.ProtectedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType NormalizedIdentifier}@anchor{7d3}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Type.ProtectedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _parent}@anchor{9a7}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Type.ProtectedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Parent}@anchor{7d4}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Type.ProtectedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _identifier}@anchor{9a8}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _identifier}@anchor{7d5}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.ProtectedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _iirNode}@anchor{9a9}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.ProtectedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _normalizedIdentifier}@anchor{7d6}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Type.ProtectedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _documentation}@anchor{7d7}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex ProtectedTypeBody (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody}@anchor{950}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody}@anchor{78d}
@deffn {Class} pyGHDL.dom.Type.ProtectedTypeBody (node, identifier, declaredItems=None)
@subsubheading Inheritance
-@image{inheritance-f3e81eb11d5f798282d3a566fee4f9ae5236ea98,,,[graphviz],png}
+@image{inheritance-c681b5cbd50c4bf5773877ff92b9b494788b0605,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Type.ProtectedTypeBody class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody parse}@anchor{9aa}
-@deffn {Method} classmethod parse (protectedBodyNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{950,,ProtectedTypeBody}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
-@end deffn
+@item
+@code{declaredItems} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{ | }Iterator@footnote{https://docs.python.org/3/library/typing.html#typing.Iterator}) –
+@end itemize
-@geindex Identifier (pyGHDL.dom.Type.ProtectedTypeBody property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Identifier}@anchor{9ab}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s identifier (name).
+@geindex __init__() (pyGHDL.dom.Type.ProtectedTypeBody method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody __init__}@anchor{7d8}
+@deffn {Method} __init__ (node, identifier, declaredItems=None)
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Initializes underlying @code{BaseType}.
-@end deffn
+@*Parameters:
-@geindex Methods (pyGHDL.dom.Type.ProtectedTypeBody property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Methods}@anchor{9ac}
-@deffn {Property} Methods: List[Union[pyVHDLModel.SyntaxModel.Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure}, pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
+@itemize *
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Name of the type.
-@end deffn
+@item
+@code{node} (@code{Iir}) –
-@geindex Parent (pyGHDL.dom.Type.ProtectedTypeBody property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Parent}@anchor{9ad}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{declaredItems} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{ | }Iterator@footnote{https://docs.python.org/3/library/typing.html#typing.Iterator}@code{ | }@code{None}) –
+@end itemize
-Returns a reference to the parent entity.
+@end deffn
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Type.ProtectedTypeBody attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _parent}@anchor{7d9}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Position (pyGHDL.dom.Type.ProtectedTypeBody property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Position}@anchor{9ae}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex Documentation (pyGHDL.dom.Type.ProtectedTypeBody property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Documentation}@anchor{7da}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex _position (pyGHDL.dom.Type.ProtectedTypeBody attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _position}@anchor{9af}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@geindex Identifier (pyGHDL.dom.Type.ProtectedTypeBody property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Identifier}@anchor{7db}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
+
@end deffn
-@geindex _methods (pyGHDL.dom.Type.ProtectedTypeBody attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _methods}@anchor{9b0}
-@deffn {Attribute} _methods: List[Union[@ref{8cd,,pyGHDL.dom.Subprogram.Procedure}, @ref{8cc,,pyGHDL.dom.Subprogram.Function}]]
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.ProtectedTypeBody property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody NormalizedIdentifier}@anchor{7dc}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+Returns a model entity’s normalized identifier (lower case name).
+
+@*Returns:
+Normalized name of a model entity.
+
@end deffn
-@geindex _parent (pyGHDL.dom.Type.ProtectedTypeBody attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _parent}@anchor{9b1}
-@deffn {Attribute} _parent: ModelEntity
+@geindex Parent (pyGHDL.dom.Type.ProtectedTypeBody property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Parent}@anchor{7dd}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Type.ProtectedTypeBody attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _identifier}@anchor{9b2}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _identifier}@anchor{7de}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.ProtectedTypeBody attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _iirNode}@anchor{9b3}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.ProtectedTypeBody attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _normalizedIdentifier}@anchor{7df}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Type.ProtectedTypeBody attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _documentation}@anchor{7e0}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex AccessType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType}@anchor{951}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType}@anchor{78e}
@deffn {Class} pyGHDL.dom.Type.AccessType (node, identifier, designatedSubtype)
@subsubheading Inheritance
-@image{inheritance-064dab3157b8c532d5e3fb6281972d1070af0a7c,,,[graphviz],png}
+@image{inheritance-109899fe09d4212ac2d3c4ed907f285ab7f3728d,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Type.AccessType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType parse}@anchor{9b4}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{951,,AccessType}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{designatedSubtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Type.AccessType method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType __init__}@anchor{7e1}
+@deffn {Method} __init__ (node, identifier, designatedSubtype)
+
+Initializes underlying @code{BaseType}.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Name of the type.
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{designatedSubtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
@end deffn
-@geindex DesignatedSubtype (pyGHDL.dom.Type.AccessType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType DesignatedSubtype}@anchor{9b5}
-@deffn {Property} DesignatedSubtype
+@geindex _parent (pyGHDL.dom.Type.AccessType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _parent}@anchor{7e2}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex Identifier (pyGHDL.dom.Type.AccessType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Identifier}@anchor{9b6}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Documentation (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Documentation}@anchor{7e3}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-Returns a model entity’s identifier (name).
+Returns a model entity’s associated documentation.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex Parent (pyGHDL.dom.Type.AccessType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Parent}@anchor{9b7}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex Identifier (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Identifier}@anchor{7e4}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-Returns a reference to the parent entity.
+Returns a model entity’s identifier (name).
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Position (pyGHDL.dom.Type.AccessType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Position}@anchor{9b8}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType NormalizedIdentifier}@anchor{7e5}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s normalized identifier (lower case name).
-@end deffn
+@*Returns:
+Normalized name of a model entity.
-@geindex _position (pyGHDL.dom.Type.AccessType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _position}@anchor{9b9}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _designatedSubtype (pyGHDL.dom.Type.AccessType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _designatedSubtype}@anchor{9ba}
-@deffn {Attribute} _designatedSubtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
-@end deffn
+@geindex Parent (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Parent}@anchor{7e6}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _parent (pyGHDL.dom.Type.AccessType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _parent}@anchor{9bb}
-@deffn {Attribute} _parent: ModelEntity
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Type.AccessType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _identifier}@anchor{9bc}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _identifier}@anchor{7e7}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.AccessType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _iirNode}@anchor{9bd}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.AccessType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _normalizedIdentifier}@anchor{7e8}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Type.AccessType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _documentation}@anchor{7e9}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex FileType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType}@anchor{952}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType}@anchor{78f}
@deffn {Class} pyGHDL.dom.Type.FileType (node, identifier, designatedSubtype)
@subsubheading Inheritance
-@image{inheritance-cf3cbfc65b4030604d73f5f6daa60a9925e7aecf,,,[graphviz],png}
+@image{inheritance-e822380866380d8dfa92409a55dafd8eaea4f981,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex parse() (pyGHDL.dom.Type.FileType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType parse}@anchor{9be}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-@ref{952,,FileType}
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{designatedSubtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
+
+
+@geindex __init__() (pyGHDL.dom.Type.FileType method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType __init__}@anchor{7ea}
+@deffn {Method} __init__ (node, identifier, designatedSubtype)
+
+Initializes underlying @code{BaseType}.
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{identifier} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Name of the type.
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{designatedSubtype} (Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}) –
+@end itemize
@end deffn
-@geindex DesignatedSubtype (pyGHDL.dom.Type.FileType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType DesignatedSubtype}@anchor{9bf}
-@deffn {Property} DesignatedSubtype
+@geindex _parent (pyGHDL.dom.Type.FileType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _parent}@anchor{7eb}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex Identifier (pyGHDL.dom.Type.FileType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Identifier}@anchor{9c0}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Documentation (pyGHDL.dom.Type.FileType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Documentation}@anchor{7ec}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-Returns a model entity’s identifier (name).
+Returns a model entity’s associated documentation.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex Parent (pyGHDL.dom.Type.FileType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Parent}@anchor{9c1}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@geindex Identifier (pyGHDL.dom.Type.FileType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Identifier}@anchor{7ed}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-Returns a reference to the parent entity.
+Returns a model entity’s identifier (name).
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Position (pyGHDL.dom.Type.FileType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Position}@anchor{9c2}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.FileType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType NormalizedIdentifier}@anchor{7ee}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-@ref{200,,Position}
+Returns a model entity’s normalized identifier (lower case name).
-@end deffn
+@*Returns:
+Normalized name of a model entity.
-@geindex _position (pyGHDL.dom.Type.FileType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _position}@anchor{9c3}
-@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _designatedSubtype (pyGHDL.dom.Type.FileType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _designatedSubtype}@anchor{9c4}
-@deffn {Attribute} _designatedSubtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
-@end deffn
+@geindex Parent (pyGHDL.dom.Type.FileType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Parent}@anchor{7ef}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _parent (pyGHDL.dom.Type.FileType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _parent}@anchor{9c5}
-@deffn {Attribute} _parent: ModelEntity
+Returns a reference to the parent entity.
+
+@*Returns:
+Parent entity.
-Reference to a parent entity in the model.
@end deffn
@geindex _identifier (pyGHDL.dom.Type.FileType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _identifier}@anchor{9c6}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _identifier}@anchor{7f0}
+@deffn {Attribute} _identifier: str
The identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.FileType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _iirNode}@anchor{9c7}
-@deffn {Attribute} _iirNode: Iir
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.FileType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _normalizedIdentifier}@anchor{7f1}
+@deffn {Attribute} _normalizedIdentifier: str
+
+The normalized (lower case) identifier of a model entity.
+@end deffn
+
+@geindex _documentation (pyGHDL.dom.Type.FileType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _documentation}@anchor{7f2}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@geindex Subtype (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype}@anchor{239}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype}@anchor{790}
@deffn {Class} pyGHDL.dom.Type.Subtype (node, subtypeName)
@subsubheading Inheritance
-@image{inheritance-361dfe6b75c94531a9bfa267ac29d3677c36af32,,,[graphviz],png}
+@image{inheritance-ccf63b541c15f4ec9bd51cb9c84cd1ff1d968b01,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex BaseType (pyGHDL.dom.Type.Subtype property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype BaseType}@anchor{9c8}
-@deffn {Property} BaseType: pyVHDLModel.SyntaxModel.BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
+@item
+@code{subtypeName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
-@end deffn
-@geindex Identifier (pyGHDL.dom.Type.Subtype property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Identifier}@anchor{9c9}
-@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex __init__() (pyGHDL.dom.Type.Subtype method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype __init__}@anchor{7f3}
+@deffn {Method} __init__ (node, subtypeName)
-Returns a model entity’s identifier (name).
+Initializes underlying @code{BaseType}.
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Parent (pyGHDL.dom.Type.Subtype property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Parent}@anchor{9ca}
-@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{identifier} – Name of the type.
-Returns a reference to the parent entity.
+@item
+@code{node} (@code{Iir}) –
-@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+@item
+@code{subtypeName} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
-@geindex Position (pyGHDL.dom.Type.Subtype property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Position}@anchor{9cb}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@geindex _parent (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _parent}@anchor{7f4}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Range (pyGHDL.dom.Type.Subtype property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Range}@anchor{9cc}
-@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@geindex Documentation (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Documentation}@anchor{7f5}
+@deffn {Property} Documentation: str@footnote{https://docs.python.org/3/library/stdtypes.html#str} | None@footnote{https://docs.python.org/3/library/constants.html#None}
-@*Return type:
-Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+Returns a model entity’s associated documentation.
+
+@*Returns:
+Associated documentation of a model entity.
@end deffn
-@geindex ResolutionFunction (pyGHDL.dom.Type.Subtype property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype ResolutionFunction}@anchor{9cd}
-@deffn {Property} ResolutionFunction: pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}
+@geindex Identifier (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Identifier}@anchor{7f6}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}
+Returns a model entity’s identifier (name).
+
+@*Returns:
+Name of a model entity.
@end deffn
-@geindex Type (pyGHDL.dom.Type.Subtype property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Type}@anchor{9ce}
-@deffn {Property} Type: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+@geindex NormalizedIdentifier (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype NormalizedIdentifier}@anchor{7f7}
+@deffn {Property} NormalizedIdentifier: str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@*Return type:
-Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+Returns a model entity’s normalized identifier (lower case name).
-@end deffn
+@*Returns:
+Normalized name of a model entity.
-@geindex _position (pyGHDL.dom.Type.Subtype attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _position}@anchor{9cf}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
-@geindex _type (pyGHDL.dom.Type.Subtype attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _type}@anchor{9d0}
-@deffn {Attribute} _type: @ref{239,,pyGHDL.dom.Type.Subtype}
-@end deffn
+@geindex Parent (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Parent}@anchor{7f8}
+@deffn {Property} Parent: ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.ModelEntity}
-@geindex _baseType (pyGHDL.dom.Type.Subtype attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _baseType}@anchor{9d1}
-@deffn {Attribute} _baseType: pyVHDLModel.SyntaxModel.BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
-@end deffn
+Returns a reference to the parent entity.
-@geindex _range (pyGHDL.dom.Type.Subtype attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _range}@anchor{9d2}
-@deffn {Attribute} _range: @ref{21a,,pyGHDL.dom.Range.Range}
-@end deffn
+@*Returns:
+Parent entity.
-@geindex _resolutionFunction (pyGHDL.dom.Type.Subtype attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _resolutionFunction}@anchor{9d3}
-@deffn {Attribute} _resolutionFunction: @ref{8cc,,pyGHDL.dom.Subprogram.Function}
@end deffn
-@geindex _parent (pyGHDL.dom.Type.Subtype attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _parent}@anchor{9d4}
-@deffn {Attribute} _parent: ModelEntity
+@geindex _identifier (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _identifier}@anchor{7f9}
+@deffn {Attribute} _identifier: str
-Reference to a parent entity in the model.
+The identifier of a model entity.
@end deffn
-@geindex _identifier (pyGHDL.dom.Type.Subtype attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _identifier}@anchor{9d5}
-@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _normalizedIdentifier (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _normalizedIdentifier}@anchor{7fa}
+@deffn {Attribute} _normalizedIdentifier: str
-The identifier of a model entity.
+The normalized (lower case) identifier of a model entity.
@end deffn
-@geindex _iirNode (pyGHDL.dom.Type.Subtype attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _iirNode}@anchor{9d6}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@geindex _documentation (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _documentation}@anchor{7fb}
+@deffn {Attribute} _documentation: Nullable[str]
+
+The associated documentation of a model entity.
@end deffn
@end deffn
@@ -23764,228 +27365,314 @@ The identifier of a model entity.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom _Translate,pyGHDL dom _Utils,pyGHDL dom Type,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom _Translate doc}@anchor{9d7}@anchor{pyGHDL/pyGHDL dom _Translate module-pyGHDL dom _Translate}@anchor{5}@anchor{pyGHDL/pyGHDL dom _Translate pyghdl-dom-translate}@anchor{9d8}
-@subsection pyGHDL.dom._Translate
+@anchor{pyGHDL/pyGHDL dom _Translate doc}@anchor{7fc}@anchor{pyGHDL/pyGHDL dom _Translate module-pyGHDL dom _Translate}@anchor{5}@anchor{pyGHDL/pyGHDL dom _Translate pyghdl-dom-translate}@anchor{7fd}
+@subsection @code{pyGHDL.dom._Translate}
@geindex module; pyGHDL.dom._Translate
-@c #-----------------------------------
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{9d9,,GetNameFromNode()}:
+@ref{7fe,,GetNameFromNode()}:
Undocumented.
@item
-@ref{9da,,GetArrayConstraintsFromSubtypeIndication()}:
+@ref{7ff,,GetArrayConstraintsFromSubtypeIndication()}:
Undocumented.
@item
-@ref{9db,,GetTypeFromNode()}:
+@ref{800,,GetTypeFromNode()}:
Undocumented.
@item
-@ref{9dc,,GetAnonymousTypeFromNode()}:
+@ref{801,,GetAnonymousTypeFromNode()}:
Undocumented.
@item
-@ref{9dd,,GetSubtypeIndicationFromNode()}:
+@ref{802,,GetSubtypeIndicationFromNode()}:
Undocumented.
@item
-@ref{9de,,GetSubtypeIndicationFromIndicationNode()}:
+@ref{803,,GetSubtypeIndicationFromIndicationNode()}:
Undocumented.
@item
-@ref{9df,,GetSimpleTypeFromNode()}:
+@ref{804,,GetSimpleTypeFromNode()}:
Undocumented.
@item
-@ref{9e0,,GetScalarConstrainedSubtypeFromNode()}:
+@ref{805,,GetScalarConstrainedSubtypeFromNode()}:
Undocumented.
@item
-@ref{9e1,,GetCompositeConstrainedSubtypeFromNode()}:
+@ref{806,,GetCompositeConstrainedSubtypeFromNode()}:
Undocumented.
@item
-@ref{9e2,,GetSubtypeFromNode()}:
+@ref{807,,GetSubtypeFromNode()}:
Undocumented.
@item
-@ref{9e3,,GetRangeFromNode()}:
+@ref{808,,GetRangeFromNode()}:
Undocumented.
@item
-@ref{9e4,,GetExpressionFromNode()}:
+@ref{809,,GetExpressionFromNode()}:
Undocumented.
@item
-@ref{9e5,,GetGenericsFromChainedNodes()}:
+@ref{80a,,GetGenericsFromChainedNodes()}:
Undocumented.
@item
-@ref{9e6,,GetPortsFromChainedNodes()}:
+@ref{80b,,GetPortsFromChainedNodes()}:
Undocumented.
@item
-@ref{9e7,,GetParameterFromChainedNodes()}:
+@ref{80c,,GetParameterFromChainedNodes()}:
Undocumented.
@end itemize
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex GetNameFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetNameFromNode}@anchor{9d9}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetNameFromNode}@anchor{7fe}
@deffn {Function} pyGHDL.dom._Translate.GetNameFromNode (node)
@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+<module ‘pyVHDLModel.Name’ from ‘/Library/Frameworks/Python.framework/Versions/3.8/lib/python3.8/site-packages/pyVHDLModel/Name.py’>
+
+
+@*Parameters:
+@code{node} (@code{Iir}) –
@end deffn
@geindex GetArrayConstraintsFromSubtypeIndication() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetArrayConstraintsFromSubtypeIndication}@anchor{9da}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetArrayConstraintsFromSubtypeIndication}@anchor{7ff}
@deffn {Function} pyGHDL.dom._Translate.GetArrayConstraintsFromSubtypeIndication (subtypeIndication)
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@code{RangeExpression}, RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeAttribute}, RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeSubtype}]]
+List@footnote{https://docs.python.org/3/library/typing.html#typing.List}
+
+
+@*Parameters:
+@code{subtypeIndication} (@code{Iir}) –
@end deffn
@geindex GetTypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetTypeFromNode}@anchor{9db}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetTypeFromNode}@anchor{800}
@deffn {Function} pyGHDL.dom._Translate.GetTypeFromNode (node)
@*Return type:
-BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
+BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Type.html#pyVHDLModel.Type.BaseType}
+
+
+@*Parameters:
+@code{node} (@code{Iir}) –
@end deffn
@geindex GetAnonymousTypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetAnonymousTypeFromNode}@anchor{9dc}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetAnonymousTypeFromNode}@anchor{801}
@deffn {Function} pyGHDL.dom._Translate.GetAnonymousTypeFromNode (node)
@*Return type:
-BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
+BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Type.html#pyVHDLModel.Type.BaseType}
+
+
+@*Parameters:
+@code{node} (@code{Iir}) –
@end deffn
@geindex GetSubtypeIndicationFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeIndicationFromNode}@anchor{9dd}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeIndicationFromNode}@anchor{802}
@deffn {Function} pyGHDL.dom._Translate.GetSubtypeIndicationFromNode (node, entity, name)
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{239,,Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{node} (@code{Iir}) –
+
+@item
+@code{entity} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{name} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
@geindex GetSubtypeIndicationFromIndicationNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeIndicationFromIndicationNode}@anchor{9de}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeIndicationFromIndicationNode}@anchor{803}
@deffn {Function} pyGHDL.dom._Translate.GetSubtypeIndicationFromIndicationNode (subtypeIndicationNode, entity, name)
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{239,,Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{subtypeIndicationNode} (@code{Iir}) –
+
+@item
+@code{entity} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{name} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+@end itemize
@end deffn
@geindex GetSimpleTypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSimpleTypeFromNode}@anchor{9df}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSimpleTypeFromNode}@anchor{804}
@deffn {Function} pyGHDL.dom._Translate.GetSimpleTypeFromNode (subtypeIndicationNode)
@*Return type:
-@ref{8f7,,SimpleSubtypeSymbol}
+@ref{6ee,,SimpleSubtypeSymbol}
+
+
+@*Parameters:
+@code{subtypeIndicationNode} (@code{Iir}) –
@end deffn
@geindex GetScalarConstrainedSubtypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetScalarConstrainedSubtypeFromNode}@anchor{9e0}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetScalarConstrainedSubtypeFromNode}@anchor{805}
@deffn {Function} pyGHDL.dom._Translate.GetScalarConstrainedSubtypeFromNode (subtypeIndicationNode)
@*Return type:
-@ref{8f8,,ConstrainedScalarSubtypeSymbol}
+@ref{6ef,,ConstrainedScalarSubtypeSymbol}
+
+
+@*Parameters:
+@code{subtypeIndicationNode} (@code{Iir}) –
@end deffn
@geindex GetCompositeConstrainedSubtypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetCompositeConstrainedSubtypeFromNode}@anchor{9e1}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetCompositeConstrainedSubtypeFromNode}@anchor{806}
@deffn {Function} pyGHDL.dom._Translate.GetCompositeConstrainedSubtypeFromNode (subtypeIndicationNode)
@*Return type:
-@ref{8f9,,ConstrainedCompositeSubtypeSymbol}
+@ref{6f0,,ConstrainedCompositeSubtypeSymbol}
+
+
+@*Parameters:
+@code{subtypeIndicationNode} (@code{Iir}) –
@end deffn
@geindex GetSubtypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeFromNode}@anchor{9e2}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeFromNode}@anchor{807}
@deffn {Function} pyGHDL.dom._Translate.GetSubtypeFromNode (subtypeNode)
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{239,,Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Symbol.html#pyVHDLModel.Symbol.Symbol}
+
+
+@*Parameters:
+@code{subtypeNode} (@code{Iir}) –
@end deffn
@geindex GetRangeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetRangeFromNode}@anchor{9e3}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetRangeFromNode}@anchor{808}
@deffn {Function} pyGHDL.dom._Translate.GetRangeFromNode (node)
@*Return type:
-@ref{21a,,Range}
+@ref{243,,Range}
+
+
+@*Parameters:
+@code{node} (@code{Iir}) –
@end deffn
@geindex GetExpressionFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetExpressionFromNode}@anchor{9e4}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetExpressionFromNode}@anchor{809}
@deffn {Function} pyGHDL.dom._Translate.GetExpressionFromNode (node)
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression, @ref{203,,QualifiedExpression}, FunctionCall, TypeConversion, Constant, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, Signal, SignalSymbol, Literal]
+Union@footnote{https://docs.python.org/3/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Expression.html#pyVHDLModel.Expression.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Expression.html#pyVHDLModel.Expression.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Expression.html#pyVHDLModel.Expression.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Expression.html#pyVHDLModel.Expression.TypeConversion}, Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Expression.html#pyVHDLModel.Expression.Literal}]
+
+
+@*Parameters:
+@code{node} (@code{Iir}) –
@end deffn
@geindex GetGenericsFromChainedNodes() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetGenericsFromChainedNodes}@anchor{9e5}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetGenericsFromChainedNodes}@anchor{80a}
@deffn {Function} pyGHDL.dom._Translate.GetGenericsFromChainedNodes (nodeChain)
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.GenericInterfaceItem}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+
+@*Parameters:
+@code{nodeChain} (@code{Iir}) –
@end deffn
@geindex GetPortsFromChainedNodes() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetPortsFromChainedNodes}@anchor{9e6}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetPortsFromChainedNodes}@anchor{80b}
@deffn {Function} pyGHDL.dom._Translate.GetPortsFromChainedNodes (nodeChain)
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.PortInterfaceItem}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+
+@*Parameters:
+@code{nodeChain} (@code{Iir}) –
@end deffn
@geindex GetParameterFromChainedNodes() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetParameterFromChainedNodes}@anchor{9e7}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetParameterFromChainedNodes}@anchor{80c}
@deffn {Function} pyGHDL.dom._Translate.GetParameterFromChainedNodes (nodeChain)
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Interface.html#pyVHDLModel.Interface.ParameterInterfaceItem}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
+
+
+@*Parameters:
+@code{nodeChain} (@code{Iir}) –
@end deffn
@@ -23998,91 +27685,170 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom _Utils,pyGHDL dom formatting,pyGHDL dom _Translate,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom _Utils doc}@anchor{9e8}@anchor{pyGHDL/pyGHDL dom _Utils module-pyGHDL dom _Utils}@anchor{6}@anchor{pyGHDL/pyGHDL dom _Utils pyghdl-dom-utils}@anchor{9e9}
-@subsection pyGHDL.dom._Utils
+@anchor{pyGHDL/pyGHDL dom _Utils doc}@anchor{80d}@anchor{pyGHDL/pyGHDL dom _Utils module-pyGHDL dom _Utils}@anchor{6}@anchor{pyGHDL/pyGHDL dom _Utils pyghdl-dom-utils}@anchor{80e}
+@subsection @code{pyGHDL.dom._Utils}
@geindex module; pyGHDL.dom._Utils
-@c #-----------------------------------
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{9ea,,CheckForErrors()}:
-Undocumented.
+@ref{80f,,CheckForErrors()}:
+Check if an error occurred in libghdl and raise an exception if so.
@item
-@ref{9eb,,GetIirKindOfNode()}:
+@ref{810,,GetIirKindOfNode()}:
Return the kind of a node in the IIR tree.
@item
-@ref{9ec,,GetNameOfNode()}:
-Return the python string from node @code{node} identifier.
+@ref{811,,GetNameOfNode()}:
+Return the Python string from node @code{node} identifier.
@item
-@ref{9ed,,GetModeOfNode()}:
+@ref{812,,GetDocumentationOfNode()}:
+Undocumented.
+
+@item
+@ref{813,,GetModeOfNode()}:
Return the mode of a @code{node}.
@end itemize
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex CheckForErrors() (in module pyGHDL.dom._Utils)
-@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils CheckForErrors}@anchor{9ea}
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils CheckForErrors}@anchor{80f}
@deffn {Function} pyGHDL.dom._Utils.CheckForErrors ()
+Check if an error occurred in libghdl and raise an exception if so.
+
+`Behavior:'
+:rtype: None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@enumerate
+
+@item
+read the error buffer and clear it afterwards
+
+@item
+convert it into a list of internal messages for a @code{LibGHDLException}
+
+@item
+raise a @code{DOMException} with a nested @code{LibGHDLException} as a @code{__cause__}.
+@end enumerate
+
+@*Raises:
+@ref{814,,DOMException} – If an error occurred in libghdl.
+
+
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None
@end deffn
@geindex GetIirKindOfNode() (in module pyGHDL.dom._Utils)
-@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetIirKindOfNode}@anchor{9eb}
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetIirKindOfNode}@anchor{810}
@deffn {Function} pyGHDL.dom._Utils.GetIirKindOfNode (node)
Return the kind of a node in the IIR tree.
@*Return type:
-@ref{9ee,,Iir_Kind}
+@ref{815,,Iir_Kind}
+
+
+@*Returns:
+The IIR kind of a node.
+
+
+@*Raises:
+ValueError@footnote{https://docs.python.org/3/library/exceptions.html#ValueError} – If parameter @code{node} is @ref{816,,Null_Iir}.
+
+
+@*Parameters:
+@code{node} (@code{Iir}) –
@end deffn
@geindex GetNameOfNode() (in module pyGHDL.dom._Utils)
-@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetNameOfNode}@anchor{9ec}
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetNameOfNode}@anchor{811}
@deffn {Function} pyGHDL.dom._Utils.GetNameOfNode (node)
-Return the python string from node @code{node} identifier.
+Return the Python string from node @code{node} identifier.
+
+@*Raises:
+ValueError@footnote{https://docs.python.org/3/library/exceptions.html#ValueError} – If parameter @code{node} is @ref{816,,Null_Iir}.
+
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+
+@*Parameters:
+@code{node} (@code{Iir}) –
+
+@end deffn
+
+@geindex GetDocumentationOfNode() (in module pyGHDL.dom._Utils)
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetDocumentationOfNode}@anchor{812}
+@deffn {Function} pyGHDL.dom._Utils.GetDocumentationOfNode (node)
+
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+
+@*Parameters:
+@code{node} (@code{Iir}) –
@end deffn
@geindex GetModeOfNode() (in module pyGHDL.dom._Utils)
-@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetModeOfNode}@anchor{9ed}
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetModeOfNode}@anchor{813}
@deffn {Function} pyGHDL.dom._Utils.GetModeOfNode (node)
Return the mode of a @code{node}.
+@*Raises:
+
+@itemize *
+
+@item
+ValueError@footnote{https://docs.python.org/3/library/exceptions.html#ValueError} – If parameter @code{node} is @ref{816,,Null_Iir}.
+
+@item
+@ref{814,,DOMException} – If mode returned by libghdl is not known by @code{__MODE_TRANSLATION}.
+@end itemize
+
+
@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.Base.html#pyVHDLModel.Base.Mode}
+
+
+@*Parameters:
+@code{node} (@code{Iir}) –
@end deffn
@@ -24095,27 +27861,29 @@ Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#py
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL dom formatting,,pyGHDL dom _Utils,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom formatting doc}@anchor{9ef}@anchor{pyGHDL/pyGHDL dom formatting module-pyGHDL dom formatting}@anchor{c}@anchor{pyGHDL/pyGHDL dom formatting pyghdl-dom-formatting}@anchor{9f0}
-@subsection pyGHDL.dom.formatting
+@anchor{pyGHDL/pyGHDL dom formatting doc}@anchor{817}@anchor{pyGHDL/pyGHDL dom formatting module-pyGHDL dom formatting}@anchor{c}@anchor{pyGHDL/pyGHDL dom formatting pyghdl-dom-formatting}@anchor{818}
+@subsection @code{pyGHDL.dom.formatting}
@geindex module; pyGHDL.dom.formatting
-@c #-----------------------------------
-
-@strong{Submodules}
+`Submodules'
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@@ -24126,450 +27894,246 @@ Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#py
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@menu
+* pyGHDL.dom.formatting.GraphML: pyGHDL dom formatting GraphML.
* pyGHDL.dom.formatting.prettyprint: pyGHDL dom formatting prettyprint.
@end menu
-@node pyGHDL dom formatting prettyprint,,,pyGHDL dom formatting
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint doc}@anchor{9f1}@anchor{pyGHDL/pyGHDL dom formatting prettyprint module-pyGHDL dom formatting prettyprint}@anchor{d}@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyghdl-dom-formatting-prettyprint}@anchor{9f2}
-@subsubsection pyGHDL.dom.formatting.prettyprint
+@node pyGHDL dom formatting GraphML,pyGHDL dom formatting prettyprint,,pyGHDL dom formatting
+@anchor{pyGHDL/pyGHDL dom formatting GraphML doc}@anchor{819}@anchor{pyGHDL/pyGHDL dom formatting GraphML module-pyGHDL dom formatting GraphML}@anchor{d}@anchor{pyGHDL/pyGHDL dom formatting GraphML pyghdl-dom-formatting-graphml}@anchor{81a}
+@subsubsection @code{pyGHDL.dom.formatting.GraphML}
-@geindex module; pyGHDL.dom.formatting.prettyprint
+@geindex module; pyGHDL.dom.formatting.GraphML
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
+
+@c # define a hard line break for HTML
-@c #-----------------------------------
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
-@strong{Exceptions}
+@node pyGHDL dom formatting prettyprint,,pyGHDL dom formatting GraphML,pyGHDL dom formatting
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint doc}@anchor{81b}@anchor{pyGHDL/pyGHDL dom formatting prettyprint module-pyGHDL dom formatting prettyprint}@anchor{e}@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyghdl-dom-formatting-prettyprint}@anchor{81c}
+@subsubsection @code{pyGHDL.dom.formatting.prettyprint}
+
+
+@geindex module; pyGHDL.dom.formatting.prettyprint
+
+`Exceptions'
@itemize -
@item
-@ref{9f3,,PrettyPrintException}:
+@ref{81d,,PrettyPrintException}:
Common base class for all non-exit exceptions.
@end itemize
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{9f4,,PrettyPrint}:
+@ref{81e,,PrettyPrint}:
Undocumented.
@end itemize
-@c #-----------------------------------
-@geindex PrettyPrintException
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException}@anchor{9f3}
-@deffn {Exception} pyGHDL.dom.formatting.prettyprint.PrettyPrintException
+__________________________________________________________________
-@subsubheading Inheritance
-@image{inheritance-9a5cd1c232edda8ea3e4e442688f2af6c346be8c,,,[graphviz],png}
+`Exceptions'
-@subsubheading Members
+@geindex PrettyPrintException
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException}@anchor{81d}
+@deffn {Exception} pyGHDL.dom.formatting.prettyprint.PrettyPrintException
+@subsubheading Inheritance
-@geindex args (pyGHDL.dom.formatting.prettyprint.PrettyPrintException attribute)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException args}@anchor{9f5}
-@deffn {Attribute} args
+@image{inheritance-47090c24aedd4f5507bc1e1c7142dc10f7df6dbb,,,[graphviz],png}
@end deffn
-@geindex message (pyGHDL.dom.formatting.prettyprint.PrettyPrintException property)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException message}@anchor{9f6}
-@deffn {Property} message: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+__________________________________________________________________
-@end deffn
-@geindex with_traceback() (pyGHDL.dom.formatting.prettyprint.PrettyPrintException method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException with_traceback}@anchor{9f7}
-@deffn {Method} with_traceback ()
-
-Exception.with_traceback(tb) –
-set self.__traceback__ to tb and return self.
-@end deffn
-@end deffn
-
-@c #-----------------------------------
+`Classes'
@geindex PrettyPrint (class in pyGHDL.dom.formatting.prettyprint)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint}@anchor{9f4}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint}@anchor{81e}
@deffn {Class} pyGHDL.dom.formatting.prettyprint.PrettyPrint
@subsubheading Inheritance
-@image{inheritance-93e3ff65b7a5afee480d69c25c7c7561bd482534,,,[graphviz],png}
-
-@subsubheading Members
-
-
-@geindex formatDesign() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDesign}@anchor{9f8}
-@deffn {Method} formatDesign (design, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatLibrary() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatLibrary}@anchor{9f9}
-@deffn {Method} formatLibrary (library, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatDocument() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDocument}@anchor{9fa}
-@deffn {Method} formatDocument (document, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
+@image{inheritance-475f92f0ee12fff306ad4c2a5704c6c941b8352e,,,[graphviz],png}
@end deffn
-@geindex formatEntity() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatEntity}@anchor{9fb}
-@deffn {Method} formatEntity (entity, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatArchitecture() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatArchitecture}@anchor{9fc}
-@deffn {Method} formatArchitecture (architecture, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatComponent() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatComponent}@anchor{9fd}
-@deffn {Method} formatComponent (component, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatPackage() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackage}@anchor{9fe}
-@deffn {Method} formatPackage (package, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatPackageInstance() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackageInstance}@anchor{9ff}
-@deffn {Method} formatPackageInstance (package, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatPackageBody() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackageBody}@anchor{a00}
-@deffn {Method} formatPackageBody (packageBody, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatConfiguration() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatConfiguration}@anchor{a01}
-@deffn {Method} formatConfiguration (configuration, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatContext() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatContext}@anchor{a02}
-@deffn {Method} formatContext (context, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatGeneric() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGeneric}@anchor{a03}
-@deffn {Method} formatGeneric (generic, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatPort() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPort}@anchor{a04}
-@deffn {Method} formatPort (port, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatGenericConstant() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGenericConstant}@anchor{a05}
-@deffn {Method} formatGenericConstant (generic, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatGenericType() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGenericType}@anchor{a06}
-@deffn {Method} formatGenericType (generic, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatPortSignal() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPortSignal}@anchor{a07}
-@deffn {Method} formatPortSignal (port, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatDeclaredItems() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDeclaredItems}@anchor{a08}
-@deffn {Method} formatDeclaredItems (item, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-
-@geindex formatType() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatType}@anchor{a09}
-@deffn {Method} formatType (item)
-
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-
-@end deffn
-
-@geindex formatSubtypeIndication() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatSubtypeIndication}@anchor{a0a}
-@deffn {Method} formatSubtypeIndication (subtypeIndication, entity, name)
-
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-
-@end deffn
-
-@geindex formatInitialValue() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatInitialValue}@anchor{a0b}
-@deffn {Method} formatInitialValue (item)
-
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-
-@end deffn
-
-@geindex formatHierarchy() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatHierarchy}@anchor{a0c}
-@deffn {Method} formatHierarchy (statement, level=0)
-
-@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-
-@end deffn
-@end deffn
-
-@c #-----------------------------------
-
-@c #-----------------------------------
-
-@strong{Exceptions}
+`Exceptions'
@itemize -
@item
-@ref{a0d,,DOMException}:
+@ref{814,,DOMException}:
Common base class for all non-exit exceptions.
@end itemize
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{200,,Position}:
+@ref{81f,,Position}:
Represents the source code position of a IIR node in a source file.
@item
-@ref{a0e,,DOMMixin}:
+@ref{820,,DOMMixin}:
Undocumented.
@end itemize
-@c #-----------------------------------
-@geindex DOMException
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException}@anchor{a0d}
-@deffn {Exception} pyGHDL.dom.DOMException
+__________________________________________________________________
-@subsubheading Inheritance
-@image{inheritance-93f424b1ba474a6f928b2018f2248080e43f5ba3,,,[graphviz],png}
+`Exceptions'
-@subsubheading Members
+@geindex DOMException
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException}@anchor{814}
+@deffn {Exception} pyGHDL.dom.DOMException
+@subsubheading Inheritance
-@geindex args (pyGHDL.dom.DOMException attribute)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException args}@anchor{a0f}
-@deffn {Attribute} args
+@image{inheritance-2bb127539a4b800579fc9b9eb7cbebf16765512d,,,[graphviz],png}
@end deffn
-@geindex message (pyGHDL.dom.DOMException property)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException message}@anchor{a10}
-@deffn {Property} message: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-
-@end deffn
-@geindex with_traceback() (pyGHDL.dom.DOMException method)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException with_traceback}@anchor{a11}
-@deffn {Method} with_traceback ()
+__________________________________________________________________
-Exception.with_traceback(tb) –
-set self.__traceback__ to tb and return self.
-@end deffn
-@end deffn
-@c #-----------------------------------
+`Classes'
@geindex Position (class in pyGHDL.dom)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position}@anchor{200}
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position}@anchor{81f}
@deffn {Class} pyGHDL.dom.Position (filename, line, column)
Represents the source code position of a IIR node in a source file.
@subsubheading Inheritance
-@image{inheritance-4b5afd35d9df916605d322656e081f559d4a5272,,,[graphviz],png}
+@image{inheritance-7b419a97234df7f2698be7d90864842570396b03,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex _filename (pyGHDL.dom.Position attribute)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position _filename}@anchor{a12}
-@deffn {Attribute} _filename: pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
-@end deffn
+@item
+@code{filename} (Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}) –
-@geindex _line (pyGHDL.dom.Position attribute)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position _line}@anchor{a13}
-@deffn {Attribute} _line: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-@end deffn
+@item
+@code{line} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
-@geindex _column (pyGHDL.dom.Position attribute)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position _column}@anchor{a14}
-@deffn {Attribute} _column: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-@end deffn
+@item
+@code{column} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
+@end itemize
-@geindex parse() (pyGHDL.dom.Position class method)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position parse}@anchor{a15}
-@deffn {Method} classmethod parse (node)
-Return the source code position of a IIR node.
+@geindex __init__() (pyGHDL.dom.Position method)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position __init__}@anchor{821}
+@deffn {Method} __init__ (filename, line, column)
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Filename (pyGHDL.dom.Position property)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position Filename}@anchor{a16}
-@deffn {Property} Filename: pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
+@item
+@code{filename} (Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}) –
-@*Return type:
-Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
+@item
+@code{line} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
+
+@item
+@code{column} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
+@end itemize
@end deffn
-@geindex Line (pyGHDL.dom.Position property)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position Line}@anchor{a17}
-@deffn {Property} Line: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@geindex parse() (pyGHDL.dom.Position class method)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position parse}@anchor{822}
+@deffn {Method} classmethod parse (node)
+
+Return the source code position of a IIR node.
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@ref{81f,,Position}
-@end deffn
-@geindex Column (pyGHDL.dom.Position property)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position Column}@anchor{a18}
-@deffn {Property} Column: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@*Parameters:
+@code{node} (@code{Iir}) –
-@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@end deffn
+@geindex __str__() (pyGHDL.dom.Position method)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position __str__}@anchor{823}
+@deffn {Method} __str__ ()
+
+Return str(self).
@end deffn
@end deffn
@geindex DOMMixin (class in pyGHDL.dom)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin}@anchor{a0e}
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin}@anchor{820}
@deffn {Class} pyGHDL.dom.DOMMixin (node)
@subsubheading Inheritance
-@image{inheritance-8cf43656846524342e366fbfbd5977a2f0c24e01,,,[graphviz],png}
-
-@subsubheading Members
+@image{inheritance-9d24f0be099de3ac1b0702cc2107b393e6164922,,,[graphviz],png}
+@*Parameters:
+@code{node} (@code{Iir}) –
-@geindex _position (pyGHDL.dom.DOMMixin attribute)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin _position}@anchor{a19}
-@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
-@end deffn
-@geindex _iirNode (pyGHDL.dom.DOMMixin attribute)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin _iirNode}@anchor{a1a}
-@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
-@end deffn
+@geindex __init__() (pyGHDL.dom.DOMMixin method)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin __init__}@anchor{824}
+@deffn {Method} __init__ (node)
-@geindex Position (pyGHDL.dom.DOMMixin property)
-@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin Position}@anchor{a1b}
-@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-
-@*Return type:
-@ref{200,,Position}
+@*Parameters:
+@code{node} (@code{Iir}) –
@end deffn
@end deffn
@@ -24583,27 +28147,33 @@ int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl,pyGHDL lsp,pyGHDL dom,pyGHDL
-@anchor{pyGHDL/pyGHDL libghdl doc}@anchor{a1c}@anchor{pyGHDL/pyGHDL libghdl module-pyGHDL libghdl}@anchor{1a}@anchor{pyGHDL/pyGHDL libghdl pyghdl-libghdl}@anchor{a1d}
-@section pyGHDL.libghdl
+@anchor{pyGHDL/pyGHDL libghdl doc}@anchor{825}@anchor{pyGHDL/pyGHDL libghdl module-pyGHDL libghdl}@anchor{1b}@anchor{pyGHDL/pyGHDL libghdl pyghdl-libghdl}@anchor{826}
+@section @code{pyGHDL.libghdl}
@geindex module; pyGHDL.libghdl
-@c #-----------------------------------
+Python binding and low-level API for shared library @code{libghdl}.
+
+In case of an error, a @ref{827,,LibGHDLException} is raised.
-@strong{Submodules}
+`Submodules'
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@@ -24614,23 +28184,28 @@ int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@menu
* pyGHDL.libghdl._decorator: pyGHDL libghdl _decorator.
* pyGHDL.libghdl._types: pyGHDL libghdl _types.
* pyGHDL.libghdl.errorout: pyGHDL libghdl errorout.
* pyGHDL.libghdl.errorout_console: pyGHDL libghdl errorout_console.
* pyGHDL.libghdl.errorout_memory: pyGHDL libghdl errorout_memory.
+* pyGHDL.libghdl.file_comments: pyGHDL libghdl file_comments.
* pyGHDL.libghdl.files_map: pyGHDL libghdl files_map.
* pyGHDL.libghdl.files_map_editor: pyGHDL libghdl files_map_editor.
* pyGHDL.libghdl.flags: pyGHDL libghdl flags.
@@ -24644,33 +28219,33 @@ int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@end menu
@node pyGHDL libghdl _decorator,pyGHDL libghdl _types,,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl _decorator doc}@anchor{a1e}@anchor{pyGHDL/pyGHDL libghdl _decorator module-pyGHDL libghdl _decorator}@anchor{1b}@anchor{pyGHDL/pyGHDL libghdl _decorator pyghdl-libghdl-decorator}@anchor{a1f}
-@subsection pyGHDL.libghdl._decorator
+@anchor{pyGHDL/pyGHDL libghdl _decorator doc}@anchor{828}@anchor{pyGHDL/pyGHDL libghdl _decorator module-pyGHDL libghdl _decorator}@anchor{1c}@anchor{pyGHDL/pyGHDL libghdl _decorator pyghdl-libghdl-decorator}@anchor{829}
+@subsection @code{pyGHDL.libghdl._decorator}
@geindex module; pyGHDL.libghdl._decorator
-@c #-----------------------------------
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{a20,,EnumLookupTable()}:
-Decorator to precalculate a enum lookup table (LUT) for enum position to
+@ref{82a,,EnumLookupTable()}:
+Decorator to precalculate an enum lookup table (LUT) for enum position to
@end itemize
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex EnumLookupTable() (in module pyGHDL.libghdl._decorator)
-@anchor{pyGHDL/pyGHDL libghdl _decorator pyGHDL libghdl _decorator EnumLookupTable}@anchor{a20}
+@anchor{pyGHDL/pyGHDL libghdl _decorator pyGHDL libghdl _decorator EnumLookupTable}@anchor{82a}
@deffn {Function} pyGHDL.libghdl._decorator.EnumLookupTable (cls)
-Decorator to precalculate a enum lookup table (LUT) for enum position to
+Decorator to precalculate an enum lookup table (LUT) for enum position to
enum literal name.
@*Parameters:
@@ -24678,7 +28253,7 @@ enum literal name.
@*Return type:
-Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callable}
+Callable@footnote{https://docs.python.org/3/library/typing.html#typing.Callable}
@end deffn
@@ -24691,183 +28266,488 @@ Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callabl
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl _types,pyGHDL libghdl errorout,pyGHDL libghdl _decorator,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl _types doc}@anchor{a21}@anchor{pyGHDL/pyGHDL libghdl _types module-pyGHDL libghdl _types}@anchor{1c}@anchor{pyGHDL/pyGHDL libghdl _types pyghdl-libghdl-types}@anchor{a22}
-@subsection pyGHDL.libghdl._types
+@anchor{pyGHDL/pyGHDL libghdl _types doc}@anchor{82b}@anchor{pyGHDL/pyGHDL libghdl _types module-pyGHDL libghdl _types}@anchor{1d}@anchor{pyGHDL/pyGHDL libghdl _types pyghdl-libghdl-types}@anchor{82c}
+@subsection @code{pyGHDL.libghdl._types}
@geindex module; pyGHDL.libghdl._types
-@c #-----------------------------------
-
-@strong{Variables}
+`Variables'
@itemize -
@item
-@ref{a23,,ErrorIndex}
+@ref{82d,,ErrorIndex}
@item
-@ref{a24,,MessageIdWarnings}
+@ref{82e,,MessageIdWarnings}
@item
-@ref{a25,,NameId}
+@ref{82f,,NameId}
@item
-@ref{a26,,SourceFileEntry}
+@ref{830,,SourceFileEntry}
@item
-@ref{a27,,Iir}
+@ref{831,,Iir}
@item
-@ref{a28,,IirKind}
+@ref{832,,IirKind}
@end itemize
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{a29,,TriStateType}:
+@ref{833,,TriStateType}:
An enumeration.
@item
-@ref{a2a,,DirectionType}:
+@ref{834,,DirectionType}:
An enumeration.
@end itemize
+
+__________________________________________________________________
+
+
+`Variables'
+
@geindex ErrorIndex (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types ErrorIndex}@anchor{a23}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types ErrorIndex}@anchor{82d}
@deffn {Data} pyGHDL.libghdl._types.ErrorIndex
+Type variable.
+
+Usage:
+
@example
-~ErrorIndex
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
@end example
-alias of TypeVar(‘ErrorIndex’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
+
+@quotation
+
+
+@table @asis
+
+@item def repeat(x: T, n: int) -> List[T]:
+
+‘’’Return a list containing n references to x.’’’
+return [x]*n
+
+@item def longest(x: A, y: A) -> A:
+
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
+@end table
+@end quotation
+
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
+
+@example
+~ErrorIndex
+@end example
@end deffn
@geindex MessageIdWarnings (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types MessageIdWarnings}@anchor{a24}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types MessageIdWarnings}@anchor{82e}
@deffn {Data} pyGHDL.libghdl._types.MessageIdWarnings
+Type variable.
+
+Usage:
+
@example
-~MessageIdWarnings
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
@end example
-alias of TypeVar(‘MessageIdWarnings’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
+
+@quotation
+
+
+@table @asis
+
+@item def repeat(x: T, n: int) -> List[T]:
+
+‘’’Return a list containing n references to x.’’’
+return [x]*n
+
+@item def longest(x: A, y: A) -> A:
+
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
+@end table
+@end quotation
+
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
+
+@example
+~MessageIdWarnings
+@end example
@end deffn
@geindex NameId (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types NameId}@anchor{a25}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types NameId}@anchor{82f}
@deffn {Data} pyGHDL.libghdl._types.NameId
+Type variable.
+
+Usage:
+
@example
-~NameId
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
@end example
-alias of TypeVar(‘NameId’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
+
+@quotation
+
+
+@table @asis
+
+@item def repeat(x: T, n: int) -> List[T]:
+
+‘’’Return a list containing n references to x.’’’
+return [x]*n
+
+@item def longest(x: A, y: A) -> A:
+
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
+@end table
+@end quotation
+
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
+
+@example
+~NameId
+@end example
@end deffn
@geindex SourceFileEntry (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types SourceFileEntry}@anchor{a26}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types SourceFileEntry}@anchor{830}
@deffn {Data} pyGHDL.libghdl._types.SourceFileEntry
+Type variable.
+
+Usage:
+
@example
-~SourceFileEntry
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
@end example
-alias of TypeVar(‘SourceFileEntry’, bound=ctypes.c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
+
+@quotation
+
+
+@table @asis
+
+@item def repeat(x: T, n: int) -> List[T]:
+
+‘’’Return a list containing n references to x.’’’
+return [x]*n
+
+@item def longest(x: A, y: A) -> A:
+
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
+@end table
+@end quotation
+
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
+
+@example
+~SourceFileEntry
+@end example
@end deffn
@geindex Iir (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types Iir}@anchor{a27}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types Iir}@anchor{831}
@deffn {Data} pyGHDL.libghdl._types.Iir
+Type variable.
+
+Usage:
+
@example
-~Iir
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
@end example
-alias of TypeVar(‘Iir’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
+
+@quotation
+
+
+@table @asis
+
+@item def repeat(x: T, n: int) -> List[T]:
+
+‘’’Return a list containing n references to x.’’’
+return [x]*n
+
+@item def longest(x: A, y: A) -> A:
+
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
+@end table
+@end quotation
+
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
+
+@example
+~Iir
+@end example
@end deffn
@geindex IirKind (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types IirKind}@anchor{a28}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types IirKind}@anchor{832}
@deffn {Data} pyGHDL.libghdl._types.IirKind
+Type variable.
+
+Usage:
+
@example
-~IirKind
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
@end example
-alias of TypeVar(‘IirKind’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-@end deffn
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
-@c #-----------------------------------
+@quotation
-@geindex TriStateType (class in pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType}@anchor{a29}
-@deffn {Class} pyGHDL.libghdl._types.TriStateType (value)
-An enumeration.
+@table @asis
-@subsubheading Inheritance
+@item def repeat(x: T, n: int) -> List[T]:
-@image{inheritance-2146dfdb7eece2ba4aaccb290cc0f8e2057bb356,,,[graphviz],png}
+‘’’Return a list containing n references to x.’’’
+return [x]*n
-@subsubheading Members
+@item def longest(x: A, y: A) -> A:
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
+@end table
+@end quotation
-@geindex Unknown (pyGHDL.libghdl._types.TriStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType Unknown}@anchor{a2b}
-@deffn {Attribute} Unknown = 0
-@end deffn
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
-@geindex TFalse (pyGHDL.libghdl._types.TriStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType TFalse}@anchor{a2c}
-@deffn {Attribute} TFalse = 1
-@end deffn
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
-@geindex TTrue (pyGHDL.libghdl._types.TriStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType TTrue}@anchor{a2d}
-@deffn {Attribute} TTrue = 2
-@end deffn
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
+
+@example
+~IirKind
+@end example
@end deffn
-@geindex DirectionType (class in pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType}@anchor{a2a}
-@deffn {Class} pyGHDL.libghdl._types.DirectionType (value)
+
+__________________________________________________________________
+
+
+`Classes'
+
+@geindex TriStateType (class in pyGHDL.libghdl._types)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType}@anchor{833}
+@deffn {Class} pyGHDL.libghdl._types.TriStateType (value)
An enumeration.
@subsubheading Inheritance
-@image{inheritance-2f092a54a31bc37880c2d50a4dcdeb26e629226e,,,[graphviz],png}
+@image{inheritance-091ee53053bb576e957773d92242573237e675bf,,,[graphviz],png}
+@end deffn
-@subsubheading Members
+@geindex DirectionType (class in pyGHDL.libghdl._types)
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType}@anchor{834}
+@deffn {Class} pyGHDL.libghdl._types.DirectionType (value)
+An enumeration.
-@geindex To (pyGHDL.libghdl._types.DirectionType attribute)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType To}@anchor{a2e}
-@deffn {Attribute} To = 0
-@end deffn
+@subsubheading Inheritance
-@geindex Downto (pyGHDL.libghdl._types.DirectionType attribute)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType Downto}@anchor{a2f}
-@deffn {Attribute} Downto = 1
-@end deffn
+@image{inheritance-f57da2dfe3464c8685313c14ac1b4f0634065102,,,[graphviz],png}
@end deffn
@c # Load pre-defined aliases and graphical characters like © from docutils
@@ -24879,241 +28759,146 @@ An enumeration.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl errorout,pyGHDL libghdl errorout_console,pyGHDL libghdl _types,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl errorout doc}@anchor{a30}@anchor{pyGHDL/pyGHDL libghdl errorout module-pyGHDL libghdl errorout}@anchor{1d}@anchor{pyGHDL/pyGHDL libghdl errorout pyghdl-libghdl-errorout}@anchor{a31}
-@subsection pyGHDL.libghdl.errorout
+@anchor{pyGHDL/pyGHDL libghdl errorout doc}@anchor{835}@anchor{pyGHDL/pyGHDL libghdl errorout module-pyGHDL libghdl errorout}@anchor{1e}@anchor{pyGHDL/pyGHDL libghdl errorout pyghdl-libghdl-errorout}@anchor{836}
+@subsection @code{pyGHDL.libghdl.errorout}
@geindex module; pyGHDL.libghdl.errorout
-@c #-----------------------------------
-
-@strong{Classes}
+`Functions'
@itemize -
@item
-@ref{a32,,Msgid}:
-An enumeration.
+@ref{837,,Enable_Warning()}:
@end itemize
-@strong{Functions}
+`Classes'
@itemize -
@item
-@ref{a33,,Enable_Warning()}:
-@end itemize
-
-@c #-----------------------------------
-
-@geindex Msgid (class in pyGHDL.libghdl.errorout)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid}@anchor{a32}
-@deffn {Class} pyGHDL.libghdl.errorout.Msgid (value)
-
+@ref{838,,Msgid}:
An enumeration.
+@end itemize
-@subsubheading Inheritance
-
-@image{inheritance-009eddda2873b0b151195c04619c3258fb6df51b,,,[graphviz],png}
-@subsubheading Members
+__________________________________________________________________
-@geindex Msgid_Note (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Note}@anchor{a34}
-@deffn {Attribute} Msgid_Note = 0
-@end deffn
+`Functions'
-@geindex Warnid_Library (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Library}@anchor{a35}
-@deffn {Attribute} Warnid_Library = 1
-@end deffn
+@geindex Enable_Warning() (in module pyGHDL.libghdl.errorout)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Enable_Warning}@anchor{837}
+@deffn {Function} pyGHDL.libghdl.errorout.Enable_Warning (Id, Enable)
-@geindex Warnid_Deprecated_Option (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Deprecated_Option}@anchor{a36}
-@deffn {Attribute} Warnid_Deprecated_Option = 2
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Warnid_Unexpected_Option (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Unexpected_Option}@anchor{a37}
-@deffn {Attribute} Warnid_Unexpected_Option = 3
-@end deffn
-@geindex Warnid_Missing_Xref (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Missing_Xref}@anchor{a38}
-@deffn {Attribute} Warnid_Missing_Xref = 4
-@end deffn
+@*Parameters:
-@geindex Warnid_Default_Binding (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Default_Binding}@anchor{a39}
-@deffn {Attribute} Warnid_Default_Binding = 5
-@end deffn
+@itemize *
-@geindex Warnid_Binding (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Binding}@anchor{a3a}
-@deffn {Attribute} Warnid_Binding = 6
-@end deffn
+@item
+@code{Id} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
-@geindex Warnid_Port (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Port}@anchor{a3b}
-@deffn {Attribute} Warnid_Port = 7
-@end deffn
+@item
+@code{Enable} (bool@footnote{https://docs.python.org/3/library/functions.html#bool}) –
+@end itemize
-@geindex Warnid_Reserved_Word (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Reserved_Word}@anchor{a3c}
-@deffn {Attribute} Warnid_Reserved_Word = 8
@end deffn
-@geindex Warnid_Pragma (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Pragma}@anchor{a3d}
-@deffn {Attribute} Warnid_Pragma = 9
-@end deffn
-@geindex Warnid_Nested_Comment (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Nested_Comment}@anchor{a3e}
-@deffn {Attribute} Warnid_Nested_Comment = 10
-@end deffn
+__________________________________________________________________
-@geindex Warnid_Directive (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Directive}@anchor{a3f}
-@deffn {Attribute} Warnid_Directive = 11
-@end deffn
-@geindex Warnid_Parenthesis (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Parenthesis}@anchor{a40}
-@deffn {Attribute} Warnid_Parenthesis = 12
-@end deffn
+`Classes'
-@geindex Warnid_Vital_Generic (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Vital_Generic}@anchor{a41}
-@deffn {Attribute} Warnid_Vital_Generic = 13
-@end deffn
+@geindex Msgid (class in pyGHDL.libghdl.errorout)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid}@anchor{838}
+@deffn {Class} pyGHDL.libghdl.errorout.Msgid (value)
-@geindex Warnid_Delayed_Checks (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Delayed_Checks}@anchor{a42}
-@deffn {Attribute} Warnid_Delayed_Checks = 14
-@end deffn
+An enumeration.
-@geindex Warnid_Body (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Body}@anchor{a43}
-@deffn {Attribute} Warnid_Body = 15
-@end deffn
+@subsubheading Inheritance
-@geindex Warnid_Specs (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Specs}@anchor{a44}
-@deffn {Attribute} Warnid_Specs = 16
+@image{inheritance-1bd82558051637191852285fef0da12c18dd1005,,,[graphviz],png}
@end deffn
-@geindex Warnid_Universal (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Universal}@anchor{a45}
-@deffn {Attribute} Warnid_Universal = 17
-@end deffn
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@geindex Warnid_Port_Bounds (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Port_Bounds}@anchor{a46}
-@deffn {Attribute} Warnid_Port_Bounds = 18
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Warnid_Runtime_Error (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Runtime_Error}@anchor{a47}
-@deffn {Attribute} Warnid_Runtime_Error = 19
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex Warnid_Delta_Cycle (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Delta_Cycle}@anchor{a48}
-@deffn {Attribute} Warnid_Delta_Cycle = 20
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Warnid_No_Wait (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_No_Wait}@anchor{a49}
-@deffn {Attribute} Warnid_No_Wait = 21
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex Warnid_Shared (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Shared}@anchor{a4a}
-@deffn {Attribute} Warnid_Shared = 22
-@end deffn
+@c # define a hard line break for HTML
-@geindex Warnid_Hide (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Hide}@anchor{a4b}
-@deffn {Attribute} Warnid_Hide = 23
-@end deffn
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
-@geindex Warnid_Unused (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Unused}@anchor{a4c}
-@deffn {Attribute} Warnid_Unused = 24
-@end deffn
+@node pyGHDL libghdl errorout_console,pyGHDL libghdl errorout_memory,pyGHDL libghdl errorout,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl errorout_console doc}@anchor{839}@anchor{pyGHDL/pyGHDL libghdl errorout_console module-pyGHDL libghdl errorout_console}@anchor{1f}@anchor{pyGHDL/pyGHDL libghdl errorout_console pyghdl-libghdl-errorout-console}@anchor{83a}
+@subsection @code{pyGHDL.libghdl.errorout_console}
-@geindex Warnid_Others (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Others}@anchor{a4d}
-@deffn {Attribute} Warnid_Others = 25
-@end deffn
-@geindex Warnid_Pure (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Pure}@anchor{a4e}
-@deffn {Attribute} Warnid_Pure = 26
-@end deffn
+@geindex module; pyGHDL.libghdl.errorout_console
-@geindex Warnid_Analyze_Assert (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Analyze_Assert}@anchor{a4f}
-@deffn {Attribute} Warnid_Analyze_Assert = 27
-@end deffn
+`Functions'
-@geindex Warnid_Attribute (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Attribute}@anchor{a50}
-@deffn {Attribute} Warnid_Attribute = 28
-@end deffn
-@geindex Warnid_Useless (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Useless}@anchor{a51}
-@deffn {Attribute} Warnid_Useless = 29
-@end deffn
+@itemize -
-@geindex Warnid_Static (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Static}@anchor{a52}
-@deffn {Attribute} Warnid_Static = 30
-@end deffn
+@item
+@ref{83b,,Install_Handler()}:
+Install the handlers for reporting errors.
+@end itemize
-@geindex Msgid_Warning (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Warning}@anchor{a53}
-@deffn {Attribute} Msgid_Warning = 31
-@end deffn
-@geindex Msgid_Error (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Error}@anchor{a54}
-@deffn {Attribute} Msgid_Error = 32
-@end deffn
+__________________________________________________________________
-@geindex Msgid_Fatal (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Fatal}@anchor{a55}
-@deffn {Attribute} Msgid_Fatal = 33
-@end deffn
-@end deffn
-@c #-----------------------------------
+`Functions'
-@strong{Functions}
+@geindex Install_Handler() (in module pyGHDL.libghdl.errorout_console)
+@anchor{pyGHDL/pyGHDL libghdl errorout_console pyGHDL libghdl errorout_console Install_Handler}@anchor{83b}
+@deffn {Function} pyGHDL.libghdl.errorout_console.Install_Handler ()
-@geindex Enable_Warning() (in module pyGHDL.libghdl.errorout)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Enable_Warning}@anchor{a33}
-@deffn {Function} pyGHDL.libghdl.errorout.Enable_Warning (Id, Enable)
+Install the handlers for reporting errors.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@@ -25126,120 +28911,153 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
-@node pyGHDL libghdl errorout_console,pyGHDL libghdl errorout_memory,pyGHDL libghdl errorout,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl errorout_console doc}@anchor{a56}@anchor{pyGHDL/pyGHDL libghdl errorout_console module-pyGHDL libghdl errorout_console}@anchor{1e}@anchor{pyGHDL/pyGHDL libghdl errorout_console pyghdl-libghdl-errorout-console}@anchor{a57}
-@subsection pyGHDL.libghdl.errorout_console
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+@node pyGHDL libghdl errorout_memory,pyGHDL libghdl file_comments,pyGHDL libghdl errorout_console,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory doc}@anchor{83c}@anchor{pyGHDL/pyGHDL libghdl errorout_memory module-pyGHDL libghdl errorout_memory}@anchor{20}@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyghdl-libghdl-errorout-memory}@anchor{83d}
+@subsection @code{pyGHDL.libghdl.errorout_memory}
-@geindex module; pyGHDL.libghdl.errorout_console
-@c #-----------------------------------
+@geindex module; pyGHDL.libghdl.errorout_memory
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{a58,,Install_Handler()}:
+@ref{83e,,Install_Handler()}:
Install the handlers for reporting errors.
+
+@item
+@ref{83f,,Get_Nbr_Messages()}:
+Get number of error messages available.
+
+@item
+@ref{840,,Get_Error_Record()}:
+Get error messages by index @code{Idy} as structure @ref{841,,Error_Message}.
+
+@item
+@ref{842,,Get_Error_Message()}:
+Get error messages by index @code{Idx} as string.
+
+@item
+@ref{843,,Clear_Errors()}:
+Remove all error messages.
@end itemize
-@c #-----------------------------------
+`Classes'
-@strong{Functions}
-@geindex Install_Handler() (in module pyGHDL.libghdl.errorout_console)
-@anchor{pyGHDL/pyGHDL libghdl errorout_console pyGHDL libghdl errorout_console Install_Handler}@anchor{a58}
-@deffn {Function} pyGHDL.libghdl.errorout_console.Install_Handler ()
+@itemize -
+
+@item
+@ref{841,,Error_Message}:
+Id : Msgid_Type
+@end itemize
+
+
+__________________________________________________________________
+
+
+`Functions'
+
+@geindex Install_Handler() (in module pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Install_Handler}@anchor{83e}
+@deffn {Function} pyGHDL.libghdl.errorout_memory.Install_Handler ()
Install the handlers for reporting errors.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
-@c # <file> is used to denote the special path
-@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+@geindex Get_Nbr_Messages() (in module pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Nbr_Messages}@anchor{83f}
+@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Nbr_Messages ()
-@c This data file has been placed in the public domain.
+Get number of error messages available.
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@c This data file has been placed in the public domain.
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@*Returns:
+Number of messages available.
-@c # define a hard line break for HTML
+@end deffn
-@node pyGHDL libghdl errorout_memory,pyGHDL libghdl files_map,pyGHDL libghdl errorout_console,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory doc}@anchor{a59}@anchor{pyGHDL/pyGHDL libghdl errorout_memory module-pyGHDL libghdl errorout_memory}@anchor{1f}@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyghdl-libghdl-errorout-memory}@anchor{a5a}
-@subsection pyGHDL.libghdl.errorout_memory
+@geindex Get_Error_Record() (in module pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Record}@anchor{840}
+@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Error_Record (Idx)
+Get error messages by index @code{Idy} as structure @ref{841,,Error_Message}.
-@geindex module; pyGHDL.libghdl.errorout_memory
+@*Parameters:
+@code{Idx} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Index from 1 to @code{Nbr_Messages} See @ref{83f,,Get_Nbr_Messages()}.
-@c #-----------------------------------
-@strong{Classes}
+@*Return type:
+@ref{841,,Error_Message}
-@itemize -
+@*Returns:
+Type: @code{Error_Message}
-@item
-@ref{a5b,,Error_Message}:
-Id : Msgid_Type
-@end itemize
+@end deffn
+
+@geindex Get_Error_Message() (in module pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Message}@anchor{842}
+@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Error_Message (Idx)
-@strong{Functions}
+Get error messages by index @code{Idx} as string.
+@*Parameters:
+@code{Idx} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Index from 1 to @code{Nbr_Messages} See @ref{83f,,Get_Nbr_Messages()}.
-@itemize -
-@item
-@ref{a5c,,Install_Handler()}:
-Install the handlers for reporting errors.
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@item
-@ref{a5d,,Get_Nbr_Messages()}:
-Get number of error messages available.
-@item
-@ref{a5e,,Get_Error_Record()}:
-Get error messages by index @code{Idy} as structure @ref{a5b,,Error_Message}.
+@*Returns:
+Error message.
-@item
-@ref{a5f,,Get_Error_Message()}:
-Get error messages by index @code{Idx} as string.
+@end deffn
+
+@geindex Clear_Errors() (in module pyGHDL.libghdl.errorout_memory)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Clear_Errors}@anchor{843}
+@deffn {Function} pyGHDL.libghdl.errorout_memory.Clear_Errors ()
-@item
-@ref{a60,,Clear_Errors()}:
Remove all error messages.
-@end itemize
-@c #-----------------------------------
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+@end deffn
+
+
+__________________________________________________________________
+
+
+`Classes'
@geindex Error_Message (class in pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message}@anchor{a5b}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message}@anchor{841}
@deffn {Class} pyGHDL.libghdl.errorout_memory.Error_Message
@@ -25273,156 +29091,315 @@ use 0 when unknown.
@subsubheading Inheritance
-@image{inheritance-a53f6e52ecf0567bea2c25e19765b87556145edc,,,[graphviz],png}
+@image{inheritance-4be8720bb0de6588339607023dd38a8d11526278,,,[graphviz],png}
-@subsubheading Members
+@geindex __hash__() (pyGHDL.libghdl.errorout_memory.Error_Message method)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message __hash__}@anchor{844}
+@deffn {Method} __hash__ ()
+Return hash(self).
+@end deffn
-@geindex _fields_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _fields_}@anchor{a61}
-@deffn {Attribute} _fields_ = [('id', <class 'ctypes.c_byte'>), ('group', <class 'ctypes.c_byte'>), ('file', <class 'ctypes.c_int'>), ('line', <class 'ctypes.c_int'>), ('offset', <class 'ctypes.c_int'>), ('length', <class 'ctypes.c_int'>)]
+@geindex __init__() (pyGHDL.libghdl.errorout_memory.Error_Message method)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message __init__}@anchor{845}
+@deffn {Method} __init__ (*args, **kwargs)
+@end deffn
+
+@geindex __new__() (pyGHDL.libghdl.errorout_memory.Error_Message method)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message __new__}@anchor{846}
+@deffn {Method} __new__ (**kwargs)
+@end deffn
+
+@geindex __reduce__() (pyGHDL.libghdl.errorout_memory.Error_Message method)
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message __reduce__}@anchor{847}
+@deffn {Method} __reduce__ ()
+
+Helper for pickle.
@end deffn
@geindex _b_base_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_base_}@anchor{a62}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_base_}@anchor{848}
@deffn {Attribute} _b_base_
the base object
@end deffn
@geindex _b_needsfree_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_needsfree_}@anchor{a63}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_needsfree_}@anchor{849}
@deffn {Attribute} _b_needsfree_
whether the object owns the memory or not
@end deffn
@geindex _objects (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _objects}@anchor{a64}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _objects}@anchor{84a}
@deffn {Attribute} _objects
internal objects tree (NEVER CHANGE THIS OBJECT!)
@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@geindex file (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message file}@anchor{a65}
-@deffn {Attribute} file
+@c This data file has been placed in the public domain.
-Structure/Union member
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex group (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message group}@anchor{a66}
-@deffn {Attribute} group
+@c This data file has been placed in the public domain.
-Structure/Union member
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex id (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message id}@anchor{a67}
-@deffn {Attribute} id
+@c # define a hard line break for HTML
-Structure/Union member
-@end deffn
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
-@geindex length (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message length}@anchor{a68}
-@deffn {Attribute} length
+@node pyGHDL libghdl file_comments,pyGHDL libghdl files_map,pyGHDL libghdl errorout_memory,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl file_comments doc}@anchor{84b}@anchor{pyGHDL/pyGHDL libghdl file_comments module-pyGHDL libghdl file_comments}@anchor{21}@anchor{pyGHDL/pyGHDL libghdl file_comments pyghdl-libghdl-file-comments}@anchor{84c}
+@subsection @code{pyGHDL.libghdl.file_comments}
-Structure/Union member
-@end deffn
-@geindex line (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message line}@anchor{a69}
-@deffn {Attribute} line
+@geindex module; pyGHDL.libghdl.file_comments
-Structure/Union member
-@end deffn
+`Variables'
-@geindex offset (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message offset}@anchor{a6a}
-@deffn {Attribute} offset
-Structure/Union member
-@end deffn
-@end deffn
+@itemize -
-@c #-----------------------------------
+@item
+@ref{84d,,Comment_Index}
-@strong{Functions}
+@item
+@ref{84e,,No_Comment_Index}
+@end itemize
-@geindex Install_Handler() (in module pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Install_Handler}@anchor{a5c}
-@deffn {Function} pyGHDL.libghdl.errorout_memory.Install_Handler ()
+`Functions'
-Install the handlers for reporting errors.
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@itemize -
-@end deffn
+@item
+@ref{84f,,Find_First_Comment()}:
+Get the first comment associated to a node.
-@geindex Get_Nbr_Messages() (in module pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Nbr_Messages}@anchor{a5d}
-@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Nbr_Messages ()
+@item
+@ref{850,,Get_Comment_Start()}:
+Get the start of comment
-Get number of error messages available.
+@item
+@ref{851,,Get_Comment_Last()}:
+Get the end of comment
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@ref{852,,Get_Next_Comment()}:
+Get the next comment
+@end itemize
-@*Returns:
-Number of messages available.
+__________________________________________________________________
+
+
+`Variables'
+
+@geindex Comment_Index (in module pyGHDL.libghdl.file_comments)
+@anchor{pyGHDL/pyGHDL libghdl file_comments pyGHDL libghdl file_comments Comment_Index}@anchor{84d}
+@deffn {Data} pyGHDL.libghdl.file_comments.Comment_Index
+
+Type variable.
+
+Usage:
+
+@example
+T = TypeVar('T') # Can be anything
+A = TypeVar('A', str, bytes) # Must be str or bytes
+@end example
+Type variables exist primarily for the benefit of static type
+checkers. They serve as the parameters for generic types as well
+as for generic function definitions. See class Generic for more
+information on generic types. Generic functions work as follows:
+
+@quotation
+
+
+@table @asis
+
+@item def repeat(x: T, n: int) -> List[T]:
+
+‘’’Return a list containing n references to x.’’’
+return [x]*n
+
+@item def longest(x: A, y: A) -> A:
+
+‘’’Return the longest of two strings.’’’
+return x if len(x) >= len(y) else y
+@end table
+@end quotation
+
+The latter example’s signature is essentially the overloading
+of (str, str) -> str and (bytes, bytes) -> bytes. Also note
+that if the arguments are instances of some subclass of str,
+the return type is still plain str.
+
+At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
+
+Type variables defined with covariant=True or contravariant=True
+can be used to declare covariant or contravariant generic types.
+See PEP 484 for more details. By default generic types are invariant
+in all type variables.
+
+Type variables can be introspected. e.g.:
+
+@quotation
+
+T.__name__ == ‘T’
+T.__constraints__ == ()
+T.__covariant__ == False
+T.__contravariant__ = False
+A.__constraints__ == (str, bytes)
+@end quotation
+
+Note that only type variables defined in global scope can be pickled.
+
+@example
+~Comment_Index
+@end example
@end deffn
-@geindex Get_Error_Record() (in module pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Record}@anchor{a5e}
-@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Error_Record (Idx)
+@geindex No_Comment_Index (in module pyGHDL.libghdl.file_comments)
+@anchor{pyGHDL/pyGHDL libghdl file_comments pyGHDL libghdl file_comments No_Comment_Index}@anchor{84e}
+@deffn {Data} pyGHDL.libghdl.file_comments.No_Comment_Index
-Get error messages by index @code{Idy} as structure @ref{a5b,,Error_Message}.
+int([x]) -> integer
+int(x, base=10) -> integer
+
+Convert a number or string to an integer, or return 0 if no arguments
+are given. If x is a number, return x.__int__(). For floating point
+numbers, this truncates towards zero.
+
+If x is not a number or if base is given, then x must be a string,
+bytes, or bytearray instance representing an integer literal in the
+given base. The literal can be preceded by ‘+’ or ‘-’ and be surrounded
+by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
+Base 0 means to interpret the base from the string as an integer literal.
+>>> int(‘0b100’, base=0)
+4
+
+@example
+0
+@end example
+@end deffn
+
+
+__________________________________________________________________
+
+
+`Functions'
+
+@geindex Find_First_Comment() (in module pyGHDL.libghdl.file_comments)
+@anchor{pyGHDL/pyGHDL libghdl file_comments pyGHDL libghdl file_comments Find_First_Comment}@anchor{84f}
+@deffn {Function} pyGHDL.libghdl.file_comments.Find_First_Comment (File, N)
+
+Get the first comment associated to a node.
+:type N: c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint}
+:param N: Node
+:type File: TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
+:param File: Source file for node
+:rtype: TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Comment_Index}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
+:return: The first comment index, or No_Comment_Index if none.
@*Parameters:
-@code{Idx} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Index from 1 to @code{Nbr_Messages} See @ref{a5d,,Get_Nbr_Messages()}.
+@itemize *
-@*Return type:
-@ref{a5b,,Error_Message}
+@item
+@code{File} (@code{SourceFileEntry}) –
+@item
+@code{N} (c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint}) –
+@end itemize
-@*Returns:
-Type: @code{Error_Message}
+
+@*Return type:
+`Comment_Index'
@end deffn
-@geindex Get_Error_Message() (in module pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Message}@anchor{a5f}
-@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Error_Message (Idx)
+@geindex Get_Comment_Start() (in module pyGHDL.libghdl.file_comments)
+@anchor{pyGHDL/pyGHDL libghdl file_comments pyGHDL libghdl file_comments Get_Comment_Start}@anchor{850}
+@deffn {Function} pyGHDL.libghdl.file_comments.Get_Comment_Start (File, Idx)
+
+Get the start of comment
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourcePtr}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-Get error messages by index @code{Idx} as string.
@*Parameters:
-@code{Idx} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Index from 1 to @code{Nbr_Messages} See @ref{a5d,,Get_Nbr_Messages()}.
+@itemize *
+
+@item
+@code{File} (@code{SourceFileEntry}) –
+
+@item
+@code{Idx} (@code{Comment_Index}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Comment_Last() (in module pyGHDL.libghdl.file_comments)
+@anchor{pyGHDL/pyGHDL libghdl file_comments pyGHDL libghdl file_comments Get_Comment_Last}@anchor{851}
+@deffn {Function} pyGHDL.libghdl.file_comments.Get_Comment_Last (File, Idx)
+
+Get the end of comment
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourcePtr}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@*Returns:
-Error message.
+@*Parameters:
+
+@itemize *
+
+@item
+@code{File} (@code{SourceFileEntry}) –
+
+@item
+@code{Idx} (@code{Comment_Index}) –
+@end itemize
@end deffn
-@geindex Clear_Errors() (in module pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Clear_Errors}@anchor{a60}
-@deffn {Function} pyGHDL.libghdl.errorout_memory.Clear_Errors ()
+@geindex Get_Next_Comment() (in module pyGHDL.libghdl.file_comments)
+@anchor{pyGHDL/pyGHDL libghdl file_comments pyGHDL libghdl file_comments Get_Next_Comment}@anchor{852}
+@deffn {Function} pyGHDL.libghdl.file_comments.Get_Next_Comment (File, Idx)
-Remove all error messages.
+Get the next comment
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Comment_Index}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{File} (@code{SourceFileEntry}) –
+
+@item
+@code{Idx} (@code{Comment_Index}) –
+@end itemize
@end deffn
@@ -25435,121 +29412,129 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
-@node pyGHDL libghdl files_map,pyGHDL libghdl files_map_editor,pyGHDL libghdl errorout_memory,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl files_map doc}@anchor{a6b}@anchor{pyGHDL/pyGHDL libghdl files_map module-pyGHDL libghdl files_map}@anchor{20}@anchor{pyGHDL/pyGHDL libghdl files_map pyghdl-libghdl-files-map}@anchor{a6c}
-@subsection pyGHDL.libghdl.files_map
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+@node pyGHDL libghdl files_map,pyGHDL libghdl files_map_editor,pyGHDL libghdl file_comments,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl files_map doc}@anchor{853}@anchor{pyGHDL/pyGHDL libghdl files_map module-pyGHDL libghdl files_map}@anchor{22}@anchor{pyGHDL/pyGHDL libghdl files_map pyghdl-libghdl-files-map}@anchor{854}
+@subsection @code{pyGHDL.libghdl.files_map}
-@geindex module; pyGHDL.libghdl.files_map
-@c #-----------------------------------
+@geindex module; pyGHDL.libghdl.files_map
-@strong{Variables}
+`Variables'
@itemize -
@item
-@ref{a6d,,EOT}
+@ref{855,,EOT}
@item
-@ref{a6e,,No_Source_File_Entry}
+@ref{856,,No_Source_File_Entry}
@item
-@ref{a6f,,No_Location}
+@ref{857,,No_Location}
@end itemize
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{a70,,Location_To_File()}:
+@ref{858,,Location_To_File()}:
Convert @code{Location} to a source file.
@item
-@ref{a71,,Location_File_To_Pos()}:
+@ref{859,,Location_File_To_Pos()}:
Convert @code{Location} and @code{File} to a position (offset) into the source file.
@item
-@ref{a72,,Location_File_To_Line()}:
+@ref{85a,,Location_File_To_Line()}:
Convert @code{Location} and @code{File} to a line number.
@item
-@ref{a73,,Location_File_Line_To_Offset()}:
+@ref{85b,,Location_File_Line_To_Offset()}:
Get the offset in @code{Line} of @code{Location}.
@item
-@ref{a74,,Location_File_Line_To_Col()}:
+@ref{85c,,Location_File_Line_To_Col()}:
Get logical column (with HT expanded) from @code{Location}, @code{File} and
@item
-@ref{a75,,File_To_Location()}:
+@ref{85d,,File_To_Location()}:
Convert a @code{File} into a location.
@item
-@ref{a76,,File_Pos_To_Location()}:
+@ref{85e,,File_Pos_To_Location()}:
Convert a @code{File} and an offset @code{Pos} in the file into a location.
@item
-@ref{a77,,File_Line_To_Position()}:
+@ref{85f,,File_Line_To_Position()}:
Convert a @code{File} and @code{Line} into a position.
@item
-@ref{a78,,Get_File_Name()}:
+@ref{860,,Get_File_Name()}:
Return the name of the file.
@item
-@ref{a79,,Get_Directory_Name()}:
+@ref{861,,Get_Directory_Name()}:
Return the directory of the file.
@item
-@ref{a7a,,Get_File_Buffer()}:
+@ref{862,,Get_File_Buffer()}:
Return a buffer (access to the contents of the file) for a file entry.
@item
-@ref{a7b,,Get_File_Length()}:
+@ref{863,,Get_File_Length()}:
Get the position of the first EOT character.
@item
-@ref{a7c,,Set_File_Length()}:
+@ref{864,,Set_File_Length()}:
Set the length of the file (which is less than the size of the file buffer).
@item
-@ref{a7d,,Get_Buffer_Length()}:
+@ref{865,,Get_Buffer_Length()}:
Get the length of the buffer, including the gap and the two EOT.
@item
-@ref{a7e,,Reserve_Source_File()}:
+@ref{866,,Reserve_Source_File()}:
Reserve an entry, but do not read any file.
@item
-@ref{a7f,,Discard_Source_File()}:
+@ref{867,,Discard_Source_File()}:
Mark @code{File} as unavailable: clear the name and directory.
@item
-@ref{a80,,Free_Source_File()}:
+@ref{868,,Free_Source_File()}:
Free resources used by @code{File}, but keep the entry.
@item
-@ref{a81,,Get_Last_Source_File_Entry()}:
+@ref{869,,Get_Last_Source_File_Entry()}:
Returns the entry of the last known file.
@end itemize
+
+__________________________________________________________________
+
+
+`Variables'
+
@geindex EOT (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map EOT}@anchor{a6d}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map EOT}@anchor{855}
@deffn {Data} pyGHDL.libghdl.files_map.EOT
bytes(iterable_of_ints) -> bytes
@@ -25586,7 +29571,7 @@ b'\x04'
@end deffn
@geindex No_Source_File_Entry (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Source_File_Entry}@anchor{a6e}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Source_File_Entry}@anchor{856}
@deffn {Data} pyGHDL.libghdl.files_map.No_Source_File_Entry
int([x]) -> integer
@@ -25598,7 +29583,7 @@ numbers, this truncates towards zero.
If x is not a number or if base is given, then x must be a string,
bytes, or bytearray instance representing an integer literal in the
-given base. The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
+given base. The literal can be preceded by ‘+’ or ‘-’ and be surrounded
by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
Base 0 means to interpret the base from the string as an integer literal.
>>> int(‘0b100’, base=0)
@@ -25610,7 +29595,7 @@ Base 0 means to interpret the base from the string as an integer literal.
@end deffn
@geindex No_Location (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Location}@anchor{a6f}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Location}@anchor{857}
@deffn {Data} pyGHDL.libghdl.files_map.No_Location
int([x]) -> integer
@@ -25622,7 +29607,7 @@ numbers, this truncates towards zero.
If x is not a number or if base is given, then x must be a string,
bytes, or bytearray instance representing an integer literal in the
-given base. The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
+given base. The literal can be preceded by ‘+’ or ‘-’ and be surrounded
by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
Base 0 means to interpret the base from the string as an integer literal.
>>> int(‘0b100’, base=0)
@@ -25633,22 +29618,24 @@ Base 0 means to interpret the base from the string as an integer literal.
@end example
@end deffn
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex Location_To_File() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_To_File}@anchor{a70}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_To_File}@anchor{858}
@deffn {Function} pyGHDL.libghdl.files_map.Location_To_File (Location)
Convert @code{Location} to a source file.
@*Parameters:
-@code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
+@code{Location} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Location
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
@*Returns:
@@ -25657,7 +29644,7 @@ Source file. Return @code{No_Source_File_Entry} if location is incorrect.
@end deffn
@geindex Location_File_To_Pos() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Pos}@anchor{a71}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Pos}@anchor{859}
@deffn {Function} pyGHDL.libghdl.files_map.Location_File_To_Pos (Location, File)
Convert @code{Location} and @code{File} to a position (offset) into the source file.
@@ -25667,15 +29654,15 @@ Convert @code{Location} and @code{File} to a position (offset) into the source f
@itemize *
@item
-@code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
+@code{Location} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Location
@item
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file
@end itemize
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -25684,7 +29671,7 @@ Offset
@end deffn
@geindex Location_File_To_Line() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Line}@anchor{a72}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Line}@anchor{85a}
@deffn {Function} pyGHDL.libghdl.files_map.Location_File_To_Line (Location, File)
Convert @code{Location} and @code{File} to a line number.
@@ -25694,15 +29681,15 @@ Convert @code{Location} and @code{File} to a line number.
@itemize *
@item
-@code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
+@code{Location} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Location
@item
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file
@end itemize
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -25711,7 +29698,7 @@ Line number
@end deffn
@geindex Location_File_Line_To_Offset() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Offset}@anchor{a73}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Offset}@anchor{85b}
@deffn {Function} pyGHDL.libghdl.files_map.Location_File_Line_To_Offset (Location, File, Line)
Get the offset in @code{Line} of @code{Location}.
@@ -25721,18 +29708,18 @@ Get the offset in @code{Line} of @code{Location}.
@itemize *
@item
-@code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
+@code{Location} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Location
@item
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file
@item
-@code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
+@code{Line} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – Line number
@end itemize
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -25741,7 +29728,7 @@ Offset
@end deffn
@geindex Location_File_Line_To_Col() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Col}@anchor{a74}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Col}@anchor{85c}
@deffn {Function} pyGHDL.libghdl.files_map.Location_File_Line_To_Col (Location, File, Line)
Get logical column (with HT expanded) from @code{Location}, @code{File} and
@@ -25752,18 +29739,18 @@ Get logical column (with HT expanded) from @code{Location}, @code{File} and
@itemize *
@item
-@code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
+@code{Location} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Location
@item
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file
@item
-@code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
+@code{Line} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – Line number
@end itemize
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -25772,17 +29759,17 @@ logical column (horizontal tabs are expanded)
@end deffn
@geindex File_To_Location() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_To_Location}@anchor{a75}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_To_Location}@anchor{85d}
@deffn {Function} pyGHDL.libghdl.files_map.File_To_Location (File)
Convert a @code{File} into a location.
@*Parameters:
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
@*Returns:
@@ -25791,7 +29778,7 @@ Location.
@end deffn
@geindex File_Pos_To_Location() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Pos_To_Location}@anchor{a76}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Pos_To_Location}@anchor{85e}
@deffn {Function} pyGHDL.libghdl.files_map.File_Pos_To_Location (File, Pos)
Convert a @code{File} and an offset @code{Pos} in the file into a location.
@@ -25801,15 +29788,15 @@ Convert a @code{File} and an offset @code{Pos} in the file into a location.
@itemize *
@item
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file
@item
-@code{Pos} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Offset in the file
+@code{Pos} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – Offset in the file
@end itemize
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
@*Returns:
@@ -25818,7 +29805,7 @@ Location.
@end deffn
@geindex File_Line_To_Position() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Line_To_Position}@anchor{a77}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Line_To_Position}@anchor{85f}
@deffn {Function} pyGHDL.libghdl.files_map.File_Line_To_Position (File, Line)
Convert a @code{File} and @code{Line} into a position.
@@ -25828,15 +29815,15 @@ Convert a @code{File} and @code{Line} into a position.
@itemize *
@item
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file
@item
-@code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
+@code{Line} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – Line number
@end itemize
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -25845,17 +29832,17 @@ Return @code{Source_Ptr_Bad} in case of error (@code{Line} out of bounds).
@end deffn
@geindex Get_File_Name() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Name}@anchor{a78}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Name}@anchor{860}
@deffn {Function} pyGHDL.libghdl.files_map.Get_File_Name (File)
Return the name of the file.
@*Parameters:
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to get the filename from.
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file to get the filename from.
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -25864,17 +29851,17 @@ NameId for the filename.
@end deffn
@geindex Get_Directory_Name() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Directory_Name}@anchor{a79}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Directory_Name}@anchor{861}
@deffn {Function} pyGHDL.libghdl.files_map.Get_Directory_Name (File)
Return the directory of the file.
@*Parameters:
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to get the directory name from.
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file to get the directory name from.
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -25883,17 +29870,17 @@ NameId for the directory.
@end deffn
@geindex Get_File_Buffer() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Buffer}@anchor{a7a}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Buffer}@anchor{862}
@deffn {Function} pyGHDL.libghdl.files_map.Get_File_Buffer (File)
Return a buffer (access to the contents of the file) for a file entry.
@*Parameters:
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to get the buffer from.
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file to get the buffer from.
@*Return type:
-bytes@footnote{https://docs.python.org/3.6/library/stdtypes.html#bytes}
+bytes@footnote{https://docs.python.org/3/library/stdtypes.html#bytes}
@*Returns:
@@ -25902,17 +29889,17 @@ Type: @code{File_Buffer_Ptr}
@end deffn
@geindex Get_File_Length() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Length}@anchor{a7b}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Length}@anchor{863}
@deffn {Function} pyGHDL.libghdl.files_map.Get_File_Length (File)
Get the position of the first EOT character.
@*Parameters:
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -25921,7 +29908,7 @@ Type: @code{Source_Ptr}
@end deffn
@geindex Set_File_Length() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Set_File_Length}@anchor{a7c}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Set_File_Length}@anchor{864}
@deffn {Function} pyGHDL.libghdl.files_map.Set_File_Length (File, Length)
Set the length of the file (which is less than the size of the file buffer).
@@ -25933,30 +29920,30 @@ Set also append two EOT at the end of the file.
@itemize *
@item
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file
@item
-@code{Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Length for the file. Type: @code{Source_Ptr}
+@code{Length} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – Length for the file. Type: @code{Source_Ptr}
@end itemize
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Buffer_Length() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Buffer_Length}@anchor{a7d}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Buffer_Length}@anchor{865}
@deffn {Function} pyGHDL.libghdl.files_map.Get_Buffer_Length (File)
Get the length of the buffer, including the gap and the two EOT.
@*Parameters:
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -25965,7 +29952,7 @@ Type: @code{Source_Ptr}
@end deffn
@geindex Reserve_Source_File() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Reserve_Source_File}@anchor{a7e}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Reserve_Source_File}@anchor{866}
@deffn {Function} pyGHDL.libghdl.files_map.Reserve_Source_File (Directory, Name, Length)
Reserve an entry, but do not read any file.
@@ -25977,18 +29964,18 @@ The length should includes the two terminal EOT.
@itemize *
@item
-@code{Directory} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Directory name
+@code{Directory} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Directory name
@item
-@code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – File name
+@code{Name} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – File name
@item
-@code{Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Length to reserve. Type: @code{Source_Ptr}
+@code{Length} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – Length to reserve. Type: @code{Source_Ptr}
@end itemize
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
@*Returns:
@@ -25997,7 +29984,7 @@ SourceFile
@end deffn
@geindex Discard_Source_File() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Discard_Source_File}@anchor{a7f}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Discard_Source_File}@anchor{867}
@deffn {Function} pyGHDL.libghdl.files_map.Discard_Source_File (File)
Mark @code{File} as unavailable: clear the name and directory.
@@ -26009,16 +29996,16 @@ This is needed before creating a new source file with the same name.
@end cartouche
@*Parameters:
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to discard.
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file to discard.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Free_Source_File() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Free_Source_File}@anchor{a80}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Free_Source_File}@anchor{868}
@deffn {Function} pyGHDL.libghdl.files_map.Free_Source_File (File)
Free resources used by @code{File}, but keep the entry.
@@ -26030,16 +30017,16 @@ It could be recycled for files that could fit - not implemented.
@end cartouche
@*Parameters:
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to free.
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – Source file to free.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Last_Source_File_Entry() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Last_Source_File_Entry}@anchor{a81}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Last_Source_File_Entry}@anchor{869}
@deffn {Function} pyGHDL.libghdl.files_map.Get_Last_Source_File_Entry ()
Returns the entry of the last known file.
@@ -26051,7 +30038,7 @@ This allows creating a table of @code{SourceFileEntry}.
@end cartouche
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
@*Returns:
@@ -26068,54 +30055,58 @@ Last SourceFileEntry. Type: @code{SourceFileEntry}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl files_map_editor,pyGHDL libghdl flags,pyGHDL libghdl files_map,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl files_map_editor doc}@anchor{a82}@anchor{pyGHDL/pyGHDL libghdl files_map_editor module-pyGHDL libghdl files_map_editor}@anchor{21}@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyghdl-libghdl-files-map-editor}@anchor{a83}
-@subsection pyGHDL.libghdl.files_map_editor
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor doc}@anchor{86a}@anchor{pyGHDL/pyGHDL libghdl files_map_editor module-pyGHDL libghdl files_map_editor}@anchor{23}@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyghdl-libghdl-files-map-editor}@anchor{86b}
+@subsection @code{pyGHDL.libghdl.files_map_editor}
@geindex module; pyGHDL.libghdl.files_map_editor
-@c #-----------------------------------
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{a84,,Replace_Text()}:
+@ref{86c,,Replace_Text()}:
Replace [START; END) by TEXT.
@item
-@ref{a85,,Fill_Text()}:
+@ref{86d,,Fill_Text()}:
Replace the content of @code{File} with TEXT.
@item
-@ref{a86,,Check_Buffer_Content()}:
+@ref{86e,,Check_Buffer_Content()}:
Check that content of @code{File} is STR[1 .. STR_LEN].
@item
-@ref{a87,,Copy_Source_File()}:
+@ref{86f,,Copy_Source_File()}:
Copy content of @code{Src} to @code{Dest}.
@end itemize
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex Replace_Text() (in module pyGHDL.libghdl.files_map_editor)
-@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Replace_Text}@anchor{a84}
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Replace_Text}@anchor{86c}
@deffn {Function} pyGHDL.libghdl.files_map_editor.Replace_Text (File, Start_Line, Start_Offset, End_Line, End_Offset, Text)
Replace [START; END) by TEXT.
@@ -26125,27 +30116,27 @@ Replace [START; END) by TEXT.
@itemize *
@item
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File where to replace a text section.
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – File where to replace a text section.
@item
-@code{Start_Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
+@code{Start_Line} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – undocumented
@item
-@code{Start_Offset} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
+@code{Start_Offset} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – undocumented
@item
-@code{End_Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
+@code{End_Line} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – undocumented
@item
-@code{End_Offset} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
+@code{End_Offset} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – undocumented
@item
-@code{Text} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – undocumented
+@code{Text} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – undocumented
@end itemize
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
@*Returns:
@@ -26154,7 +30145,7 @@ Return True in case of success, False in case of failure (the gap is too small).
@end deffn
@geindex Fill_Text() (in module pyGHDL.libghdl.files_map_editor)
-@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Fill_Text}@anchor{a85}
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Fill_Text}@anchor{86d}
@deffn {Function} pyGHDL.libghdl.files_map_editor.Fill_Text (File, Text_Pointer, Text_Length)
Replace the content of @code{File} with TEXT.
@@ -26170,23 +30161,23 @@ Replace @code{Text_Pointer} and @code{Text_Length} with Python string
@itemize *
@item
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File where to replace the content.
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – File where to replace the content.
@item
@code{Text_Pointer} – Type: @code{File_Buffer_Ptr}
@item
-@code{Text_Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Type: @code{Source_Ptr}
+@code{Text_Length} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – Type: @code{Source_Ptr}
@end itemize
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Check_Buffer_Content() (in module pyGHDL.libghdl.files_map_editor)
-@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Check_Buffer_Content}@anchor{a86}
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Check_Buffer_Content}@anchor{86e}
@deffn {Function} pyGHDL.libghdl.files_map_editor.Check_Buffer_Content (File, String_Pointer, String_Length)
Check that content of @code{File} is STR[1 .. STR_LEN].
@@ -26202,26 +30193,27 @@ Replace @code{String_Pointer} and @code{String_Length} with Python string
@itemize *
@item
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File to check the content.
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – File to check the content.
@item
-@code{String_Pointer} (c_char_p@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_char_p}) – Type: @code{File_Buffer_Ptr}
+@code{String_Pointer} (c_char_p@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_char_p}) – Type: @code{File_Buffer_Ptr}
@item
-@code{String_Length} (c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint}) – Type: @code{Source_Ptr}
+@code{String_Length} (c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint}) – Type: @code{Source_Ptr}
@end itemize
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Copy_Source_File() (in module pyGHDL.libghdl.files_map_editor)
-@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Copy_Source_File}@anchor{a87}
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Copy_Source_File}@anchor{86f}
@deffn {Function} pyGHDL.libghdl.files_map_editor.Copy_Source_File (Dest, Src)
Copy content of @code{Src} to @code{Dest}.
+:rtype: None@footnote{https://docs.python.org/3/library/constants.html#None}
@cartouche
@quotation Warning
@@ -26231,8 +30223,20 @@ The size of @code{Dest} must be large enough.
Clear lines table of @code{Dest}.
+@*Parameters:
+
+@itemize *
+
+@item
+@code{Dest} (@code{SourceFileEntry}) –
+
+@item
+@code{Src} (@code{SourceFileEntry}) –
+@end itemize
+
+
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None
@end deffn
@@ -26245,46 +30249,79 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl flags,pyGHDL libghdl libraries,pyGHDL libghdl files_map_editor,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl flags doc}@anchor{a88}@anchor{pyGHDL/pyGHDL libghdl flags module-pyGHDL libghdl flags}@anchor{22}@anchor{pyGHDL/pyGHDL libghdl flags pyghdl-libghdl-flags}@anchor{a89}
-@subsection pyGHDL.libghdl.flags
+@anchor{pyGHDL/pyGHDL libghdl flags doc}@anchor{870}@anchor{pyGHDL/pyGHDL libghdl flags module-pyGHDL libghdl flags}@anchor{24}@anchor{pyGHDL/pyGHDL libghdl flags pyghdl-libghdl-flags}@anchor{871}
+@subsection @code{pyGHDL.libghdl.flags}
@geindex module; pyGHDL.libghdl.flags
-@c #-----------------------------------
-
-@strong{Variables}
+`Variables'
@itemize -
@item
-@ref{a8a,,Flag_Elocations}
+@ref{872,,Flag_Elocations}
@item
-@ref{a8b,,Verbose}
+@ref{873,,Verbose}
@item
-@ref{a8c,,Flag_Elaborate_With_Outdated}
+@ref{874,,MB_Comment}
@item
-@ref{a8d,,Flag_Force_Analysis}
+@ref{875,,Explicit}
+
+@item
+@ref{876,,Relaxed}
+
+@item
+@ref{877,,Flag_Elaborate_With_Outdated}
+
+@item
+@ref{878,,Flag_Force_Analysis}
+
+@item
+@ref{879,,AMS_Vhdl}
+
+@item
+@ref{87a,,Flag_Gather_Comments}
@end itemize
+`Classes'
+
+
+@itemize -
+
+@item
+@ref{87b,,VhdlStandard}:
+An enumeration representing libghdl’s internal @code{Vhdl_Std_Type} enumeration type.
+@end itemize
+
+
+__________________________________________________________________
+
+
+`Variables'
+
@geindex Flag_Elocations (in module pyGHDL.libghdl.flags)
-@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elocations}@anchor{a8a}
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elocations}@anchor{872}
@deffn {Data} pyGHDL.libghdl.flags.Flag_Elocations
@example
@@ -26293,16 +30330,51 @@ c_bool(False)
@end deffn
@geindex Verbose (in module pyGHDL.libghdl.flags)
-@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Verbose}@anchor{a8b}
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Verbose}@anchor{873}
@deffn {Data} pyGHDL.libghdl.flags.Verbose
+Internal boolean flag representing -v@footnote{https://docs.python.org/3/using/cmdline.html#cmdoption-1}.
+
@example
c_bool(False)
@end example
@end deffn
+@geindex MB_Comment (in module pyGHDL.libghdl.flags)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags MB_Comment}@anchor{874}
+@deffn {Data} pyGHDL.libghdl.flags.MB_Comment
+
+Internal boolean flag representing @code{--mb-comment}.
+
+@example
+c_bool(False)
+@end example
+@end deffn
+
+@geindex Explicit (in module pyGHDL.libghdl.flags)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Explicit}@anchor{875}
+@deffn {Data} pyGHDL.libghdl.flags.Explicit
+
+Internal boolean flag representing @code{-fexplicit}.
+
+@example
+c_bool(False)
+@end example
+@end deffn
+
+@geindex Relaxed (in module pyGHDL.libghdl.flags)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Relaxed}@anchor{876}
+@deffn {Data} pyGHDL.libghdl.flags.Relaxed
+
+Internal boolean flag representing @code{-frelaxed}.
+
+@example
+c_bool(True)
+@end example
+@end deffn
+
@geindex Flag_Elaborate_With_Outdated (in module pyGHDL.libghdl.flags)
-@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elaborate_With_Outdated}@anchor{a8c}
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elaborate_With_Outdated}@anchor{877}
@deffn {Data} pyGHDL.libghdl.flags.Flag_Elaborate_With_Outdated
@example
@@ -26311,7 +30383,7 @@ c_bool(False)
@end deffn
@geindex Flag_Force_Analysis (in module pyGHDL.libghdl.flags)
-@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Force_Analysis}@anchor{a8d}
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Force_Analysis}@anchor{878}
@deffn {Data} pyGHDL.libghdl.flags.Flag_Force_Analysis
@example
@@ -26319,6 +30391,85 @@ c_bool(False)
@end example
@end deffn
+@geindex AMS_Vhdl (in module pyGHDL.libghdl.flags)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags AMS_Vhdl}@anchor{879}
+@deffn {Data} pyGHDL.libghdl.flags.AMS_Vhdl
+
+Internal boolean flag representing @code{-ams}.
+
+@example
+c_bool(False)
+@end example
+@end deffn
+
+@geindex Flag_Gather_Comments (in module pyGHDL.libghdl.flags)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Gather_Comments}@anchor{87a}
+@deffn {Data} pyGHDL.libghdl.flags.Flag_Gather_Comments
+
+@example
+c_bool(False)
+@end example
+@end deffn
+
+
+__________________________________________________________________
+
+
+`Classes'
+
+@geindex VhdlStandard (class in pyGHDL.libghdl.flags)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags VhdlStandard}@anchor{87b}
+@deffn {Class} pyGHDL.libghdl.flags.VhdlStandard (value)
+
+An enumeration representing libghdl’s internal @code{Vhdl_Std_Type} enumeration type.
+
+@subsubheading Inheritance
+
+@image{inheritance-d247c65b85819fa8370549a1e12bbb2d9213ef95,,,[graphviz],png}
+
+@geindex Vhdl_87 (pyGHDL.libghdl.flags.VhdlStandard attribute)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags VhdlStandard Vhdl_87}@anchor{87c}
+@deffn {Attribute} Vhdl_87 = 0
+
+VHDL’87
+@end deffn
+
+@geindex Vhdl_93 (pyGHDL.libghdl.flags.VhdlStandard attribute)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags VhdlStandard Vhdl_93}@anchor{87d}
+@deffn {Attribute} Vhdl_93 = 1
+
+VHDL’93
+@end deffn
+
+@geindex Vhdl_00 (pyGHDL.libghdl.flags.VhdlStandard attribute)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags VhdlStandard Vhdl_00}@anchor{87e}
+@deffn {Attribute} Vhdl_00 = 2
+
+VHDL’2000
+@end deffn
+
+@geindex Vhdl_02 (pyGHDL.libghdl.flags.VhdlStandard attribute)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags VhdlStandard Vhdl_02}@anchor{87f}
+@deffn {Attribute} Vhdl_02 = 3
+
+VHDL’2002
+@end deffn
+
+@geindex Vhdl_08 (pyGHDL.libghdl.flags.VhdlStandard attribute)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags VhdlStandard Vhdl_08}@anchor{880}
+@deffn {Attribute} Vhdl_08 = 4
+
+VHDL’2008
+@end deffn
+
+@geindex Vhdl_19 (pyGHDL.libghdl.flags.VhdlStandard attribute)
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags VhdlStandard Vhdl_19}@anchor{881}
+@deffn {Attribute} Vhdl_19 = 5
+
+VHDL’2019
+@end deffn
+@end deffn
+
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -26328,74 +30479,83 @@ c_bool(False)
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl libraries,pyGHDL libghdl name_table,pyGHDL libghdl flags,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl libraries doc}@anchor{a8e}@anchor{pyGHDL/pyGHDL libghdl libraries module-pyGHDL libghdl libraries}@anchor{23}@anchor{pyGHDL/pyGHDL libghdl libraries pyghdl-libghdl-libraries}@anchor{a8f}
-@subsection pyGHDL.libghdl.libraries
+@anchor{pyGHDL/pyGHDL libghdl libraries doc}@anchor{882}@anchor{pyGHDL/pyGHDL libghdl libraries module-pyGHDL libghdl libraries}@anchor{25}@anchor{pyGHDL/pyGHDL libghdl libraries pyghdl-libghdl-libraries}@anchor{883}
+@subsection @code{pyGHDL.libghdl.libraries}
@geindex module; pyGHDL.libghdl.libraries
-@c #-----------------------------------
-
-@strong{Variables}
+`Variables'
@itemize -
@item
-@ref{a90,,Library_Location}
+@ref{884,,Library_Location}
@item
-@ref{a91,,Work_Library}
+@ref{885,,Work_Library}
@end itemize
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{a92,,Get_Libraries_Chain()}:
+@ref{886,,Get_Libraries_Chain()}:
Get the chain of libraries. Can be used only to read (it mustn’t be modified).
@item
-@ref{a93,,Add_Design_Unit_Into_Library()}:
+@ref{887,,Add_Design_Unit_Into_Library()}:
Add or replace an design unit in the work library. DECL must not have a chain
@item
-@ref{a94,,Purge_Design_File()}:
+@ref{888,,Purge_Design_File()}:
Remove the same file as @code{Design_File} from work library and all of its units.
@item
-@ref{a95,,Find_Entity_For_Component()}:
+@ref{889,,Find_Entity_For_Component()}:
Find an entity whose name is @code{Name} in any library.
@item
-@ref{a96,,Get_Library_No_Create()}:
+@ref{88a,,Get_Library_No_Create()}:
Get the library named @code{Ident}.
@item
-@ref{a97,,Find_Primary_Unit()}:
+@ref{88b,,Find_Primary_Unit()}:
Just return the design_unit for @code{Name}, or @code{NULL} if not found.
@end itemize
+
+__________________________________________________________________
+
+
+`Variables'
+
@geindex Library_Location (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Library_Location}@anchor{a90}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Library_Location}@anchor{884}
@deffn {Data} pyGHDL.libghdl.libraries.Library_Location
-A location for library declarations (such as library WORK). Use @code{.value} to
-access this variable inside libghdl.
+A location for library declarations (such as library WORK).
+
+Use the property @code{.value} to access the variable’s value.
@example
c_int(1)
@@ -26403,30 +30563,38 @@ c_int(1)
@end deffn
@geindex Work_Library (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Work_Library}@anchor{a91}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Work_Library}@anchor{885}
@deffn {Data} pyGHDL.libghdl.libraries.Work_Library
-Library declaration for the work library. Note: the identifier of the work_library
-is @code{work_library_name}, which may be different from ‘WORK’. Use @code{.value} to
-access this variable inside libghdl.
+Library declaration for the work library.
+
+@cartouche
+@quotation Note
+The identifier of the work_library is @code{work_library_name}, which may be different from ‘WORK’.
+@end quotation
+@end cartouche
+
+Use the property @code{.value} to access the variable’s value.
@example
c_int(0)
@end example
@end deffn
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex Get_Libraries_Chain() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Libraries_Chain}@anchor{a92}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Libraries_Chain}@anchor{886}
@deffn {Function} pyGHDL.libghdl.libraries.Get_Libraries_Chain ()
Get the chain of libraries. Can be used only to read (it mustn’t be modified).
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -26435,7 +30603,7 @@ undocumented
@end deffn
@geindex Add_Design_Unit_Into_Library() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Add_Design_Unit_Into_Library}@anchor{a93}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Add_Design_Unit_Into_Library}@anchor{887}
@deffn {Function} pyGHDL.libghdl.libraries.Add_Design_Unit_Into_Library (Unit, Keep_Obsolete)
Add or replace an design unit in the work library. DECL must not have a chain
@@ -26450,10 +30618,10 @@ Units are always appended to the design_file. Therefore, the order is kept.
@itemize *
@item
-@code{Unit} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – undocumented
+@code{Unit} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – undocumented
@item
-@code{Keep_Obsolete} (bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}) –
+@code{Keep_Obsolete} (bool@footnote{https://docs.python.org/3/library/functions.html#bool}) –
If @code{Keep_Obsolete} is True, obsoleted units are
kept in the library.
@@ -26465,39 +30633,39 @@ redefined units would disappear).
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Purge_Design_File() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Purge_Design_File}@anchor{a94}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Purge_Design_File}@anchor{888}
@deffn {Function} pyGHDL.libghdl.libraries.Purge_Design_File (Design_File)
Remove the same file as @code{Design_File} from work library and all of its units.
@*Parameters:
-@code{Design_File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_File}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – undocumented
+@code{Design_File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Design_File}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – undocumented
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Find_Entity_For_Component() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Entity_For_Component}@anchor{a95}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Entity_For_Component}@anchor{889}
@deffn {Function} pyGHDL.libghdl.libraries.Find_Entity_For_Component (Name)
Find an entity whose name is @code{Name} in any library.
-If there is no such entity, return @code{Null_Iir}.
-If there are several entities, return @code{Null_Iir};
+If there is no such entity, return @ref{816,,Null_Iir}.
+If there are several entities, return @ref{816,,Null_Iir};
@*Parameters:
-@code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Entity name to search for.
+@code{Name} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Entity name to search for.
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -26506,26 +30674,26 @@ undocumented
@end deffn
@geindex Get_Library_No_Create() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Library_No_Create}@anchor{a96}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Library_No_Create}@anchor{88a}
@deffn {Function} pyGHDL.libghdl.libraries.Get_Library_No_Create (Ident)
Get the library named @code{Ident}.
@*Parameters:
-@code{Ident} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Library to look for.
+@code{Ident} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Library to look for.
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
-Return @code{Null_Iir} if it doesn’t exist.
+Return @ref{816,,Null_Iir} if it doesn’t exist.
@end deffn
@geindex Find_Primary_Unit() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Primary_Unit}@anchor{a97}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Primary_Unit}@anchor{88b}
@deffn {Function} pyGHDL.libghdl.libraries.Find_Primary_Unit (Library, Name)
Just return the design_unit for @code{Name}, or @code{NULL} if not found.
@@ -26535,15 +30703,15 @@ Just return the design_unit for @code{Name}, or @code{NULL} if not found.
@itemize *
@item
-@code{Library} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Library to look in.
+@code{Library} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Library to look in.
@item
-@code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Primary unit to search for.
+@code{Name} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Primary unit to search for.
@end itemize
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -26560,59 +30728,67 @@ undocumented
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl name_table,pyGHDL libghdl std_names,pyGHDL libghdl libraries,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl name_table doc}@anchor{a98}@anchor{pyGHDL/pyGHDL libghdl name_table module-pyGHDL libghdl name_table}@anchor{24}@anchor{pyGHDL/pyGHDL libghdl name_table pyghdl-libghdl-name-table}@anchor{a99}
-@subsection pyGHDL.libghdl.name_table
+@anchor{pyGHDL/pyGHDL libghdl name_table doc}@anchor{88c}@anchor{pyGHDL/pyGHDL libghdl name_table module-pyGHDL libghdl name_table}@anchor{26}@anchor{pyGHDL/pyGHDL libghdl name_table pyghdl-libghdl-name-table}@anchor{88d}
+@subsection @code{pyGHDL.libghdl.name_table}
@geindex module; pyGHDL.libghdl.name_table
-@c #-----------------------------------
-
-@strong{Variables}
+`Variables'
@itemize -
@item
-@ref{a9a,,Null_Identifier}
+@ref{88e,,Null_Identifier}
@end itemize
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{a9b,,Get_Name_Length()}:
+@ref{88f,,Get_Name_Length()}:
Get the length of an identifier denoted by a @code{NameId}.
@item
-@ref{a9c,,Get_Name_Ptr()}:
+@ref{890,,Get_Name_Ptr()}:
Get the string corresponding to identifier ID. The address is valid until
@item
-@ref{a9d,,Get_Character()}:
+@ref{891,,Get_Character()}:
Get the string corresponding to character identifier ID.
@item
-@ref{a9e,,Get_Identifier()}:
+@ref{892,,Get_Identifier()}:
Get or create an entry in the name table.
@end itemize
+
+__________________________________________________________________
+
+
+`Variables'
+
@geindex Null_Identifier (in module pyGHDL.libghdl.name_table)
-@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Null_Identifier}@anchor{a9a}
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Null_Identifier}@anchor{88e}
@deffn {Data} pyGHDL.libghdl.name_table.Null_Identifier
int([x]) -> integer
@@ -26624,7 +30800,7 @@ numbers, this truncates towards zero.
If x is not a number or if base is given, then x must be a string,
bytes, or bytearray instance representing an integer literal in the
-given base. The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
+given base. The literal can be preceded by ‘+’ or ‘-’ and be surrounded
by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
Base 0 means to interpret the base from the string as an integer literal.
>>> int(‘0b100’, base=0)
@@ -26635,22 +30811,24 @@ Base 0 means to interpret the base from the string as an integer literal.
@end example
@end deffn
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex Get_Name_Length() (in module pyGHDL.libghdl.name_table)
-@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Length}@anchor{a9b}
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Length}@anchor{88f}
@deffn {Function} pyGHDL.libghdl.name_table.Get_Name_Length (Id)
Get the length of an identifier denoted by a @code{NameId}.
@*Parameters:
-@code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
+@code{Id} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -26659,7 +30837,7 @@ Length of the identifier.
@end deffn
@geindex Get_Name_Ptr() (in module pyGHDL.libghdl.name_table)
-@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Ptr}@anchor{a9c}
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Ptr}@anchor{890}
@deffn {Function} pyGHDL.libghdl.name_table.Get_Name_Ptr (Id)
Get the string corresponding to identifier ID. The address is valid until
@@ -26667,11 +30845,11 @@ the next call to Get_Identifier (which may reallocate the string table).
The string is NUL-terminated (this is done by get_identifier).
@*Parameters:
-@code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
+@code{Id} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@*Returns:
@@ -26680,7 +30858,7 @@ Identifier as string.
@end deffn
@geindex Get_Character() (in module pyGHDL.libghdl.name_table)
-@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Character}@anchor{a9d}
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Character}@anchor{891}
@deffn {Function} pyGHDL.libghdl.name_table.Get_Character (Id)
Get the string corresponding to character identifier ID.
@@ -26692,11 +30870,11 @@ This is used for character literals and enumeration literals.
@end cartouche
@*Parameters:
-@code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
+@code{Id} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@*Returns:
@@ -26705,7 +30883,7 @@ Get the character of the identifier.
@end deffn
@geindex Get_Identifier() (in module pyGHDL.libghdl.name_table)
-@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Identifier}@anchor{a9e}
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Identifier}@anchor{892}
@deffn {Function} pyGHDL.libghdl.name_table.Get_Identifier (string)
Get or create an entry in the name table.
@@ -26726,11 +30904,11 @@ backslashes are simplified.
@end cartouche
@*Parameters:
-@code{string} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – String to create or lookup.
+@code{string} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – String to create or lookup.
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -26747,4158 +30925,51 @@ Id in name table.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl std_names,pyGHDL libghdl str_table,pyGHDL libghdl name_table,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl std_names doc}@anchor{a9f}@anchor{pyGHDL/pyGHDL libghdl std_names module-pyGHDL libghdl std_names}@anchor{25}@anchor{pyGHDL/pyGHDL libghdl std_names pyghdl-libghdl-std-names}@anchor{aa0}
-@subsection pyGHDL.libghdl.std_names
+@anchor{pyGHDL/pyGHDL libghdl std_names doc}@anchor{893}@anchor{pyGHDL/pyGHDL libghdl std_names module-pyGHDL libghdl std_names}@anchor{27}@anchor{pyGHDL/pyGHDL libghdl std_names pyghdl-libghdl-std-names}@anchor{894}
+@subsection @code{pyGHDL.libghdl.std_names}
@geindex module; pyGHDL.libghdl.std_names
-@c #-----------------------------------
-
-@strong{Classes}
+`Classes'
@itemize -
@item
-@ref{354,,Name}:
+@ref{7a3,,Name}:
Undocumented.
@end itemize
-@c #-----------------------------------
-
-@geindex Name (class in pyGHDL.libghdl.std_names)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name}@anchor{354}
-@deffn {Class} pyGHDL.libghdl.std_names.Name
-
-@subsubheading Inheritance
-
-@image{inheritance-deed95c51f8b9604aeb62a464fe363d7efe68e13,,,[graphviz],png}
-
-@subsubheading Members
-
-
-@geindex First_Character (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Character}@anchor{aa1}
-@deffn {Attribute} First_Character = 1
-@end deffn
-
-@geindex Last_Character (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Character}@anchor{aa2}
-@deffn {Attribute} Last_Character = 256
-@end deffn
-
-@geindex First_Keyword (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Keyword}@anchor{aa3}
-@deffn {Attribute} First_Keyword = 257
-@end deffn
-
-@geindex Mod (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Mod}@anchor{aa4}
-@deffn {Attribute} Mod = 257
-@end deffn
-
-@geindex Rem (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rem}@anchor{aa5}
-@deffn {Attribute} Rem = 258
-@end deffn
-
-@geindex Abs (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Abs}@anchor{aa6}
-@deffn {Attribute} Abs = 259
-@end deffn
-
-@geindex Not (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Not}@anchor{aa7}
-@deffn {Attribute} Not = 260
-@end deffn
-
-@geindex Access (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Access}@anchor{aa8}
-@deffn {Attribute} Access = 261
-@end deffn
-
-@geindex After (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name After}@anchor{aa9}
-@deffn {Attribute} After = 262
-@end deffn
-
-@geindex Alias (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Alias}@anchor{aaa}
-@deffn {Attribute} Alias = 263
-@end deffn
-
-@geindex All (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name All}@anchor{aab}
-@deffn {Attribute} All = 264
-@end deffn
-
-@geindex Architecture (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Architecture}@anchor{aac}
-@deffn {Attribute} Architecture = 265
-@end deffn
-
-@geindex Array (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Array}@anchor{aad}
-@deffn {Attribute} Array = 266
-@end deffn
-
-@geindex Assert (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assert}@anchor{aae}
-@deffn {Attribute} Assert = 267
-@end deffn
-
-@geindex Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Attribute}@anchor{aaf}
-@deffn {Attribute} Attribute = 268
-@end deffn
-
-@geindex Begin (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Begin}@anchor{ab0}
-@deffn {Attribute} Begin = 269
-@end deffn
-
-@geindex Block (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Block}@anchor{ab1}
-@deffn {Attribute} Block = 270
-@end deffn
-
-@geindex Body (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Body}@anchor{ab2}
-@deffn {Attribute} Body = 271
-@end deffn
-
-@geindex Buffer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Buffer}@anchor{ab3}
-@deffn {Attribute} Buffer = 272
-@end deffn
-
-@geindex Bus (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bus}@anchor{ab4}
-@deffn {Attribute} Bus = 273
-@end deffn
-
-@geindex Case (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Case}@anchor{ab5}
-@deffn {Attribute} Case = 274
-@end deffn
-
-@geindex Component (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Component}@anchor{ab6}
-@deffn {Attribute} Component = 275
-@end deffn
-
-@geindex Configuration (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Configuration}@anchor{ab7}
-@deffn {Attribute} Configuration = 276
-@end deffn
-
-@geindex Constant (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Constant}@anchor{ab8}
-@deffn {Attribute} Constant = 277
-@end deffn
-
-@geindex Disconnect (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Disconnect}@anchor{ab9}
-@deffn {Attribute} Disconnect = 278
-@end deffn
-
-@geindex Downto (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Downto}@anchor{aba}
-@deffn {Attribute} Downto = 279
-@end deffn
-
-@geindex Else (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Else}@anchor{abb}
-@deffn {Attribute} Else = 280
-@end deffn
-
-@geindex Elsif (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Elsif}@anchor{abc}
-@deffn {Attribute} Elsif = 281
-@end deffn
-
-@geindex End (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name End}@anchor{abd}
-@deffn {Attribute} End = 282
-@end deffn
-
-@geindex Entity (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Entity}@anchor{abe}
-@deffn {Attribute} Entity = 283
-@end deffn
-
-@geindex Exit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Exit}@anchor{abf}
-@deffn {Attribute} Exit = 284
-@end deffn
-
-@geindex File (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File}@anchor{ac0}
-@deffn {Attribute} File = 285
-@end deffn
-
-@geindex For (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name For}@anchor{ac1}
-@deffn {Attribute} For = 286
-@end deffn
-
-@geindex Function (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Function}@anchor{ac2}
-@deffn {Attribute} Function = 287
-@end deffn
-
-@geindex Generate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Generate}@anchor{ac3}
-@deffn {Attribute} Generate = 288
-@end deffn
-
-@geindex Generic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Generic}@anchor{ac4}
-@deffn {Attribute} Generic = 289
-@end deffn
-
-@geindex Guarded (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Guarded}@anchor{ac5}
-@deffn {Attribute} Guarded = 290
-@end deffn
-
-@geindex If (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name If}@anchor{ac6}
-@deffn {Attribute} If = 291
-@end deffn
-
-@geindex In (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name In}@anchor{ac7}
-@deffn {Attribute} In = 292
-@end deffn
-
-@geindex Inout (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inout}@anchor{ac8}
-@deffn {Attribute} Inout = 293
-@end deffn
-
-@geindex Is (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Is}@anchor{ac9}
-@deffn {Attribute} Is = 294
-@end deffn
-
-@geindex Label (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Label}@anchor{aca}
-@deffn {Attribute} Label = 295
-@end deffn
-
-@geindex Library (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Library}@anchor{acb}
-@deffn {Attribute} Library = 296
-@end deffn
-
-@geindex Linkage (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Linkage}@anchor{acc}
-@deffn {Attribute} Linkage = 297
-@end deffn
-
-@geindex Loop (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Loop}@anchor{acd}
-@deffn {Attribute} Loop = 298
-@end deffn
-
-@geindex Map (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Map}@anchor{ace}
-@deffn {Attribute} Map = 299
-@end deffn
-
-@geindex New (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name New}@anchor{acf}
-@deffn {Attribute} New = 300
-@end deffn
-
-@geindex Next (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next}@anchor{ad0}
-@deffn {Attribute} Next = 301
-@end deffn
-
-@geindex Null (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Null}@anchor{ad1}
-@deffn {Attribute} Null = 302
-@end deffn
-
-@geindex Of (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Of}@anchor{ad2}
-@deffn {Attribute} Of = 303
-@end deffn
-
-@geindex On (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name On}@anchor{ad3}
-@deffn {Attribute} On = 304
-@end deffn
-
-@geindex Open (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open}@anchor{ad4}
-@deffn {Attribute} Open = 305
-@end deffn
-
-@geindex Others (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Others}@anchor{ad5}
-@deffn {Attribute} Others = 306
-@end deffn
-
-@geindex Out (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Out}@anchor{ad6}
-@deffn {Attribute} Out = 307
-@end deffn
-
-@geindex Package (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Package}@anchor{ad7}
-@deffn {Attribute} Package = 308
-@end deffn
-
-@geindex Port (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Port}@anchor{ad8}
-@deffn {Attribute} Port = 309
-@end deffn
-
-@geindex Procedure (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Procedure}@anchor{ad9}
-@deffn {Attribute} Procedure = 310
-@end deffn
-
-@geindex Process (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Process}@anchor{ada}
-@deffn {Attribute} Process = 311
-@end deffn
-
-@geindex Range (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Range}@anchor{adb}
-@deffn {Attribute} Range = 312
-@end deffn
-
-@geindex Record (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Record}@anchor{adc}
-@deffn {Attribute} Record = 313
-@end deffn
-
-@geindex Register (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Register}@anchor{add}
-@deffn {Attribute} Register = 314
-@end deffn
-
-@geindex Report (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Report}@anchor{ade}
-@deffn {Attribute} Report = 315
-@end deffn
-
-@geindex Return (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Return}@anchor{adf}
-@deffn {Attribute} Return = 316
-@end deffn
-
-@geindex Select (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Select}@anchor{ae0}
-@deffn {Attribute} Select = 317
-@end deffn
-
-@geindex Severity (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Severity}@anchor{ae1}
-@deffn {Attribute} Severity = 318
-@end deffn
-
-@geindex Signal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Signal}@anchor{ae2}
-@deffn {Attribute} Signal = 319
-@end deffn
-
-@geindex Subtype (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Subtype}@anchor{ae3}
-@deffn {Attribute} Subtype = 320
-@end deffn
-
-@geindex Then (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Then}@anchor{ae4}
-@deffn {Attribute} Then = 321
-@end deffn
-
-@geindex To (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To}@anchor{ae5}
-@deffn {Attribute} To = 322
-@end deffn
-
-@geindex Transport (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transport}@anchor{ae6}
-@deffn {Attribute} Transport = 323
-@end deffn
-
-@geindex Type (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Type}@anchor{ae7}
-@deffn {Attribute} Type = 324
-@end deffn
-
-@geindex Units (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Units}@anchor{ae8}
-@deffn {Attribute} Units = 325
-@end deffn
-
-@geindex Until (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Until}@anchor{ae9}
-@deffn {Attribute} Until = 326
-@end deffn
-
-@geindex Use (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Use}@anchor{aea}
-@deffn {Attribute} Use = 327
-@end deffn
-
-@geindex Variable (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Variable}@anchor{aeb}
-@deffn {Attribute} Variable = 328
-@end deffn
-
-@geindex Wait (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wait}@anchor{aec}
-@deffn {Attribute} Wait = 329
-@end deffn
-
-@geindex When (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name When}@anchor{aed}
-@deffn {Attribute} When = 330
-@end deffn
-
-@geindex While (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name While}@anchor{aee}
-@deffn {Attribute} While = 331
-@end deffn
-
-@geindex With (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name With}@anchor{aef}
-@deffn {Attribute} With = 332
-@end deffn
-
-@geindex And (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name And}@anchor{af0}
-@deffn {Attribute} And = 333
-@end deffn
-
-@geindex Or (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Or}@anchor{af1}
-@deffn {Attribute} Or = 334
-@end deffn
-
-@geindex Xor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xor}@anchor{af2}
-@deffn {Attribute} Xor = 335
-@end deffn
-
-@geindex Nand (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nand}@anchor{af3}
-@deffn {Attribute} Nand = 336
-@end deffn
-
-@geindex Nor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nor}@anchor{af4}
-@deffn {Attribute} Nor = 337
-@end deffn
-
-@geindex Last_Vhdl87 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl87}@anchor{af5}
-@deffn {Attribute} Last_Vhdl87 = 337
-@end deffn
-
-@geindex Xnor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xnor}@anchor{af6}
-@deffn {Attribute} Xnor = 338
-@end deffn
-
-@geindex Group (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Group}@anchor{af7}
-@deffn {Attribute} Group = 339
-@end deffn
-
-@geindex Impure (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Impure}@anchor{af8}
-@deffn {Attribute} Impure = 340
-@end deffn
-
-@geindex Inertial (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inertial}@anchor{af9}
-@deffn {Attribute} Inertial = 341
-@end deffn
-
-@geindex Literal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Literal}@anchor{afa}
-@deffn {Attribute} Literal = 342
-@end deffn
-
-@geindex Postponed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Postponed}@anchor{afb}
-@deffn {Attribute} Postponed = 343
-@end deffn
-
-@geindex Pure (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pure}@anchor{afc}
-@deffn {Attribute} Pure = 344
-@end deffn
-
-@geindex Reject (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reject}@anchor{afd}
-@deffn {Attribute} Reject = 345
-@end deffn
-
-@geindex Shared (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shared}@anchor{afe}
-@deffn {Attribute} Shared = 346
-@end deffn
-
-@geindex Unaffected (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unaffected}@anchor{aff}
-@deffn {Attribute} Unaffected = 347
-@end deffn
-
-@geindex Sll (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sll}@anchor{b00}
-@deffn {Attribute} Sll = 348
-@end deffn
-
-@geindex Sla (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sla}@anchor{b01}
-@deffn {Attribute} Sla = 349
-@end deffn
-
-@geindex Sra (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sra}@anchor{b02}
-@deffn {Attribute} Sra = 350
-@end deffn
-
-@geindex Srl (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Srl}@anchor{b03}
-@deffn {Attribute} Srl = 351
-@end deffn
-
-@geindex Rol (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rol}@anchor{b04}
-@deffn {Attribute} Rol = 352
-@end deffn
-
-@geindex Ror (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ror}@anchor{b05}
-@deffn {Attribute} Ror = 353
-@end deffn
-
-@geindex Last_Vhdl93 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl93}@anchor{b06}
-@deffn {Attribute} Last_Vhdl93 = 353
-@end deffn
-
-@geindex Protected (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Protected}@anchor{b07}
-@deffn {Attribute} Protected = 354
-@end deffn
-
-@geindex Last_Vhdl00 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl00}@anchor{b08}
-@deffn {Attribute} Last_Vhdl00 = 354
-@end deffn
-
-@geindex Assume (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assume}@anchor{b09}
-@deffn {Attribute} Assume = 355
-@end deffn
-
-@geindex Context (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Context}@anchor{b0a}
-@deffn {Attribute} Context = 356
-@end deffn
-
-@geindex Cover (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cover}@anchor{b0b}
-@deffn {Attribute} Cover = 357
-@end deffn
-
-@geindex Default (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default}@anchor{b0c}
-@deffn {Attribute} Default = 358
-@end deffn
-
-@geindex Force (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Force}@anchor{b0d}
-@deffn {Attribute} Force = 359
-@end deffn
-
-@geindex Parameter (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Parameter}@anchor{b0e}
-@deffn {Attribute} Parameter = 360
-@end deffn
-
-@geindex Property (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Property}@anchor{b0f}
-@deffn {Attribute} Property = 361
-@end deffn
-
-@geindex Release (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Release}@anchor{b10}
-@deffn {Attribute} Release = 362
-@end deffn
-
-@geindex Restrict (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Restrict}@anchor{b11}
-@deffn {Attribute} Restrict = 363
-@end deffn
-
-@geindex Restrict_Guarantee (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Restrict_Guarantee}@anchor{b12}
-@deffn {Attribute} Restrict_Guarantee = 364
-@end deffn
-
-@geindex Sequence (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sequence}@anchor{b13}
-@deffn {Attribute} Sequence = 365
-@end deffn
-
-@geindex Inherit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inherit}@anchor{b14}
-@deffn {Attribute} Inherit = 366
-@end deffn
-
-@geindex Vmode (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vmode}@anchor{b15}
-@deffn {Attribute} Vmode = 367
-@end deffn
-
-@geindex Vprop (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vprop}@anchor{b16}
-@deffn {Attribute} Vprop = 368
-@end deffn
-
-@geindex Vunit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vunit}@anchor{b17}
-@deffn {Attribute} Vunit = 369
-@end deffn
-
-@geindex Last_Vhdl08 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl08}@anchor{b18}
-@deffn {Attribute} Last_Vhdl08 = 369
-@end deffn
-
-@geindex First_Ams_Keyword (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ams_Keyword}@anchor{b19}
-@deffn {Attribute} First_Ams_Keyword = 370
-@end deffn
-
-@geindex Across (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Across}@anchor{b1a}
-@deffn {Attribute} Across = 370
-@end deffn
-
-@geindex Break (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Break}@anchor{b1b}
-@deffn {Attribute} Break = 371
-@end deffn
-
-@geindex Limit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Limit}@anchor{b1c}
-@deffn {Attribute} Limit = 372
-@end deffn
-
-@geindex Nature (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nature}@anchor{b1d}
-@deffn {Attribute} Nature = 373
-@end deffn
-
-@geindex Noise (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Noise}@anchor{b1e}
-@deffn {Attribute} Noise = 374
-@end deffn
-
-@geindex Procedural (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Procedural}@anchor{b1f}
-@deffn {Attribute} Procedural = 375
-@end deffn
-
-@geindex Quantity (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quantity}@anchor{b20}
-@deffn {Attribute} Quantity = 376
-@end deffn
-
-@geindex Reference (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reference}@anchor{b21}
-@deffn {Attribute} Reference = 377
-@end deffn
-
-@geindex Spectrum (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Spectrum}@anchor{b22}
-@deffn {Attribute} Spectrum = 378
-@end deffn
-
-@geindex Subnature (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Subnature}@anchor{b23}
-@deffn {Attribute} Subnature = 379
-@end deffn
-
-@geindex Terminal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Terminal}@anchor{b24}
-@deffn {Attribute} Terminal = 380
-@end deffn
-
-@geindex Through (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Through}@anchor{b25}
-@deffn {Attribute} Through = 381
-@end deffn
-
-@geindex Tolerance (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tolerance}@anchor{b26}
-@deffn {Attribute} Tolerance = 382
-@end deffn
-
-@geindex Last_AMS_Vhdl (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_AMS_Vhdl}@anchor{b27}
-@deffn {Attribute} Last_AMS_Vhdl = 382
-@end deffn
-
-@geindex Last_Keyword (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Keyword}@anchor{b28}
-@deffn {Attribute} Last_Keyword = 382
-@end deffn
-
-@geindex First_Verilog (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Verilog}@anchor{b29}
-@deffn {Attribute} First_Verilog = 383
-@end deffn
-
-@geindex Always (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always}@anchor{b2a}
-@deffn {Attribute} Always = 383
-@end deffn
-
-@geindex Assign (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assign}@anchor{b2b}
-@deffn {Attribute} Assign = 384
-@end deffn
-
-@geindex Buf (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Buf}@anchor{b2c}
-@deffn {Attribute} Buf = 385
-@end deffn
-
-@geindex Bufif0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bufif0}@anchor{b2d}
-@deffn {Attribute} Bufif0 = 386
-@end deffn
-
-@geindex Bufif1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bufif1}@anchor{b2e}
-@deffn {Attribute} Bufif1 = 387
-@end deffn
-
-@geindex Casex (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Casex}@anchor{b2f}
-@deffn {Attribute} Casex = 388
-@end deffn
-
-@geindex Casez (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Casez}@anchor{b30}
-@deffn {Attribute} Casez = 389
-@end deffn
-
-@geindex Cmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cmos}@anchor{b31}
-@deffn {Attribute} Cmos = 390
-@end deffn
-
-@geindex Deassign (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deassign}@anchor{b32}
-@deffn {Attribute} Deassign = 391
-@end deffn
-
-@geindex Defparam (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Defparam}@anchor{b33}
-@deffn {Attribute} Defparam = 392
-@end deffn
-
-@geindex Disable (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Disable}@anchor{b34}
-@deffn {Attribute} Disable = 393
-@end deffn
-
-@geindex Edge (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Edge}@anchor{b35}
-@deffn {Attribute} Edge = 394
-@end deffn
-
-@geindex Endcase (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endcase}@anchor{b36}
-@deffn {Attribute} Endcase = 395
-@end deffn
-
-@geindex Endfunction (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endfunction}@anchor{b37}
-@deffn {Attribute} Endfunction = 396
-@end deffn
-
-@geindex Endmodule (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endmodule}@anchor{b38}
-@deffn {Attribute} Endmodule = 397
-@end deffn
-
-@geindex Endprimitive (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endprimitive}@anchor{b39}
-@deffn {Attribute} Endprimitive = 398
-@end deffn
-
-@geindex Endspecify (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endspecify}@anchor{b3a}
-@deffn {Attribute} Endspecify = 399
-@end deffn
-
-@geindex Endtable (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtable}@anchor{b3b}
-@deffn {Attribute} Endtable = 400
-@end deffn
-
-@geindex Endtask (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtask}@anchor{b3c}
-@deffn {Attribute} Endtask = 401
-@end deffn
-
-@geindex Forever (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forever}@anchor{b3d}
-@deffn {Attribute} Forever = 402
-@end deffn
-
-@geindex Fork (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fork}@anchor{b3e}
-@deffn {Attribute} Fork = 403
-@end deffn
-
-@geindex Highz0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Highz0}@anchor{b3f}
-@deffn {Attribute} Highz0 = 404
-@end deffn
-
-@geindex Highz1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Highz1}@anchor{b40}
-@deffn {Attribute} Highz1 = 405
-@end deffn
-
-@geindex Ifnone (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifnone}@anchor{b41}
-@deffn {Attribute} Ifnone = 406
-@end deffn
-
-@geindex Initial (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Initial}@anchor{b42}
-@deffn {Attribute} Initial = 407
-@end deffn
-
-@geindex Input (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input}@anchor{b43}
-@deffn {Attribute} Input = 408
-@end deffn
-
-@geindex Join (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join}@anchor{b44}
-@deffn {Attribute} Join = 409
-@end deffn
-
-@geindex Large (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Large}@anchor{b45}
-@deffn {Attribute} Large = 410
-@end deffn
-
-@geindex Macromodule (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Macromodule}@anchor{b46}
-@deffn {Attribute} Macromodule = 411
-@end deffn
-
-@geindex Medium (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Medium}@anchor{b47}
-@deffn {Attribute} Medium = 412
-@end deffn
-
-@geindex Module (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Module}@anchor{b48}
-@deffn {Attribute} Module = 413
-@end deffn
-
-@geindex Negedge (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Negedge}@anchor{b49}
-@deffn {Attribute} Negedge = 414
-@end deffn
-
-@geindex Nmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nmos}@anchor{b4a}
-@deffn {Attribute} Nmos = 415
-@end deffn
-
-@geindex Notif0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Notif0}@anchor{b4b}
-@deffn {Attribute} Notif0 = 416
-@end deffn
-
-@geindex Notif1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Notif1}@anchor{b4c}
-@deffn {Attribute} Notif1 = 417
-@end deffn
-
-@geindex Output (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output}@anchor{b4d}
-@deffn {Attribute} Output = 418
-@end deffn
-
-@geindex Pmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pmos}@anchor{b4e}
-@deffn {Attribute} Pmos = 419
-@end deffn
-
-@geindex Posedge (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Posedge}@anchor{b4f}
-@deffn {Attribute} Posedge = 420
-@end deffn
-
-@geindex Primitive (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Primitive}@anchor{b50}
-@deffn {Attribute} Primitive = 421
-@end deffn
-
-@geindex Pull0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pull0}@anchor{b51}
-@deffn {Attribute} Pull0 = 422
-@end deffn
-
-@geindex Pull1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pull1}@anchor{b52}
-@deffn {Attribute} Pull1 = 423
-@end deffn
-
-@geindex Pulldown (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pulldown}@anchor{b53}
-@deffn {Attribute} Pulldown = 424
-@end deffn
-
-@geindex Pullup (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pullup}@anchor{b54}
-@deffn {Attribute} Pullup = 425
-@end deffn
-
-@geindex Realtime (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Realtime}@anchor{b55}
-@deffn {Attribute} Realtime = 426
-@end deffn
-
-@geindex Reg (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reg}@anchor{b56}
-@deffn {Attribute} Reg = 427
-@end deffn
-
-@geindex Repeat (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Repeat}@anchor{b57}
-@deffn {Attribute} Repeat = 428
-@end deffn
-
-@geindex Rcmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rcmos}@anchor{b58}
-@deffn {Attribute} Rcmos = 429
-@end deffn
-
-@geindex Rnmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rnmos}@anchor{b59}
-@deffn {Attribute} Rnmos = 430
-@end deffn
-
-@geindex Rpmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rpmos}@anchor{b5a}
-@deffn {Attribute} Rpmos = 431
-@end deffn
-
-@geindex Rtran (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtran}@anchor{b5b}
-@deffn {Attribute} Rtran = 432
-@end deffn
-
-@geindex Rtranif0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtranif0}@anchor{b5c}
-@deffn {Attribute} Rtranif0 = 433
-@end deffn
-
-@geindex Rtranif1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtranif1}@anchor{b5d}
-@deffn {Attribute} Rtranif1 = 434
-@end deffn
-
-@geindex Scalared (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Scalared}@anchor{b5e}
-@deffn {Attribute} Scalared = 435
-@end deffn
-
-@geindex Small (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Small}@anchor{b5f}
-@deffn {Attribute} Small = 436
-@end deffn
-
-@geindex Specify (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Specify}@anchor{b60}
-@deffn {Attribute} Specify = 437
-@end deffn
-
-@geindex Specparam (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Specparam}@anchor{b61}
-@deffn {Attribute} Specparam = 438
-@end deffn
-
-@geindex Strong0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong0}@anchor{b62}
-@deffn {Attribute} Strong0 = 439
-@end deffn
-
-@geindex Strong1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong1}@anchor{b63}
-@deffn {Attribute} Strong1 = 440
-@end deffn
-
-@geindex Supply0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Supply0}@anchor{b64}
-@deffn {Attribute} Supply0 = 441
-@end deffn
-
-@geindex Supply1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Supply1}@anchor{b65}
-@deffn {Attribute} Supply1 = 442
-@end deffn
-
-@geindex Tablex (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tablex}@anchor{b66}
-@deffn {Attribute} Tablex = 443
-@end deffn
-
-@geindex Task (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Task}@anchor{b67}
-@deffn {Attribute} Task = 444
-@end deffn
-
-@geindex Tran (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tran}@anchor{b68}
-@deffn {Attribute} Tran = 445
-@end deffn
-
-@geindex Tranif0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tranif0}@anchor{b69}
-@deffn {Attribute} Tranif0 = 446
-@end deffn
-
-@geindex Tranif1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tranif1}@anchor{b6a}
-@deffn {Attribute} Tranif1 = 447
-@end deffn
-@geindex Tri (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri}@anchor{b6b}
-@deffn {Attribute} Tri = 448
-@end deffn
-
-@geindex Tri0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri0}@anchor{b6c}
-@deffn {Attribute} Tri0 = 449
-@end deffn
-
-@geindex Tri1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri1}@anchor{b6d}
-@deffn {Attribute} Tri1 = 450
-@end deffn
-
-@geindex Triand (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Triand}@anchor{b6e}
-@deffn {Attribute} Triand = 451
-@end deffn
-
-@geindex Trior (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Trior}@anchor{b6f}
-@deffn {Attribute} Trior = 452
-@end deffn
-
-@geindex Trireg (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Trireg}@anchor{b70}
-@deffn {Attribute} Trireg = 453
-@end deffn
-
-@geindex Vectored (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vectored}@anchor{b71}
-@deffn {Attribute} Vectored = 454
-@end deffn
-
-@geindex Wand (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wand}@anchor{b72}
-@deffn {Attribute} Wand = 455
-@end deffn
-
-@geindex Weak0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Weak0}@anchor{b73}
-@deffn {Attribute} Weak0 = 456
-@end deffn
-
-@geindex Weak1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Weak1}@anchor{b74}
-@deffn {Attribute} Weak1 = 457
-@end deffn
-
-@geindex Wire (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wire}@anchor{b75}
-@deffn {Attribute} Wire = 458
-@end deffn
-
-@geindex Wor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wor}@anchor{b76}
-@deffn {Attribute} Wor = 459
-@end deffn
-
-@geindex Last_Verilog (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Verilog}@anchor{b77}
-@deffn {Attribute} Last_Verilog = 459
-@end deffn
-
-@geindex First_V2001 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_V2001}@anchor{b78}
-@deffn {Attribute} First_V2001 = 460
-@end deffn
-
-@geindex Automatic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Automatic}@anchor{b79}
-@deffn {Attribute} Automatic = 460
-@end deffn
-
-@geindex Endgenerate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endgenerate}@anchor{b7a}
-@deffn {Attribute} Endgenerate = 461
-@end deffn
-
-@geindex Genvar (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Genvar}@anchor{b7b}
-@deffn {Attribute} Genvar = 462
-@end deffn
-
-@geindex Localparam (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Localparam}@anchor{b7c}
-@deffn {Attribute} Localparam = 463
-@end deffn
-
-@geindex Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unsigned}@anchor{b7d}
-@deffn {Attribute} Unsigned = 464
-@end deffn
-
-@geindex Signed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Signed}@anchor{b7e}
-@deffn {Attribute} Signed = 465
-@end deffn
-
-@geindex Last_V2001 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_V2001}@anchor{b7f}
-@deffn {Attribute} Last_V2001 = 465
-@end deffn
-
-@geindex Uwire (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uwire}@anchor{b80}
-@deffn {Attribute} Uwire = 466
-@end deffn
-
-@geindex First_SV3_0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_0}@anchor{b81}
-@deffn {Attribute} First_SV3_0 = 467
-@end deffn
-
-@geindex Always_Comb (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Comb}@anchor{b82}
-@deffn {Attribute} Always_Comb = 467
-@end deffn
-
-@geindex Always_Ff (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Ff}@anchor{b83}
-@deffn {Attribute} Always_Ff = 468
-@end deffn
-
-@geindex Always_Latch (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Latch}@anchor{b84}
-@deffn {Attribute} Always_Latch = 469
-@end deffn
-
-@geindex Bit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bit}@anchor{b85}
-@deffn {Attribute} Bit = 470
-@end deffn
-
-@geindex Byte (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Byte}@anchor{b86}
-@deffn {Attribute} Byte = 471
-@end deffn
-
-@geindex Changed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Changed}@anchor{b87}
-@deffn {Attribute} Changed = 472
-@end deffn
-
-@geindex Char (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Char}@anchor{b88}
-@deffn {Attribute} Char = 473
-@end deffn
-
-@geindex Const (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Const}@anchor{b89}
-@deffn {Attribute} Const = 474
-@end deffn
-
-@geindex Continue (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Continue}@anchor{b8a}
-@deffn {Attribute} Continue = 475
-@end deffn
-
-@geindex Do (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Do}@anchor{b8b}
-@deffn {Attribute} Do = 476
-@end deffn
-
-@geindex Endinterface (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endinterface}@anchor{b8c}
-@deffn {Attribute} Endinterface = 477
-@end deffn
-
-@geindex Endtransition (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtransition}@anchor{b8d}
-@deffn {Attribute} Endtransition = 478
-@end deffn
-
-@geindex Enum (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enum}@anchor{b8e}
-@deffn {Attribute} Enum = 479
-@end deffn
-
-@geindex Export (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Export}@anchor{b8f}
-@deffn {Attribute} Export = 480
-@end deffn
-
-@geindex Extern (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Extern}@anchor{b90}
-@deffn {Attribute} Extern = 481
-@end deffn
-
-@geindex Forkjoin (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forkjoin}@anchor{b91}
-@deffn {Attribute} Forkjoin = 482
-@end deffn
-
-@geindex Iff (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Iff}@anchor{b92}
-@deffn {Attribute} Iff = 483
-@end deffn
-
-@geindex Import (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Import}@anchor{b93}
-@deffn {Attribute} Import = 484
-@end deffn
-
-@geindex Int (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Int}@anchor{b94}
-@deffn {Attribute} Int = 485
-@end deffn
-
-@geindex Interface (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Interface}@anchor{b95}
-@deffn {Attribute} Interface = 486
-@end deffn
-
-@geindex Logic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Logic}@anchor{b96}
-@deffn {Attribute} Logic = 487
-@end deffn
-
-@geindex Longint (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Longint}@anchor{b97}
-@deffn {Attribute} Longint = 488
-@end deffn
-
-@geindex Longreal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Longreal}@anchor{b98}
-@deffn {Attribute} Longreal = 489
-@end deffn
-
-@geindex Modport (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Modport}@anchor{b99}
-@deffn {Attribute} Modport = 490
-@end deffn
-
-@geindex Packed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Packed}@anchor{b9a}
-@deffn {Attribute} Packed = 491
-@end deffn
-
-@geindex Priority (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Priority}@anchor{b9b}
-@deffn {Attribute} Priority = 492
-@end deffn
-
-@geindex Shortint (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shortint}@anchor{b9c}
-@deffn {Attribute} Shortint = 493
-@end deffn
-
-@geindex Shortreal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shortreal}@anchor{b9d}
-@deffn {Attribute} Shortreal = 494
-@end deffn
-
-@geindex Static (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Static}@anchor{b9e}
-@deffn {Attribute} Static = 495
-@end deffn
-
-@geindex Struct (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Struct}@anchor{b9f}
-@deffn {Attribute} Struct = 496
-@end deffn
-
-@geindex Timeprecision (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timeprecision}@anchor{ba0}
-@deffn {Attribute} Timeprecision = 497
-@end deffn
-
-@geindex Timeunit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timeunit}@anchor{ba1}
-@deffn {Attribute} Timeunit = 498
-@end deffn
-
-@geindex Transition (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transition}@anchor{ba2}
-@deffn {Attribute} Transition = 499
-@end deffn
-
-@geindex Typedef (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Typedef}@anchor{ba3}
-@deffn {Attribute} Typedef = 500
-@end deffn
-
-@geindex Union (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Union}@anchor{ba4}
-@deffn {Attribute} Union = 501
-@end deffn
-
-@geindex Unique (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unique}@anchor{ba5}
-@deffn {Attribute} Unique = 502
-@end deffn
-
-@geindex Unique0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unique0}@anchor{ba6}
-@deffn {Attribute} Unique0 = 503
-@end deffn
-
-@geindex Void (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Void}@anchor{ba7}
-@deffn {Attribute} Void = 504
-@end deffn
-
-@geindex Last_SV3_0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_0}@anchor{ba8}
-@deffn {Attribute} Last_SV3_0 = 504
-@end deffn
-
-@geindex First_SV3_1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_1}@anchor{ba9}
-@deffn {Attribute} First_SV3_1 = 505
-@end deffn
-
-@geindex Chandle (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Chandle}@anchor{baa}
-@deffn {Attribute} Chandle = 505
-@end deffn
-
-@geindex Class (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Class}@anchor{bab}
-@deffn {Attribute} Class = 506
-@end deffn
-
-@geindex Clocking (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clocking}@anchor{bac}
-@deffn {Attribute} Clocking = 507
-@end deffn
-
-@geindex Constraint (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Constraint}@anchor{bad}
-@deffn {Attribute} Constraint = 508
-@end deffn
-
-@geindex Dist (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dist}@anchor{bae}
-@deffn {Attribute} Dist = 509
-@end deffn
-
-@geindex Endclass (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endclass}@anchor{baf}
-@deffn {Attribute} Endclass = 510
-@end deffn
-
-@geindex Endclocking (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endclocking}@anchor{bb0}
-@deffn {Attribute} Endclocking = 511
-@end deffn
-
-@geindex Endprogram (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endprogram}@anchor{bb1}
-@deffn {Attribute} Endprogram = 512
-@end deffn
-
-@geindex Endproperty (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endproperty}@anchor{bb2}
-@deffn {Attribute} Endproperty = 513
-@end deffn
-
-@geindex Endsequence (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endsequence}@anchor{bb3}
-@deffn {Attribute} Endsequence = 514
-@end deffn
-
-@geindex Extends (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Extends}@anchor{bb4}
-@deffn {Attribute} Extends = 515
-@end deffn
-
-@geindex Final (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Final}@anchor{bb5}
-@deffn {Attribute} Final = 516
-@end deffn
-
-@geindex First_Match (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Match}@anchor{bb6}
-@deffn {Attribute} First_Match = 517
-@end deffn
-
-@geindex Inside (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inside}@anchor{bb7}
-@deffn {Attribute} Inside = 518
-@end deffn
-
-@geindex Intersect (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Intersect}@anchor{bb8}
-@deffn {Attribute} Intersect = 519
-@end deffn
-
-@geindex Join_Any (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join_Any}@anchor{bb9}
-@deffn {Attribute} Join_Any = 520
-@end deffn
-
-@geindex Join_None (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join_None}@anchor{bba}
-@deffn {Attribute} Join_None = 521
-@end deffn
-
-@geindex Local (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Local}@anchor{bbb}
-@deffn {Attribute} Local = 522
-@end deffn
-
-@geindex Program (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Program}@anchor{bbc}
-@deffn {Attribute} Program = 523
-@end deffn
-
-@geindex Rand (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rand}@anchor{bbd}
-@deffn {Attribute} Rand = 524
-@end deffn
-
-@geindex Randc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randc}@anchor{bbe}
-@deffn {Attribute} Randc = 525
-@end deffn
-
-@geindex Ref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ref}@anchor{bbf}
-@deffn {Attribute} Ref = 526
-@end deffn
-
-@geindex Solve (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Solve}@anchor{bc0}
-@deffn {Attribute} Solve = 527
-@end deffn
-
-@geindex String (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name String}@anchor{bc1}
-@deffn {Attribute} String = 528
-@end deffn
-
-@geindex Super (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Super}@anchor{bc2}
-@deffn {Attribute} Super = 529
-@end deffn
-
-@geindex This (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name This}@anchor{bc3}
-@deffn {Attribute} This = 530
-@end deffn
-
-@geindex Throughout (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Throughout}@anchor{bc4}
-@deffn {Attribute} Throughout = 531
-@end deffn
-
-@geindex Var (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Var}@anchor{bc5}
-@deffn {Attribute} Var = 532
-@end deffn
-
-@geindex Virtual (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Virtual}@anchor{bc6}
-@deffn {Attribute} Virtual = 533
-@end deffn
-
-@geindex Wait_Order (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wait_Order}@anchor{bc7}
-@deffn {Attribute} Wait_Order = 534
-@end deffn
-
-@geindex Last_SV3_1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_1}@anchor{bc8}
-@deffn {Attribute} Last_SV3_1 = 534
-@end deffn
-
-@geindex First_SV3_1a (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_1a}@anchor{bc9}
-@deffn {Attribute} First_SV3_1a = 535
-@end deffn
-
-@geindex Covergroup (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Covergroup}@anchor{bca}
-@deffn {Attribute} Covergroup = 535
-@end deffn
-
-@geindex Coverpoint (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Coverpoint}@anchor{bcb}
-@deffn {Attribute} Coverpoint = 536
-@end deffn
-
-@geindex Endgroup (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endgroup}@anchor{bcc}
-@deffn {Attribute} Endgroup = 537
-@end deffn
-
-@geindex Endpackage (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpackage}@anchor{bcd}
-@deffn {Attribute} Endpackage = 538
-@end deffn
-
-@geindex Expect (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Expect}@anchor{bce}
-@deffn {Attribute} Expect = 539
-@end deffn
-
-@geindex Foreach (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Foreach}@anchor{bcf}
-@deffn {Attribute} Foreach = 540
-@end deffn
-
-@geindex Ignore_Bins (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ignore_Bins}@anchor{bd0}
-@deffn {Attribute} Ignore_Bins = 541
-@end deffn
-
-@geindex Illegal_Bins (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Illegal_Bins}@anchor{bd1}
-@deffn {Attribute} Illegal_Bins = 542
-@end deffn
-
-@geindex Matches (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Matches}@anchor{bd2}
-@deffn {Attribute} Matches = 543
-@end deffn
-
-@geindex Randcase (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randcase}@anchor{bd3}
-@deffn {Attribute} Randcase = 544
-@end deffn
-
-@geindex Randsequence (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randsequence}@anchor{bd4}
-@deffn {Attribute} Randsequence = 545
-@end deffn
-
-@geindex Tagged (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tagged}@anchor{bd5}
-@deffn {Attribute} Tagged = 546
-@end deffn
-
-@geindex Wildcard (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wildcard}@anchor{bd6}
-@deffn {Attribute} Wildcard = 547
-@end deffn
-
-@geindex Last_SV3_1a (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_1a}@anchor{bd7}
-@deffn {Attribute} Last_SV3_1a = 547
-@end deffn
-
-@geindex First_SV2009 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV2009}@anchor{bd8}
-@deffn {Attribute} First_SV2009 = 548
-@end deffn
-
-@geindex Implies (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Implies}@anchor{bd9}
-@deffn {Attribute} Implies = 548
-@end deffn
-
-@geindex S_Until (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S_Until}@anchor{bda}
-@deffn {Attribute} S_Until = 549
-@end deffn
-
-@geindex S_Until_With (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S_Until_With}@anchor{bdb}
-@deffn {Attribute} S_Until_With = 550
-@end deffn
-
-@geindex Until_With (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Until_With}@anchor{bdc}
-@deffn {Attribute} Until_With = 551
-@end deffn
-
-@geindex Last_SV2009 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV2009}@anchor{bdd}
-@deffn {Attribute} Last_SV2009 = 551
-@end deffn
-
-@geindex First_Operator (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Operator}@anchor{bde}
-@deffn {Attribute} First_Operator = 552
-@end deffn
-
-@geindex Op_Equality (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Equality}@anchor{bdf}
-@deffn {Attribute} Op_Equality = 552
-@end deffn
-
-@geindex Op_Inequality (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Inequality}@anchor{be0}
-@deffn {Attribute} Op_Inequality = 553
-@end deffn
-
-@geindex Op_Less (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Less}@anchor{be1}
-@deffn {Attribute} Op_Less = 554
-@end deffn
-
-@geindex Op_Less_Equal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Less_Equal}@anchor{be2}
-@deffn {Attribute} Op_Less_Equal = 555
-@end deffn
-
-@geindex Op_Greater (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Greater}@anchor{be3}
-@deffn {Attribute} Op_Greater = 556
-@end deffn
-
-@geindex Op_Greater_Equal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Greater_Equal}@anchor{be4}
-@deffn {Attribute} Op_Greater_Equal = 557
-@end deffn
-
-@geindex Op_Plus (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Plus}@anchor{be5}
-@deffn {Attribute} Op_Plus = 558
-@end deffn
-
-@geindex Op_Minus (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Minus}@anchor{be6}
-@deffn {Attribute} Op_Minus = 559
-@end deffn
-
-@geindex Op_Mul (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Mul}@anchor{be7}
-@deffn {Attribute} Op_Mul = 560
-@end deffn
-
-@geindex Op_Div (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Div}@anchor{be8}
-@deffn {Attribute} Op_Div = 561
-@end deffn
-
-@geindex Op_Exp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Exp}@anchor{be9}
-@deffn {Attribute} Op_Exp = 562
-@end deffn
-
-@geindex Op_Concatenation (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Concatenation}@anchor{bea}
-@deffn {Attribute} Op_Concatenation = 563
-@end deffn
-
-@geindex Op_Condition (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Condition}@anchor{beb}
-@deffn {Attribute} Op_Condition = 564
-@end deffn
-
-@geindex Op_Match_Equality (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Equality}@anchor{bec}
-@deffn {Attribute} Op_Match_Equality = 565
-@end deffn
-
-@geindex Op_Match_Inequality (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Inequality}@anchor{bed}
-@deffn {Attribute} Op_Match_Inequality = 566
-@end deffn
-
-@geindex Op_Match_Less (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Less}@anchor{bee}
-@deffn {Attribute} Op_Match_Less = 567
-@end deffn
-
-@geindex Op_Match_Less_Equal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Less_Equal}@anchor{bef}
-@deffn {Attribute} Op_Match_Less_Equal = 568
-@end deffn
-
-@geindex Op_Match_Greater (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Greater}@anchor{bf0}
-@deffn {Attribute} Op_Match_Greater = 569
-@end deffn
-
-@geindex Op_Match_Greater_Equal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Greater_Equal}@anchor{bf1}
-@deffn {Attribute} Op_Match_Greater_Equal = 570
-@end deffn
-
-@geindex Last_Operator (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Operator}@anchor{bf2}
-@deffn {Attribute} Last_Operator = 570
-@end deffn
-
-@geindex First_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Attribute}@anchor{bf3}
-@deffn {Attribute} First_Attribute = 571
-@end deffn
-
-@geindex Base (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Base}@anchor{bf4}
-@deffn {Attribute} Base = 571
-@end deffn
-
-@geindex Left (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Left}@anchor{bf5}
-@deffn {Attribute} Left = 572
-@end deffn
-
-@geindex Right (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Right}@anchor{bf6}
-@deffn {Attribute} Right = 573
-@end deffn
-
-@geindex High (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name High}@anchor{bf7}
-@deffn {Attribute} High = 574
-@end deffn
-
-@geindex Low (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Low}@anchor{bf8}
-@deffn {Attribute} Low = 575
-@end deffn
-
-@geindex Pos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pos}@anchor{bf9}
-@deffn {Attribute} Pos = 576
-@end deffn
-
-@geindex Val (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Val}@anchor{bfa}
-@deffn {Attribute} Val = 577
-@end deffn
-
-@geindex Succ (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Succ}@anchor{bfb}
-@deffn {Attribute} Succ = 578
-@end deffn
-
-@geindex Pred (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pred}@anchor{bfc}
-@deffn {Attribute} Pred = 579
-@end deffn
-
-@geindex Leftof (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Leftof}@anchor{bfd}
-@deffn {Attribute} Leftof = 580
-@end deffn
-
-@geindex Rightof (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rightof}@anchor{bfe}
-@deffn {Attribute} Rightof = 581
-@end deffn
-
-@geindex Reverse_Range (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reverse_Range}@anchor{bff}
-@deffn {Attribute} Reverse_Range = 582
-@end deffn
-
-@geindex Length (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Length}@anchor{c00}
-@deffn {Attribute} Length = 583
-@end deffn
-
-@geindex Delayed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delayed}@anchor{c01}
-@deffn {Attribute} Delayed = 584
-@end deffn
-
-@geindex Stable (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Stable}@anchor{c02}
-@deffn {Attribute} Stable = 585
-@end deffn
-
-@geindex Quiet (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quiet}@anchor{c03}
-@deffn {Attribute} Quiet = 586
-@end deffn
-
-@geindex Transaction (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transaction}@anchor{c04}
-@deffn {Attribute} Transaction = 587
-@end deffn
-
-@geindex Event (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Event}@anchor{c05}
-@deffn {Attribute} Event = 588
-@end deffn
-
-@geindex Active (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Active}@anchor{c06}
-@deffn {Attribute} Active = 589
-@end deffn
-
-@geindex Last_Event (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Event}@anchor{c07}
-@deffn {Attribute} Last_Event = 590
-@end deffn
-
-@geindex Last_Active (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Active}@anchor{c08}
-@deffn {Attribute} Last_Active = 591
-@end deffn
-
-@geindex Last_Value (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Value}@anchor{c09}
-@deffn {Attribute} Last_Value = 592
-@end deffn
-
-@geindex Last_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Attribute}@anchor{c0a}
-@deffn {Attribute} Last_Attribute = 592
-@end deffn
-
-@geindex First_Vhdl87_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl87_Attribute}@anchor{c0b}
-@deffn {Attribute} First_Vhdl87_Attribute = 593
-@end deffn
-
-@geindex Behavior (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Behavior}@anchor{c0c}
-@deffn {Attribute} Behavior = 593
-@end deffn
-
-@geindex Structure (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Structure}@anchor{c0d}
-@deffn {Attribute} Structure = 594
-@end deffn
-
-@geindex Last_Vhdl87_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl87_Attribute}@anchor{c0e}
-@deffn {Attribute} Last_Vhdl87_Attribute = 594
-@end deffn
-
-@geindex First_Vhdl93_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl93_Attribute}@anchor{c0f}
-@deffn {Attribute} First_Vhdl93_Attribute = 595
-@end deffn
-
-@geindex Ascending (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ascending}@anchor{c10}
-@deffn {Attribute} Ascending = 595
-@end deffn
-
-@geindex Image (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Image}@anchor{c11}
-@deffn {Attribute} Image = 596
-@end deffn
-
-@geindex Value (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Value}@anchor{c12}
-@deffn {Attribute} Value = 597
-@end deffn
-
-@geindex Driving (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Driving}@anchor{c13}
-@deffn {Attribute} Driving = 598
-@end deffn
-
-@geindex Driving_Value (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Driving_Value}@anchor{c14}
-@deffn {Attribute} Driving_Value = 599
-@end deffn
-
-@geindex Simple_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Simple_Name}@anchor{c15}
-@deffn {Attribute} Simple_Name = 600
-@end deffn
-
-@geindex Instance_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instance_Name}@anchor{c16}
-@deffn {Attribute} Instance_Name = 601
-@end deffn
-
-@geindex Path_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Path_Name}@anchor{c17}
-@deffn {Attribute} Path_Name = 602
-@end deffn
-
-@geindex Last_Vhdl93_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl93_Attribute}@anchor{c18}
-@deffn {Attribute} Last_Vhdl93_Attribute = 602
-@end deffn
-
-@geindex First_Vhdl08_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl08_Attribute}@anchor{c19}
-@deffn {Attribute} First_Vhdl08_Attribute = 603
-@end deffn
-
-@geindex Element (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Element}@anchor{c1a}
-@deffn {Attribute} Element = 603
-@end deffn
-
-@geindex Last_Vhdl08_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl08_Attribute}@anchor{c1b}
-@deffn {Attribute} Last_Vhdl08_Attribute = 603
-@end deffn
-
-@geindex First_AMS_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_AMS_Attribute}@anchor{c1c}
-@deffn {Attribute} First_AMS_Attribute = 604
-@end deffn
-
-@geindex Contribution (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Contribution}@anchor{c1d}
-@deffn {Attribute} Contribution = 604
-@end deffn
-
-@geindex Dot (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dot}@anchor{c1e}
-@deffn {Attribute} Dot = 605
-@end deffn
-
-@geindex Integ (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integ}@anchor{c1f}
-@deffn {Attribute} Integ = 606
-@end deffn
-
-@geindex Above (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Above}@anchor{c20}
-@deffn {Attribute} Above = 607
-@end deffn
-
-@geindex Zoh (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Zoh}@anchor{c21}
-@deffn {Attribute} Zoh = 608
-@end deffn
-
-@geindex Ltf (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ltf}@anchor{c22}
-@deffn {Attribute} Ltf = 609
-@end deffn
-
-@geindex Ztf (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ztf}@anchor{c23}
-@deffn {Attribute} Ztf = 610
-@end deffn
-
-@geindex Ramp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ramp}@anchor{c24}
-@deffn {Attribute} Ramp = 611
-@end deffn
-
-@geindex Slew (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Slew}@anchor{c25}
-@deffn {Attribute} Slew = 612
-@end deffn
-
-@geindex Last_AMS_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_AMS_Attribute}@anchor{c26}
-@deffn {Attribute} Last_AMS_Attribute = 612
-@end deffn
-
-@geindex First_Standard (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Standard}@anchor{c27}
-@deffn {Attribute} First_Standard = 613
-@end deffn
-
-@geindex Std (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std}@anchor{c28}
-@deffn {Attribute} Std = 613
-@end deffn
-
-@geindex Standard (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Standard}@anchor{c29}
-@deffn {Attribute} Standard = 614
-@end deffn
-
-@geindex Boolean (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Boolean}@anchor{c2a}
-@deffn {Attribute} Boolean = 615
-@end deffn
-
-@geindex NFalse (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NFalse}@anchor{c2b}
-@deffn {Attribute} NFalse = 616
-@end deffn
-
-@geindex NTrue (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NTrue}@anchor{c2c}
-@deffn {Attribute} NTrue = 617
-@end deffn
-
-@geindex Character (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Character}@anchor{c2d}
-@deffn {Attribute} Character = 618
-@end deffn
-
-@geindex Severity_Level (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Severity_Level}@anchor{c2e}
-@deffn {Attribute} Severity_Level = 619
-@end deffn
-
-@geindex Note (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Note}@anchor{c2f}
-@deffn {Attribute} Note = 620
-@end deffn
-
-@geindex Warning (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Warning}@anchor{c30}
-@deffn {Attribute} Warning = 621
-@end deffn
-
-@geindex Error (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Error}@anchor{c31}
-@deffn {Attribute} Error = 622
-@end deffn
-
-@geindex Failure (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Failure}@anchor{c32}
-@deffn {Attribute} Failure = 623
-@end deffn
-
-@geindex Universal_Integer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Universal_Integer}@anchor{c33}
-@deffn {Attribute} Universal_Integer = 624
-@end deffn
-
-@geindex Universal_Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Universal_Real}@anchor{c34}
-@deffn {Attribute} Universal_Real = 625
-@end deffn
-
-@geindex Convertible_Integer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Convertible_Integer}@anchor{c35}
-@deffn {Attribute} Convertible_Integer = 626
-@end deffn
-
-@geindex Convertible_Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Convertible_Real}@anchor{c36}
-@deffn {Attribute} Convertible_Real = 627
-@end deffn
-
-@geindex Integer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integer}@anchor{c37}
-@deffn {Attribute} Integer = 628
-@end deffn
-
-@geindex Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Real}@anchor{c38}
-@deffn {Attribute} Real = 629
-@end deffn
-
-@geindex Time (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time}@anchor{c39}
-@deffn {Attribute} Time = 630
-@end deffn
-
-@geindex Fs (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fs}@anchor{c3a}
-@deffn {Attribute} Fs = 631
-@end deffn
-
-@geindex Ps (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ps}@anchor{c3b}
-@deffn {Attribute} Ps = 632
-@end deffn
-
-@geindex Ns (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ns}@anchor{c3c}
-@deffn {Attribute} Ns = 633
-@end deffn
-
-@geindex Us (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Us}@anchor{c3d}
-@deffn {Attribute} Us = 634
-@end deffn
-
-@geindex Ms (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ms}@anchor{c3e}
-@deffn {Attribute} Ms = 635
-@end deffn
-
-@geindex Sec (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sec}@anchor{c3f}
-@deffn {Attribute} Sec = 636
-@end deffn
-
-@geindex Min (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Min}@anchor{c40}
-@deffn {Attribute} Min = 637
-@end deffn
-
-@geindex Hr (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Hr}@anchor{c41}
-@deffn {Attribute} Hr = 638
-@end deffn
-
-@geindex Max (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Max}@anchor{c42}
-@deffn {Attribute} Max = 639
-@end deffn
-
-@geindex Delay_Length (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delay_Length}@anchor{c43}
-@deffn {Attribute} Delay_Length = 640
-@end deffn
-
-@geindex Now (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Now}@anchor{c44}
-@deffn {Attribute} Now = 641
-@end deffn
-
-@geindex Natural (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Natural}@anchor{c45}
-@deffn {Attribute} Natural = 642
-@end deffn
-
-@geindex Positive (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Positive}@anchor{c46}
-@deffn {Attribute} Positive = 643
-@end deffn
-
-@geindex Bit_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bit_Vector}@anchor{c47}
-@deffn {Attribute} Bit_Vector = 644
-@end deffn
-
-@geindex File_Open_Kind (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open_Kind}@anchor{c48}
-@deffn {Attribute} File_Open_Kind = 645
-@end deffn
-
-@geindex Read_Mode (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Read_Mode}@anchor{c49}
-@deffn {Attribute} Read_Mode = 646
-@end deffn
-
-@geindex Write_Mode (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Write_Mode}@anchor{c4a}
-@deffn {Attribute} Write_Mode = 647
-@end deffn
-
-@geindex Append_Mode (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Append_Mode}@anchor{c4b}
-@deffn {Attribute} Append_Mode = 648
-@end deffn
-
-@geindex File_Open_Status (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open_Status}@anchor{c4c}
-@deffn {Attribute} File_Open_Status = 649
-@end deffn
-
-@geindex Open_Ok (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open_Ok}@anchor{c4d}
-@deffn {Attribute} Open_Ok = 650
-@end deffn
-
-@geindex Status_Error (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Status_Error}@anchor{c4e}
-@deffn {Attribute} Status_Error = 651
-@end deffn
-
-@geindex Name_Error (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Name_Error}@anchor{c4f}
-@deffn {Attribute} Name_Error = 652
-@end deffn
-
-@geindex Mode_Error (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Mode_Error}@anchor{c50}
-@deffn {Attribute} Mode_Error = 653
-@end deffn
-
-@geindex Foreign (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Foreign}@anchor{c51}
-@deffn {Attribute} Foreign = 654
-@end deffn
-
-@geindex Boolean_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Boolean_Vector}@anchor{c52}
-@deffn {Attribute} Boolean_Vector = 655
-@end deffn
-
-@geindex To_Bstring (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bstring}@anchor{c53}
-@deffn {Attribute} To_Bstring = 656
-@end deffn
-
-@geindex To_Binary_String (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Binary_String}@anchor{c54}
-@deffn {Attribute} To_Binary_String = 657
-@end deffn
-
-@geindex To_Ostring (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Ostring}@anchor{c55}
-@deffn {Attribute} To_Ostring = 658
-@end deffn
-
-@geindex To_Octal_String (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Octal_String}@anchor{c56}
-@deffn {Attribute} To_Octal_String = 659
-@end deffn
-
-@geindex To_Hstring (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Hstring}@anchor{c57}
-@deffn {Attribute} To_Hstring = 660
-@end deffn
-
-@geindex To_Hex_String (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Hex_String}@anchor{c58}
-@deffn {Attribute} To_Hex_String = 661
-@end deffn
-
-@geindex Integer_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integer_Vector}@anchor{c59}
-@deffn {Attribute} Integer_Vector = 662
-@end deffn
-
-@geindex Real_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Real_Vector}@anchor{c5a}
-@deffn {Attribute} Real_Vector = 663
-@end deffn
-
-@geindex Time_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time_Vector}@anchor{c5b}
-@deffn {Attribute} Time_Vector = 664
-@end deffn
-
-@geindex Digits (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Digits}@anchor{c5c}
-@deffn {Attribute} Digits = 665
-@end deffn
-
-@geindex Format (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Format}@anchor{c5d}
-@deffn {Attribute} Format = 666
-@end deffn
-
-@geindex Unit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unit}@anchor{c5e}
-@deffn {Attribute} Unit = 667
-@end deffn
-
-@geindex Domain_Type (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Domain_Type}@anchor{c5f}
-@deffn {Attribute} Domain_Type = 668
-@end deffn
-
-@geindex Quiescent_Domain (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quiescent_Domain}@anchor{c60}
-@deffn {Attribute} Quiescent_Domain = 669
-@end deffn
-
-@geindex Time_Domain (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time_Domain}@anchor{c61}
-@deffn {Attribute} Time_Domain = 670
-@end deffn
-
-@geindex Frequency_Domain (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Frequency_Domain}@anchor{c62}
-@deffn {Attribute} Frequency_Domain = 671
-@end deffn
-
-@geindex Domain (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Domain}@anchor{c63}
-@deffn {Attribute} Domain = 672
-@end deffn
-
-@geindex Frequency (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Frequency}@anchor{c64}
-@deffn {Attribute} Frequency = 673
-@end deffn
-
-@geindex Last_Standard (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Standard}@anchor{c65}
-@deffn {Attribute} Last_Standard = 673
-@end deffn
-
-@geindex First_Charname (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Charname}@anchor{c66}
-@deffn {Attribute} First_Charname = 674
-@end deffn
-
-@geindex Nul (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nul}@anchor{c67}
-@deffn {Attribute} Nul = 674
-@end deffn
-
-@geindex Soh (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Soh}@anchor{c68}
-@deffn {Attribute} Soh = 675
-@end deffn
-
-@geindex Stx (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Stx}@anchor{c69}
-@deffn {Attribute} Stx = 676
-@end deffn
-
-@geindex Etx (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Etx}@anchor{c6a}
-@deffn {Attribute} Etx = 677
-@end deffn
-
-@geindex Eot (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eot}@anchor{c6b}
-@deffn {Attribute} Eot = 678
-@end deffn
-
-@geindex Enq (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enq}@anchor{c6c}
-@deffn {Attribute} Enq = 679
-@end deffn
-
-@geindex Ack (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ack}@anchor{c6d}
-@deffn {Attribute} Ack = 680
-@end deffn
-
-@geindex Bel (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bel}@anchor{c6e}
-@deffn {Attribute} Bel = 681
-@end deffn
-
-@geindex Bs (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bs}@anchor{c6f}
-@deffn {Attribute} Bs = 682
-@end deffn
-
-@geindex Ht (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ht}@anchor{c70}
-@deffn {Attribute} Ht = 683
-@end deffn
-
-@geindex Lf (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Lf}@anchor{c71}
-@deffn {Attribute} Lf = 684
-@end deffn
-
-@geindex Vt (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vt}@anchor{c72}
-@deffn {Attribute} Vt = 685
-@end deffn
-
-@geindex Ff (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ff}@anchor{c73}
-@deffn {Attribute} Ff = 686
-@end deffn
-
-@geindex Cr (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cr}@anchor{c74}
-@deffn {Attribute} Cr = 687
-@end deffn
-
-@geindex So (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name So}@anchor{c75}
-@deffn {Attribute} So = 688
-@end deffn
-
-@geindex Si (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Si}@anchor{c76}
-@deffn {Attribute} Si = 689
-@end deffn
-
-@geindex Dle (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dle}@anchor{c77}
-@deffn {Attribute} Dle = 690
-@end deffn
-
-@geindex Dc1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc1}@anchor{c78}
-@deffn {Attribute} Dc1 = 691
-@end deffn
-
-@geindex Dc2 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc2}@anchor{c79}
-@deffn {Attribute} Dc2 = 692
-@end deffn
-
-@geindex Dc3 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc3}@anchor{c7a}
-@deffn {Attribute} Dc3 = 693
-@end deffn
-
-@geindex Dc4 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc4}@anchor{c7b}
-@deffn {Attribute} Dc4 = 694
-@end deffn
-
-@geindex Nak (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nak}@anchor{c7c}
-@deffn {Attribute} Nak = 695
-@end deffn
-
-@geindex Syn (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Syn}@anchor{c7d}
-@deffn {Attribute} Syn = 696
-@end deffn
-
-@geindex Etb (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Etb}@anchor{c7e}
-@deffn {Attribute} Etb = 697
-@end deffn
-
-@geindex Can (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Can}@anchor{c7f}
-@deffn {Attribute} Can = 698
-@end deffn
-
-@geindex Em (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Em}@anchor{c80}
-@deffn {Attribute} Em = 699
-@end deffn
-
-@geindex Sub (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sub}@anchor{c81}
-@deffn {Attribute} Sub = 700
-@end deffn
-
-@geindex Esc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Esc}@anchor{c82}
-@deffn {Attribute} Esc = 701
-@end deffn
-
-@geindex Fsp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fsp}@anchor{c83}
-@deffn {Attribute} Fsp = 702
-@end deffn
-
-@geindex Gsp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Gsp}@anchor{c84}
-@deffn {Attribute} Gsp = 703
-@end deffn
-
-@geindex Rsp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rsp}@anchor{c85}
-@deffn {Attribute} Rsp = 704
-@end deffn
-
-@geindex Usp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Usp}@anchor{c86}
-@deffn {Attribute} Usp = 705
-@end deffn
-
-@geindex Del (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Del}@anchor{c87}
-@deffn {Attribute} Del = 706
-@end deffn
-
-@geindex C128 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C128}@anchor{c88}
-@deffn {Attribute} C128 = 707
-@end deffn
-
-@geindex C129 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C129}@anchor{c89}
-@deffn {Attribute} C129 = 708
-@end deffn
-
-@geindex C130 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C130}@anchor{c8a}
-@deffn {Attribute} C130 = 709
-@end deffn
-
-@geindex C131 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C131}@anchor{c8b}
-@deffn {Attribute} C131 = 710
-@end deffn
-
-@geindex C132 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C132}@anchor{c8c}
-@deffn {Attribute} C132 = 711
-@end deffn
-
-@geindex C133 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C133}@anchor{c8d}
-@deffn {Attribute} C133 = 712
-@end deffn
-
-@geindex C134 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C134}@anchor{c8e}
-@deffn {Attribute} C134 = 713
-@end deffn
-
-@geindex C135 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C135}@anchor{c8f}
-@deffn {Attribute} C135 = 714
-@end deffn
-
-@geindex C136 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C136}@anchor{c90}
-@deffn {Attribute} C136 = 715
-@end deffn
-
-@geindex C137 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C137}@anchor{c91}
-@deffn {Attribute} C137 = 716
-@end deffn
-
-@geindex C138 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C138}@anchor{c92}
-@deffn {Attribute} C138 = 717
-@end deffn
-
-@geindex C139 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C139}@anchor{c93}
-@deffn {Attribute} C139 = 718
-@end deffn
-
-@geindex C140 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C140}@anchor{c94}
-@deffn {Attribute} C140 = 719
-@end deffn
-
-@geindex C141 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C141}@anchor{c95}
-@deffn {Attribute} C141 = 720
-@end deffn
-
-@geindex C142 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C142}@anchor{c96}
-@deffn {Attribute} C142 = 721
-@end deffn
-
-@geindex C143 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C143}@anchor{c97}
-@deffn {Attribute} C143 = 722
-@end deffn
-
-@geindex C144 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C144}@anchor{c98}
-@deffn {Attribute} C144 = 723
-@end deffn
-
-@geindex C145 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C145}@anchor{c99}
-@deffn {Attribute} C145 = 724
-@end deffn
-
-@geindex C146 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C146}@anchor{c9a}
-@deffn {Attribute} C146 = 725
-@end deffn
-
-@geindex C147 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C147}@anchor{c9b}
-@deffn {Attribute} C147 = 726
-@end deffn
-
-@geindex C148 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C148}@anchor{c9c}
-@deffn {Attribute} C148 = 727
-@end deffn
-
-@geindex C149 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C149}@anchor{c9d}
-@deffn {Attribute} C149 = 728
-@end deffn
-
-@geindex C150 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C150}@anchor{c9e}
-@deffn {Attribute} C150 = 729
-@end deffn
-
-@geindex C151 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C151}@anchor{c9f}
-@deffn {Attribute} C151 = 730
-@end deffn
-
-@geindex C152 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C152}@anchor{ca0}
-@deffn {Attribute} C152 = 731
-@end deffn
-
-@geindex C153 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C153}@anchor{ca1}
-@deffn {Attribute} C153 = 732
-@end deffn
-
-@geindex C154 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C154}@anchor{ca2}
-@deffn {Attribute} C154 = 733
-@end deffn
-
-@geindex C155 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C155}@anchor{ca3}
-@deffn {Attribute} C155 = 734
-@end deffn
-
-@geindex C156 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C156}@anchor{ca4}
-@deffn {Attribute} C156 = 735
-@end deffn
-
-@geindex C157 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C157}@anchor{ca5}
-@deffn {Attribute} C157 = 736
-@end deffn
-
-@geindex C158 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C158}@anchor{ca6}
-@deffn {Attribute} C158 = 737
-@end deffn
-
-@geindex C159 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C159}@anchor{ca7}
-@deffn {Attribute} C159 = 738
-@end deffn
-
-@geindex Last_Charname (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Charname}@anchor{ca8}
-@deffn {Attribute} Last_Charname = 738
-@end deffn
-
-@geindex First_Misc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Misc}@anchor{ca9}
-@deffn {Attribute} First_Misc = 739
-@end deffn
-
-@geindex Guard (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Guard}@anchor{caa}
-@deffn {Attribute} Guard = 739
-@end deffn
-
-@geindex Deallocate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deallocate}@anchor{cab}
-@deffn {Attribute} Deallocate = 740
-@end deffn
-
-@geindex File_Open (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open}@anchor{cac}
-@deffn {Attribute} File_Open = 741
-@end deffn
-
-@geindex File_Close (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Close}@anchor{cad}
-@deffn {Attribute} File_Close = 742
-@end deffn
-
-@geindex Read (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Read}@anchor{cae}
-@deffn {Attribute} Read = 743
-@end deffn
-
-@geindex Write (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Write}@anchor{caf}
-@deffn {Attribute} Write = 744
-@end deffn
-
-@geindex Flush (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Flush}@anchor{cb0}
-@deffn {Attribute} Flush = 745
-@end deffn
-
-@geindex Endfile (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endfile}@anchor{cb1}
-@deffn {Attribute} Endfile = 746
-@end deffn
-
-@geindex I (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name I}@anchor{cb2}
-@deffn {Attribute} I = 747
-@end deffn
-
-@geindex J (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name J}@anchor{cb3}
-@deffn {Attribute} J = 748
-@end deffn
-
-@geindex F (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name F}@anchor{cb4}
-@deffn {Attribute} F = 749
-@end deffn
-
-@geindex L (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name L}@anchor{cb5}
-@deffn {Attribute} L = 750
-@end deffn
-
-@geindex P (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name P}@anchor{cb6}
-@deffn {Attribute} P = 751
-@end deffn
-
-@geindex R (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name R}@anchor{cb7}
-@deffn {Attribute} R = 752
-@end deffn
-
-@geindex S (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S}@anchor{cb8}
-@deffn {Attribute} S = 753
-@end deffn
-
-@geindex V (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name V}@anchor{cb9}
-@deffn {Attribute} V = 754
-@end deffn
-
-@geindex External_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name External_Name}@anchor{cba}
-@deffn {Attribute} External_Name = 755
-@end deffn
-
-@geindex Open_Kind (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open_Kind}@anchor{cbb}
-@deffn {Attribute} Open_Kind = 756
-@end deffn
-
-@geindex First (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First}@anchor{cbc}
-@deffn {Attribute} First = 757
-@end deffn
-
-@geindex Last (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last}@anchor{cbd}
-@deffn {Attribute} Last = 758
-@end deffn
-
-@geindex Textio (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio}@anchor{cbe}
-@deffn {Attribute} Textio = 759
-@end deffn
-
-@geindex Work (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Work}@anchor{cbf}
-@deffn {Attribute} Work = 760
-@end deffn
-
-@geindex Text (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Text}@anchor{cc0}
-@deffn {Attribute} Text = 761
-@end deffn
-
-@geindex To_String (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_String}@anchor{cc1}
-@deffn {Attribute} To_String = 762
-@end deffn
-
-@geindex Minimum (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Minimum}@anchor{cc2}
-@deffn {Attribute} Minimum = 763
-@end deffn
-
-@geindex Maximum (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Maximum}@anchor{cc3}
-@deffn {Attribute} Maximum = 764
-@end deffn
-
-@geindex Untruncated_Text_Read (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Untruncated_Text_Read}@anchor{cc4}
-@deffn {Attribute} Untruncated_Text_Read = 765
-@end deffn
-
-@geindex Textio_Read_Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio_Read_Real}@anchor{cc5}
-@deffn {Attribute} Textio_Read_Real = 766
-@end deffn
-
-@geindex Textio_Write_Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio_Write_Real}@anchor{cc6}
-@deffn {Attribute} Textio_Write_Real = 767
-@end deffn
-
-@geindex Get_Resolution_Limit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Get_Resolution_Limit}@anchor{cc7}
-@deffn {Attribute} Get_Resolution_Limit = 768
-@end deffn
-
-@geindex Control_Simulation (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Control_Simulation}@anchor{cc8}
-@deffn {Attribute} Control_Simulation = 769
-@end deffn
-
-@geindex Step (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Step}@anchor{cc9}
-@deffn {Attribute} Step = 770
-@end deffn
-
-@geindex Index (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Index}@anchor{cca}
-@deffn {Attribute} Index = 771
-@end deffn
-
-@geindex Item (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Item}@anchor{ccb}
-@deffn {Attribute} Item = 772
-@end deffn
-
-@geindex Uu_File_Uu (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uu_File_Uu}@anchor{ccc}
-@deffn {Attribute} Uu_File_Uu = 773
-@end deffn
-
-@geindex Uu_Line_Uu (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uu_Line_Uu}@anchor{ccd}
-@deffn {Attribute} Uu_Line_Uu = 774
-@end deffn
-
-@geindex Label_Applies_To (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Label_Applies_To}@anchor{cce}
-@deffn {Attribute} Label_Applies_To = 775
-@end deffn
-
-@geindex Return_Port_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Return_Port_Name}@anchor{ccf}
-@deffn {Attribute} Return_Port_Name = 776
-@end deffn
-
-@geindex Map_To_Operator (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Map_To_Operator}@anchor{cd0}
-@deffn {Attribute} Map_To_Operator = 777
-@end deffn
-
-@geindex Type_Function (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Type_Function}@anchor{cd1}
-@deffn {Attribute} Type_Function = 778
-@end deffn
-
-@geindex Built_In (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Built_In}@anchor{cd2}
-@deffn {Attribute} Built_In = 779
-@end deffn
-
-@geindex NNone (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NNone}@anchor{cd3}
-@deffn {Attribute} NNone = 780
-@end deffn
-
-@geindex Last_Misc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Misc}@anchor{cd4}
-@deffn {Attribute} Last_Misc = 780
-@end deffn
-
-@geindex First_Ieee_Pkg (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ieee_Pkg}@anchor{cd5}
-@deffn {Attribute} First_Ieee_Pkg = 781
-@end deffn
-
-@geindex Ieee (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ieee}@anchor{cd6}
-@deffn {Attribute} Ieee = 781
-@end deffn
-
-@geindex Std_Logic_1164 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_1164}@anchor{cd7}
-@deffn {Attribute} Std_Logic_1164 = 782
-@end deffn
-
-@geindex VITAL_Timing (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Timing}@anchor{cd8}
-@deffn {Attribute} VITAL_Timing = 783
-@end deffn
-
-@geindex Numeric_Std (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Std}@anchor{cd9}
-@deffn {Attribute} Numeric_Std = 784
-@end deffn
-
-@geindex Numeric_Bit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Bit}@anchor{cda}
-@deffn {Attribute} Numeric_Bit = 785
-@end deffn
-
-@geindex Numeric_Std_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Std_Unsigned}@anchor{cdb}
-@deffn {Attribute} Numeric_Std_Unsigned = 786
-@end deffn
-
-@geindex Std_Logic_Arith (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Arith}@anchor{cdc}
-@deffn {Attribute} Std_Logic_Arith = 787
-@end deffn
-
-@geindex Std_Logic_Signed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Signed}@anchor{cdd}
-@deffn {Attribute} Std_Logic_Signed = 788
-@end deffn
-
-@geindex Std_Logic_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Unsigned}@anchor{cde}
-@deffn {Attribute} Std_Logic_Unsigned = 789
-@end deffn
-
-@geindex Std_Logic_Textio (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Textio}@anchor{cdf}
-@deffn {Attribute} Std_Logic_Textio = 790
-@end deffn
-
-@geindex Std_Logic_Misc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Misc}@anchor{ce0}
-@deffn {Attribute} Std_Logic_Misc = 791
-@end deffn
-
-@geindex Math_Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Math_Real}@anchor{ce1}
-@deffn {Attribute} Math_Real = 792
-@end deffn
-
-@geindex Last_Ieee_Pkg (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Ieee_Pkg}@anchor{ce2}
-@deffn {Attribute} Last_Ieee_Pkg = 792
-@end deffn
-
-@geindex First_Ieee_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ieee_Name}@anchor{ce3}
-@deffn {Attribute} First_Ieee_Name = 793
-@end deffn
-
-@geindex Std_Ulogic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Ulogic}@anchor{ce4}
-@deffn {Attribute} Std_Ulogic = 793
-@end deffn
-
-@geindex Std_Ulogic_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Ulogic_Vector}@anchor{ce5}
-@deffn {Attribute} Std_Ulogic_Vector = 794
-@end deffn
-
-@geindex Std_Logic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic}@anchor{ce6}
-@deffn {Attribute} Std_Logic = 795
-@end deffn
-
-@geindex Std_Logic_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Vector}@anchor{ce7}
-@deffn {Attribute} Std_Logic_Vector = 796
-@end deffn
-
-@geindex Rising_Edge (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rising_Edge}@anchor{ce8}
-@deffn {Attribute} Rising_Edge = 797
-@end deffn
-
-@geindex Falling_Edge (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Falling_Edge}@anchor{ce9}
-@deffn {Attribute} Falling_Edge = 798
-@end deffn
-
-@geindex VITAL_Level0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Level0}@anchor{cea}
-@deffn {Attribute} VITAL_Level0 = 799
-@end deffn
-
-@geindex VITAL_Level1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Level1}@anchor{ceb}
-@deffn {Attribute} VITAL_Level1 = 800
-@end deffn
-
-@geindex Unresolved_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unresolved_Unsigned}@anchor{cec}
-@deffn {Attribute} Unresolved_Unsigned = 801
-@end deffn
-
-@geindex Unresolved_Signed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unresolved_Signed}@anchor{ced}
-@deffn {Attribute} Unresolved_Signed = 802
-@end deffn
-
-@geindex To_Integer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Integer}@anchor{cee}
-@deffn {Attribute} To_Integer = 803
-@end deffn
-
-@geindex To_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Unsigned}@anchor{cef}
-@deffn {Attribute} To_Unsigned = 804
-@end deffn
-
-@geindex To_Signed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Signed}@anchor{cf0}
-@deffn {Attribute} To_Signed = 805
-@end deffn
-
-@geindex Resize (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Resize}@anchor{cf1}
-@deffn {Attribute} Resize = 806
-@end deffn
-
-@geindex Std_Match (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Match}@anchor{cf2}
-@deffn {Attribute} Std_Match = 807
-@end deffn
-
-@geindex Shift_Left (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shift_Left}@anchor{cf3}
-@deffn {Attribute} Shift_Left = 808
-@end deffn
-
-@geindex Shift_Right (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shift_Right}@anchor{cf4}
-@deffn {Attribute} Shift_Right = 809
-@end deffn
-
-@geindex Rotate_Left (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rotate_Left}@anchor{cf5}
-@deffn {Attribute} Rotate_Left = 810
-@end deffn
-
-@geindex Rotate_Right (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rotate_Right}@anchor{cf6}
-@deffn {Attribute} Rotate_Right = 811
-@end deffn
-
-@geindex To_Bit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bit}@anchor{cf7}
-@deffn {Attribute} To_Bit = 812
-@end deffn
-
-@geindex To_Bitvector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bitvector}@anchor{cf8}
-@deffn {Attribute} To_Bitvector = 813
-@end deffn
-
-@geindex To_Stdulogic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdulogic}@anchor{cf9}
-@deffn {Attribute} To_Stdulogic = 814
-@end deffn
-
-@geindex To_Stdlogicvector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdlogicvector}@anchor{cfa}
-@deffn {Attribute} To_Stdlogicvector = 815
-@end deffn
-
-@geindex To_Stdulogicvector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdulogicvector}@anchor{cfb}
-@deffn {Attribute} To_Stdulogicvector = 816
-@end deffn
-
-@geindex Is_X (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Is_X}@anchor{cfc}
-@deffn {Attribute} Is_X = 817
-@end deffn
-
-@geindex To_01 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_01}@anchor{cfd}
-@deffn {Attribute} To_01 = 818
-@end deffn
-
-@geindex To_X01 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_X01}@anchor{cfe}
-@deffn {Attribute} To_X01 = 819
-@end deffn
-
-@geindex To_X01Z (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_X01Z}@anchor{cff}
-@deffn {Attribute} To_X01Z = 820
-@end deffn
-
-@geindex To_UX01 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_UX01}@anchor{d00}
-@deffn {Attribute} To_UX01 = 821
-@end deffn
-
-@geindex Conv_Signed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Signed}@anchor{d01}
-@deffn {Attribute} Conv_Signed = 822
-@end deffn
-
-@geindex Conv_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Unsigned}@anchor{d02}
-@deffn {Attribute} Conv_Unsigned = 823
-@end deffn
-
-@geindex Conv_Integer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Integer}@anchor{d03}
-@deffn {Attribute} Conv_Integer = 824
-@end deffn
-
-@geindex Conv_Std_Logic_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Std_Logic_Vector}@anchor{d04}
-@deffn {Attribute} Conv_Std_Logic_Vector = 825
-@end deffn
-
-@geindex And_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name And_Reduce}@anchor{d05}
-@deffn {Attribute} And_Reduce = 826
-@end deffn
-
-@geindex Nand_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nand_Reduce}@anchor{d06}
-@deffn {Attribute} Nand_Reduce = 827
-@end deffn
-
-@geindex Or_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Or_Reduce}@anchor{d07}
-@deffn {Attribute} Or_Reduce = 828
-@end deffn
-
-@geindex Nor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nor_Reduce}@anchor{d08}
-@deffn {Attribute} Nor_Reduce = 829
-@end deffn
-
-@geindex Xor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xor_Reduce}@anchor{d09}
-@deffn {Attribute} Xor_Reduce = 830
-@end deffn
-
-@geindex Xnor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xnor_Reduce}@anchor{d0a}
-@deffn {Attribute} Xnor_Reduce = 831
-@end deffn
-
-@geindex Ceil (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ceil}@anchor{d0b}
-@deffn {Attribute} Ceil = 832
-@end deffn
-
-@geindex Floor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Floor}@anchor{d0c}
-@deffn {Attribute} Floor = 833
-@end deffn
-
-@geindex Round (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Round}@anchor{d0d}
-@deffn {Attribute} Round = 834
-@end deffn
-
-@geindex Log2 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Log2}@anchor{d0e}
-@deffn {Attribute} Log2 = 835
-@end deffn
-
-@geindex Sin (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sin}@anchor{d0f}
-@deffn {Attribute} Sin = 836
-@end deffn
-
-@geindex Cos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cos}@anchor{d10}
-@deffn {Attribute} Cos = 837
-@end deffn
-
-@geindex Arctan (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Arctan}@anchor{d11}
-@deffn {Attribute} Arctan = 838
-@end deffn
-
-@geindex Shl (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shl}@anchor{d12}
-@deffn {Attribute} Shl = 839
-@end deffn
-
-@geindex Shr (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shr}@anchor{d13}
-@deffn {Attribute} Shr = 840
-@end deffn
-
-@geindex Ext (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ext}@anchor{d14}
-@deffn {Attribute} Ext = 841
-@end deffn
-
-@geindex Sxt (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sxt}@anchor{d15}
-@deffn {Attribute} Sxt = 842
-@end deffn
-
-@geindex Find_Leftmost (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Leftmost}@anchor{d16}
-@deffn {Attribute} Find_Leftmost = 843
-@end deffn
-
-@geindex Find_Rightmost (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Rightmost}@anchor{d17}
-@deffn {Attribute} Find_Rightmost = 844
-@end deffn
-
-@geindex Last_Ieee_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Ieee_Name}@anchor{d18}
-@deffn {Attribute} Last_Ieee_Name = 844
-@end deffn
-
-@geindex First_Synthesis (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Synthesis}@anchor{d19}
-@deffn {Attribute} First_Synthesis = 845
-@end deffn
-
-@geindex Allconst (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Allconst}@anchor{d1a}
-@deffn {Attribute} Allconst = 845
-@end deffn
-
-@geindex Allseq (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Allseq}@anchor{d1b}
-@deffn {Attribute} Allseq = 846
-@end deffn
-
-@geindex Anyconst (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Anyconst}@anchor{d1c}
-@deffn {Attribute} Anyconst = 847
-@end deffn
-
-@geindex Anyseq (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Anyseq}@anchor{d1d}
-@deffn {Attribute} Anyseq = 848
-@end deffn
-
-@geindex Gclk (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Gclk}@anchor{d1e}
-@deffn {Attribute} Gclk = 849
-@end deffn
-
-@geindex Loc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Loc}@anchor{d1f}
-@deffn {Attribute} Loc = 850
-@end deffn
-
-@geindex Keep (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Keep}@anchor{d20}
-@deffn {Attribute} Keep = 851
-@end deffn
-
-@geindex Syn_Black_Box (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Syn_Black_Box}@anchor{d21}
-@deffn {Attribute} Syn_Black_Box = 852
-@end deffn
-
-@geindex Last_Synthesis (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Synthesis}@anchor{d22}
-@deffn {Attribute} Last_Synthesis = 852
-@end deffn
-
-@geindex First_Directive (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Directive}@anchor{d23}
-@deffn {Attribute} First_Directive = 853
-@end deffn
-
-@geindex Define (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Define}@anchor{d24}
-@deffn {Attribute} Define = 853
-@end deffn
-
-@geindex Endif (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endif}@anchor{d25}
-@deffn {Attribute} Endif = 854
-@end deffn
-
-@geindex Ifdef (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifdef}@anchor{d26}
-@deffn {Attribute} Ifdef = 855
-@end deffn
-
-@geindex Ifndef (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifndef}@anchor{d27}
-@deffn {Attribute} Ifndef = 856
-@end deffn
-
-@geindex Include (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Include}@anchor{d28}
-@deffn {Attribute} Include = 857
-@end deffn
-
-@geindex Timescale (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timescale}@anchor{d29}
-@deffn {Attribute} Timescale = 858
-@end deffn
-
-@geindex Undef (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Undef}@anchor{d2a}
-@deffn {Attribute} Undef = 859
-@end deffn
-
-@geindex Protect (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Protect}@anchor{d2b}
-@deffn {Attribute} Protect = 860
-@end deffn
-
-@geindex Begin_Protected (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Begin_Protected}@anchor{d2c}
-@deffn {Attribute} Begin_Protected = 861
-@end deffn
-
-@geindex End_Protected (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name End_Protected}@anchor{d2d}
-@deffn {Attribute} End_Protected = 862
-@end deffn
-
-@geindex Key_Block (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Key_Block}@anchor{d2e}
-@deffn {Attribute} Key_Block = 863
-@end deffn
-
-@geindex Data_Block (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Data_Block}@anchor{d2f}
-@deffn {Attribute} Data_Block = 864
-@end deffn
-
-@geindex Line (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Line}@anchor{d30}
-@deffn {Attribute} Line = 865
-@end deffn
-
-@geindex Celldefine (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Celldefine}@anchor{d31}
-@deffn {Attribute} Celldefine = 866
-@end deffn
-
-@geindex Endcelldefine (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endcelldefine}@anchor{d32}
-@deffn {Attribute} Endcelldefine = 867
-@end deffn
-
-@geindex Default_Nettype (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Nettype}@anchor{d33}
-@deffn {Attribute} Default_Nettype = 868
-@end deffn
-
-@geindex Resetall (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Resetall}@anchor{d34}
-@deffn {Attribute} Resetall = 869
-@end deffn
-
-@geindex Last_Directive (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Directive}@anchor{d35}
-@deffn {Attribute} Last_Directive = 869
-@end deffn
-
-@geindex First_Systask (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Systask}@anchor{d36}
-@deffn {Attribute} First_Systask = 870
-@end deffn
-
-@geindex Bits (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bits}@anchor{d37}
-@deffn {Attribute} Bits = 870
-@end deffn
-
-@geindex D_Root (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name D_Root}@anchor{d38}
-@deffn {Attribute} D_Root = 871
-@end deffn
-
-@geindex D_Unit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name D_Unit}@anchor{d39}
-@deffn {Attribute} D_Unit = 872
-@end deffn
-
-@geindex Last_Systask (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Systask}@anchor{d3a}
-@deffn {Attribute} Last_Systask = 872
-@end deffn
-
-@geindex First_SV_Method (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV_Method}@anchor{d3b}
-@deffn {Attribute} First_SV_Method = 873
-@end deffn
-
-@geindex Size (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Size}@anchor{d3c}
-@deffn {Attribute} Size = 873
-@end deffn
-
-@geindex Insert (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Insert}@anchor{d3d}
-@deffn {Attribute} Insert = 874
-@end deffn
-
-@geindex Delete (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delete}@anchor{d3e}
-@deffn {Attribute} Delete = 875
-@end deffn
-
-@geindex Pop_Front (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pop_Front}@anchor{d3f}
-@deffn {Attribute} Pop_Front = 876
-@end deffn
-
-@geindex Pop_Back (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pop_Back}@anchor{d40}
-@deffn {Attribute} Pop_Back = 877
-@end deffn
-
-@geindex Push_Front (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Push_Front}@anchor{d41}
-@deffn {Attribute} Push_Front = 878
-@end deffn
-
-@geindex Push_Back (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Push_Back}@anchor{d42}
-@deffn {Attribute} Push_Back = 879
-@end deffn
-
-@geindex Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Name}@anchor{d43}
-@deffn {Attribute} Name = 880
-@end deffn
-
-@geindex Len (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Len}@anchor{d44}
-@deffn {Attribute} Len = 881
-@end deffn
-
-@geindex Substr (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Substr}@anchor{d45}
-@deffn {Attribute} Substr = 882
-@end deffn
-
-@geindex Exists (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Exists}@anchor{d46}
-@deffn {Attribute} Exists = 883
-@end deffn
-
-@geindex Atoi (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Atoi}@anchor{d47}
-@deffn {Attribute} Atoi = 884
-@end deffn
-
-@geindex Itoa (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Itoa}@anchor{d48}
-@deffn {Attribute} Itoa = 885
-@end deffn
-
-@geindex Find (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find}@anchor{d49}
-@deffn {Attribute} Find = 886
-@end deffn
-
-@geindex Find_Index (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Index}@anchor{d4a}
-@deffn {Attribute} Find_Index = 887
-@end deffn
-
-@geindex Find_First (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_First}@anchor{d4b}
-@deffn {Attribute} Find_First = 888
-@end deffn
-
-@geindex Find_First_Index (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_First_Index}@anchor{d4c}
-@deffn {Attribute} Find_First_Index = 889
-@end deffn
-
-@geindex Find_Last (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Last}@anchor{d4d}
-@deffn {Attribute} Find_Last = 890
-@end deffn
-
-@geindex Find_Last_Index (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Last_Index}@anchor{d4e}
-@deffn {Attribute} Find_Last_Index = 891
-@end deffn
-
-@geindex Num (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Num}@anchor{d4f}
-@deffn {Attribute} Num = 892
-@end deffn
-
-@geindex Randomize (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randomize}@anchor{d50}
-@deffn {Attribute} Randomize = 893
-@end deffn
-
-@geindex Pre_Randomize (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pre_Randomize}@anchor{d51}
-@deffn {Attribute} Pre_Randomize = 894
-@end deffn
-
-@geindex Post_Randomize (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Post_Randomize}@anchor{d52}
-@deffn {Attribute} Post_Randomize = 895
-@end deffn
-
-@geindex Srandom (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Srandom}@anchor{d53}
-@deffn {Attribute} Srandom = 896
-@end deffn
-
-@geindex Get_Randstate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Get_Randstate}@anchor{d54}
-@deffn {Attribute} Get_Randstate = 897
-@end deffn
-
-@geindex Set_Randstate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Set_Randstate}@anchor{d55}
-@deffn {Attribute} Set_Randstate = 898
-@end deffn
-
-@geindex Seed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Seed}@anchor{d56}
-@deffn {Attribute} Seed = 899
-@end deffn
-
-@geindex State (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name State}@anchor{d57}
-@deffn {Attribute} State = 900
-@end deffn
-
-@geindex Last_SV_Method (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV_Method}@anchor{d58}
-@deffn {Attribute} Last_SV_Method = 900
-@end deffn
-
-@geindex First_BSV (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_BSV}@anchor{d59}
-@deffn {Attribute} First_BSV = 901
-@end deffn
-
-@geindex uAction (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uAction}@anchor{d5a}
-@deffn {Attribute} uAction = 901
-@end deffn
-
-@geindex uActionValue (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uActionValue}@anchor{d5b}
-@deffn {Attribute} uActionValue = 902
-@end deffn
-
-@geindex BVI (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name BVI}@anchor{d5c}
-@deffn {Attribute} BVI = 903
-@end deffn
-
-@geindex uC (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uC}@anchor{d5d}
-@deffn {Attribute} uC = 904
-@end deffn
-
-@geindex uCF (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uCF}@anchor{d5e}
-@deffn {Attribute} uCF = 905
-@end deffn
-
-@geindex uE (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uE}@anchor{d5f}
-@deffn {Attribute} uE = 906
-@end deffn
-
-@geindex uSB (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uSB}@anchor{d60}
-@deffn {Attribute} uSB = 907
-@end deffn
-
-@geindex uSBR (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uSBR}@anchor{d61}
-@deffn {Attribute} uSBR = 908
-@end deffn
-
-@geindex Action (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Action}@anchor{d62}
-@deffn {Attribute} Action = 909
-@end deffn
-
-@geindex Endaction (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endaction}@anchor{d63}
-@deffn {Attribute} Endaction = 910
-@end deffn
-
-@geindex Actionvalue (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Actionvalue}@anchor{d64}
-@deffn {Attribute} Actionvalue = 911
-@end deffn
-
-@geindex Endactionvalue (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endactionvalue}@anchor{d65}
-@deffn {Attribute} Endactionvalue = 912
-@end deffn
-
-@geindex Ancestor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ancestor}@anchor{d66}
-@deffn {Attribute} Ancestor = 913
-@end deffn
-
-@geindex Clocked_By (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clocked_By}@anchor{d67}
-@deffn {Attribute} Clocked_By = 914
-@end deffn
-
-@geindex Default_Clock (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Clock}@anchor{d68}
-@deffn {Attribute} Default_Clock = 915
-@end deffn
-
-@geindex Default_Reset (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Reset}@anchor{d69}
-@deffn {Attribute} Default_Reset = 916
-@end deffn
-
-@geindex Dependencies (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dependencies}@anchor{d6a}
-@deffn {Attribute} Dependencies = 917
-@end deffn
-
-@geindex Deriving (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deriving}@anchor{d6b}
-@deffn {Attribute} Deriving = 918
-@end deffn
-
-@geindex Determines (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Determines}@anchor{d6c}
-@deffn {Attribute} Determines = 919
-@end deffn
-
-@geindex Enable (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enable}@anchor{d6d}
-@deffn {Attribute} Enable = 920
-@end deffn
-
-@geindex Ifc_Inout (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifc_Inout}@anchor{d6e}
-@deffn {Attribute} Ifc_Inout = 921
-@end deffn
-
-@geindex Input_Clock (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input_Clock}@anchor{d6f}
-@deffn {Attribute} Input_Clock = 922
-@end deffn
-
-@geindex Input_Reset (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input_Reset}@anchor{d70}
-@deffn {Attribute} Input_Reset = 923
-@end deffn
-
-@geindex Instance (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instance}@anchor{d71}
-@deffn {Attribute} Instance = 924
-@end deffn
-
-@geindex Endinstance (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endinstance}@anchor{d72}
-@deffn {Attribute} Endinstance = 925
-@end deffn
-
-@geindex Let (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Let}@anchor{d73}
-@deffn {Attribute} Let = 926
-@end deffn
-
-@geindex Match (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Match}@anchor{d74}
-@deffn {Attribute} Match = 927
-@end deffn
-
-@geindex Method (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Method}@anchor{d75}
-@deffn {Attribute} Method = 928
-@end deffn
-
-@geindex Endmethod (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endmethod}@anchor{d76}
-@deffn {Attribute} Endmethod = 929
-@end deffn
-
-@geindex Numeric (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric}@anchor{d77}
-@deffn {Attribute} Numeric = 930
-@end deffn
-
-@geindex Output_Clock (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output_Clock}@anchor{d78}
-@deffn {Attribute} Output_Clock = 931
-@end deffn
-
-@geindex Output_Reset (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output_Reset}@anchor{d79}
-@deffn {Attribute} Output_Reset = 932
-@end deffn
-
-@geindex Par (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Par}@anchor{d7a}
-@deffn {Attribute} Par = 933
-@end deffn
-
-@geindex Endpar (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpar}@anchor{d7b}
-@deffn {Attribute} Endpar = 934
-@end deffn
-
-@geindex Path (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Path}@anchor{d7c}
-@deffn {Attribute} Path = 935
-@end deffn
-
-@geindex Provisos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Provisos}@anchor{d7d}
-@deffn {Attribute} Provisos = 936
-@end deffn
-
-@geindex Ready (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ready}@anchor{d7e}
-@deffn {Attribute} Ready = 937
-@end deffn
-
-@geindex Reset_By (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reset_By}@anchor{d7f}
-@deffn {Attribute} Reset_By = 938
-@end deffn
-
-@geindex Rule (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rule}@anchor{d80}
-@deffn {Attribute} Rule = 939
-@end deffn
-
-@geindex Endrule (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endrule}@anchor{d81}
-@deffn {Attribute} Endrule = 940
-@end deffn
-
-@geindex Rules (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rules}@anchor{d82}
-@deffn {Attribute} Rules = 941
-@end deffn
-
-@geindex Endrules (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endrules}@anchor{d83}
-@deffn {Attribute} Endrules = 942
-@end deffn
-
-@geindex Same_Family (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Same_Family}@anchor{d84}
-@deffn {Attribute} Same_Family = 943
-@end deffn
-
-@geindex Schedule (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Schedule}@anchor{d85}
-@deffn {Attribute} Schedule = 944
-@end deffn
-
-@geindex Seq (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Seq}@anchor{d86}
-@deffn {Attribute} Seq = 945
-@end deffn
-
-@geindex Endseq (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endseq}@anchor{d87}
-@deffn {Attribute} Endseq = 946
-@end deffn
-
-@geindex Typeclass (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Typeclass}@anchor{d88}
-@deffn {Attribute} Typeclass = 947
-@end deffn
-
-@geindex Endtypeclass (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtypeclass}@anchor{d89}
-@deffn {Attribute} Endtypeclass = 948
-@end deffn
-
-@geindex Valueof (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Valueof}@anchor{d8a}
-@deffn {Attribute} Valueof = 949
-@end deffn
-
-@geindex uValueof (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uValueof}@anchor{d8b}
-@deffn {Attribute} uValueof = 950
-@end deffn
-
-@geindex Last_BSV (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_BSV}@anchor{d8c}
-@deffn {Attribute} Last_BSV = 950
-@end deffn
-
-@geindex First_Comment (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Comment}@anchor{d8d}
-@deffn {Attribute} First_Comment = 951
-@end deffn
-
-@geindex Psl (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Psl}@anchor{d8e}
-@deffn {Attribute} Psl = 951
-@end deffn
-
-@geindex Pragma (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pragma}@anchor{d8f}
-@deffn {Attribute} Pragma = 952
-@end deffn
-
-@geindex Synthesis (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis}@anchor{d90}
-@deffn {Attribute} Synthesis = 953
-@end deffn
-
-@geindex Synopsys (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synopsys}@anchor{d91}
-@deffn {Attribute} Synopsys = 954
-@end deffn
-
-@geindex Translate_Off (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate_Off}@anchor{d92}
-@deffn {Attribute} Translate_Off = 955
-@end deffn
-
-@geindex Translate_On (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate_On}@anchor{d93}
-@deffn {Attribute} Translate_On = 956
-@end deffn
-
-@geindex Translate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate}@anchor{d94}
-@deffn {Attribute} Translate = 957
-@end deffn
-
-@geindex Synthesis_Off (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis_Off}@anchor{d95}
-@deffn {Attribute} Synthesis_Off = 958
-@end deffn
-
-@geindex Synthesis_On (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis_On}@anchor{d96}
-@deffn {Attribute} Synthesis_On = 959
-@end deffn
-
-@geindex Off (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Off}@anchor{d97}
-@deffn {Attribute} Off = 960
-@end deffn
-
-@geindex Full_Case (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Full_Case}@anchor{d98}
-@deffn {Attribute} Full_Case = 961
-@end deffn
-
-@geindex Parallel_Case (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Parallel_Case}@anchor{d99}
-@deffn {Attribute} Parallel_Case = 962
-@end deffn
-
-@geindex Last_Comment (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Comment}@anchor{d9a}
-@deffn {Attribute} Last_Comment = 962
-@end deffn
-
-@geindex First_PSL (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_PSL}@anchor{d9b}
-@deffn {Attribute} First_PSL = 963
-@end deffn
-
-@geindex A (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name A}@anchor{d9c}
-@deffn {Attribute} A = 963
-@end deffn
-
-@geindex Af (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Af}@anchor{d9d}
-@deffn {Attribute} Af = 964
-@end deffn
-
-@geindex Ag (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ag}@anchor{d9e}
-@deffn {Attribute} Ag = 965
-@end deffn
-
-@geindex Ax (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ax}@anchor{d9f}
-@deffn {Attribute} Ax = 966
-@end deffn
-
-@geindex Abort (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Abort}@anchor{da0}
-@deffn {Attribute} Abort = 967
-@end deffn
-
-@geindex Assume_Guarantee (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assume_Guarantee}@anchor{da1}
-@deffn {Attribute} Assume_Guarantee = 968
-@end deffn
-
-@geindex Async_Abort (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Async_Abort}@anchor{da2}
-@deffn {Attribute} Async_Abort = 969
-@end deffn
-
-@geindex Before (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Before}@anchor{da3}
-@deffn {Attribute} Before = 970
-@end deffn
-
-@geindex Clock (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clock}@anchor{da4}
-@deffn {Attribute} Clock = 971
-@end deffn
-
-@geindex E (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name E}@anchor{da5}
-@deffn {Attribute} E = 972
-@end deffn
-
-@geindex Ef (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ef}@anchor{da6}
-@deffn {Attribute} Ef = 973
-@end deffn
-
-@geindex Eg (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eg}@anchor{da7}
-@deffn {Attribute} Eg = 974
-@end deffn
-
-@geindex Ex (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ex}@anchor{da8}
-@deffn {Attribute} Ex = 975
-@end deffn
-
-@geindex Endpoint (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpoint}@anchor{da9}
-@deffn {Attribute} Endpoint = 976
-@end deffn
-
-@geindex Eventually (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eventually}@anchor{daa}
-@deffn {Attribute} Eventually = 977
-@end deffn
-
-@geindex Fairness (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fairness}@anchor{dab}
-@deffn {Attribute} Fairness = 978
-@end deffn
-
-@geindex Fell (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fell}@anchor{dac}
-@deffn {Attribute} Fell = 979
-@end deffn
-
-@geindex Forall (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forall}@anchor{dad}
-@deffn {Attribute} Forall = 980
-@end deffn
-
-@geindex G (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name G}@anchor{dae}
-@deffn {Attribute} G = 981
-@end deffn
-
-@geindex Inf (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inf}@anchor{daf}
-@deffn {Attribute} Inf = 982
-@end deffn
-
-@geindex Never (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Never}@anchor{db0}
-@deffn {Attribute} Never = 983
-@end deffn
-
-@geindex Next_A (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_A}@anchor{db1}
-@deffn {Attribute} Next_A = 984
-@end deffn
-
-@geindex Next_E (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_E}@anchor{db2}
-@deffn {Attribute} Next_E = 985
-@end deffn
-
-@geindex Next_Event (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event}@anchor{db3}
-@deffn {Attribute} Next_Event = 986
-@end deffn
-
-@geindex Next_Event_A (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event_A}@anchor{db4}
-@deffn {Attribute} Next_Event_A = 987
-@end deffn
-
-@geindex Next_Event_E (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event_E}@anchor{db5}
-@deffn {Attribute} Next_Event_E = 988
-@end deffn
-
-@geindex Onehot (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Onehot}@anchor{db6}
-@deffn {Attribute} Onehot = 989
-@end deffn
-
-@geindex Onehot0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Onehot0}@anchor{db7}
-@deffn {Attribute} Onehot0 = 990
-@end deffn
-
-@geindex Prev (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Prev}@anchor{db8}
-@deffn {Attribute} Prev = 991
-@end deffn
-
-@geindex Rose (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rose}@anchor{db9}
-@deffn {Attribute} Rose = 992
-@end deffn
-
-@geindex Strong (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong}@anchor{dba}
-@deffn {Attribute} Strong = 993
-@end deffn
-
-@geindex Sync_Abort (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sync_Abort}@anchor{dbb}
-@deffn {Attribute} Sync_Abort = 994
-@end deffn
-
-@geindex W (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name W}@anchor{dbc}
-@deffn {Attribute} W = 995
-@end deffn
-
-@geindex Whilenot (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Whilenot}@anchor{dbd}
-@deffn {Attribute} Whilenot = 996
-@end deffn
-
-@geindex Within (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Within}@anchor{dbe}
-@deffn {Attribute} Within = 997
-@end deffn
-
-@geindex X (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name X}@anchor{dbf}
-@deffn {Attribute} X = 998
-@end deffn
-
-@geindex Last_PSL (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_PSL}@anchor{dc0}
-@deffn {Attribute} Last_PSL = 998
-@end deffn
-
-@geindex First_Edif (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Edif}@anchor{dc1}
-@deffn {Attribute} First_Edif = 999
-@end deffn
-
-@geindex Celltype (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Celltype}@anchor{dc2}
-@deffn {Attribute} Celltype = 1009
-@end deffn
-
-@geindex View (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name View}@anchor{dc3}
-@deffn {Attribute} View = 1010
-@end deffn
-
-@geindex Viewtype (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Viewtype}@anchor{dc4}
-@deffn {Attribute} Viewtype = 1011
-@end deffn
-
-@geindex Direction (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Direction}@anchor{dc5}
-@deffn {Attribute} Direction = 1012
-@end deffn
-
-@geindex Contents (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Contents}@anchor{dc6}
-@deffn {Attribute} Contents = 1013
-@end deffn
-
-@geindex Net (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Net}@anchor{dc7}
-@deffn {Attribute} Net = 1014
-@end deffn
-
-@geindex Viewref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Viewref}@anchor{dc8}
-@deffn {Attribute} Viewref = 1015
-@end deffn
-
-@geindex Cellref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cellref}@anchor{dc9}
-@deffn {Attribute} Cellref = 1016
-@end deffn
-
-@geindex Libraryref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Libraryref}@anchor{dca}
-@deffn {Attribute} Libraryref = 1017
-@end deffn
-
-@geindex Portinstance (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Portinstance}@anchor{dcb}
-@deffn {Attribute} Portinstance = 1018
-@end deffn
-
-@geindex Joined (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Joined}@anchor{dcc}
-@deffn {Attribute} Joined = 1019
-@end deffn
-
-@geindex Portref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Portref}@anchor{dcd}
-@deffn {Attribute} Portref = 1020
-@end deffn
-
-@geindex Instanceref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instanceref}@anchor{dce}
-@deffn {Attribute} Instanceref = 1021
-@end deffn
-
-@geindex Design (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Design}@anchor{dcf}
-@deffn {Attribute} Design = 1022
-@end deffn
+__________________________________________________________________
-@geindex Designator (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Designator}@anchor{dd0}
-@deffn {Attribute} Designator = 1023
-@end deffn
-@geindex Owner (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Owner}@anchor{dd1}
-@deffn {Attribute} Owner = 1024
-@end deffn
-
-@geindex Member (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Member}@anchor{dd2}
-@deffn {Attribute} Member = 1025
-@end deffn
+`Classes'
-@geindex Number (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Number}@anchor{dd3}
-@deffn {Attribute} Number = 1026
-@end deffn
-
-@geindex Rename (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rename}@anchor{dd4}
-@deffn {Attribute} Rename = 1027
-@end deffn
+@geindex Name (class in pyGHDL.libghdl.std_names)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name}@anchor{7a3}
+@deffn {Class} pyGHDL.libghdl.std_names.Name
-@geindex Userdata (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Userdata}@anchor{dd5}
-@deffn {Attribute} Userdata = 1028
-@end deffn
+@subsubheading Inheritance
-@geindex Last_Edif (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Edif}@anchor{dd6}
-@deffn {Attribute} Last_Edif = 1028
-@end deffn
+@image{inheritance-acd157b4ec02feb93c8de361fba52147cccfe359,,,[graphviz],png}
@end deffn
@c # Load pre-defined aliases and graphical characters like © from docutils
@@ -30910,54 +30981,62 @@ Undocumented.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl str_table,pyGHDL libghdl utils,pyGHDL libghdl std_names,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl str_table doc}@anchor{dd7}@anchor{pyGHDL/pyGHDL libghdl str_table module-pyGHDL libghdl str_table}@anchor{26}@anchor{pyGHDL/pyGHDL libghdl str_table pyghdl-libghdl-str-table}@anchor{dd8}
-@subsection pyGHDL.libghdl.str_table
+@anchor{pyGHDL/pyGHDL libghdl str_table doc}@anchor{895}@anchor{pyGHDL/pyGHDL libghdl str_table module-pyGHDL libghdl str_table}@anchor{28}@anchor{pyGHDL/pyGHDL libghdl str_table pyghdl-libghdl-str-table}@anchor{896}
+@subsection @code{pyGHDL.libghdl.str_table}
@geindex module; pyGHDL.libghdl.str_table
-@c #-----------------------------------
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{dd9,,_String8_Address()}:
+@ref{897,,_String8_Address()}:
@item
-@ref{dda,,Get_String8_Ptr()}:
+@ref{898,,Get_String8_Ptr()}:
Get the address of string8 ID. Note that as soon as a character is appended
@end itemize
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex _String8_Address() (in module pyGHDL.libghdl.str_table)
-@anchor{pyGHDL/pyGHDL libghdl str_table pyGHDL libghdl str_table _String8_Address}@anchor{dd9}
+@anchor{pyGHDL/pyGHDL libghdl str_table pyGHDL libghdl str_table _String8_Address}@anchor{897}
@deffn {Function} pyGHDL.libghdl.str_table._String8_Address (Id)
@*Return type:
-c_char_p@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_char_p}
+c_char_p@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_char_p}
+
+
+@*Parameters:
+@code{Id} (@code{String8Id}) –
@end deffn
@geindex Get_String8_Ptr() (in module pyGHDL.libghdl.str_table)
-@anchor{pyGHDL/pyGHDL libghdl str_table pyGHDL libghdl str_table Get_String8_Ptr}@anchor{dda}
+@anchor{pyGHDL/pyGHDL libghdl str_table pyGHDL libghdl str_table Get_String8_Ptr}@anchor{898}
@deffn {Function} pyGHDL.libghdl.str_table.Get_String8_Ptr (Id, Length)
Get the address of string8 ID. Note that as soon as a character is appended
@@ -30965,11 +31044,19 @@ Get the address of string8 ID. Note that as soon as a character is appended
address previously returned is not valid anymore.
@*Parameters:
-@code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{String8Id}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – String8Id for the string to query.
+
+@itemize *
+
+@item
+@code{Id} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{String8Id}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – String8Id for the string to query.
+
+@item
+@code{Length} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
+@end itemize
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
@*Returns:
@@ -30986,270 +31073,294 @@ String8 as string.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl utils,pyGHDL libghdl vhdl,pyGHDL libghdl str_table,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl utils doc}@anchor{ddb}@anchor{pyGHDL/pyGHDL libghdl utils module-pyGHDL libghdl utils}@anchor{27}@anchor{pyGHDL/pyGHDL libghdl utils pyghdl-libghdl-utils}@anchor{ddc}
-@subsection pyGHDL.libghdl.utils
+@anchor{pyGHDL/pyGHDL libghdl utils doc}@anchor{899}@anchor{pyGHDL/pyGHDL libghdl utils module-pyGHDL libghdl utils}@anchor{29}@anchor{pyGHDL/pyGHDL libghdl utils pyghdl-libghdl-utils}@anchor{89a}
+@subsection @code{pyGHDL.libghdl.utils}
@geindex module; pyGHDL.libghdl.utils
-@c #-----------------------------------
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{ddd,,name_image()}:
+@ref{89b,,name_image()}:
Lookup a @code{Id} and return its string.
@item
-@ref{dde,,fields_image()}:
+@ref{89c,,fields_image()}:
String representation of Nodes_Meta.fields @code{idx}.
@item
-@ref{ddf,,kind_image()}:
+@ref{89d,,kind_image()}:
String representation of Nodes.Iir_Kind @code{k}.
@item
-@ref{de0,,types_image()}:
+@ref{89e,,types_image()}:
String representation of Nodes_Meta.Types @code{t}.
@item
-@ref{de1,,attr_image()}:
+@ref{89f,,attr_image()}:
String representation of Nodes_Meta.Attr @code{a}.
@item
-@ref{de2,,leftest_location()}:
+@ref{8a0,,leftest_location()}:
Undocumented.
@item
-@ref{de3,,fields_iter()}:
+@ref{8a1,,fields_iter()}:
Iterate on fields of node @code{n}.
@item
-@ref{de4,,chain_iter()}:
+@ref{8a2,,chain_iter()}:
Iterate of a chain headed by node @code{n}.
@item
-@ref{de5,,chain_to_list()}:
+@ref{8a3,,chain_to_list()}:
Convert a chain headed by node @code{n} to a Python list.
@item
-@ref{de6,,nodes_iter()}:
+@ref{8a4,,nodes_iter()}:
Iterate all nodes of @code{n}, including @code{n}.
@item
-@ref{de7,,list_iter()}:
+@ref{8a5,,list_iter()}:
Iterate all element of Iir_List @code{lst}.
@item
-@ref{de8,,flist_iter()}:
+@ref{8a6,,flist_iter()}:
Iterate all element of Iir_List @code{lst}.
@item
-@ref{de9,,declarations_iter()}:
+@ref{8a7,,declarations_iter()}:
Iterate all declarations in node @code{n}.
@item
-@ref{dea,,concurrent_stmts_iter()}:
+@ref{8a8,,concurrent_stmts_iter()}:
Iterate concurrent statements in node @code{n}.
@item
-@ref{deb,,constructs_iter()}:
+@ref{8a9,,constructs_iter()}:
Iterate library units, concurrent statements and declarations
@item
-@ref{dec,,sequential_iter()}:
+@ref{8aa,,sequential_iter()}:
Iterate sequential statements. The first node must be either
@end itemize
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex name_image() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils name_image}@anchor{ddd}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils name_image}@anchor{89b}
@deffn {Function} pyGHDL.libghdl.utils.name_image (Id)
Lookup a @code{Id} and return its string.
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+
+@*Parameters:
+@code{Id} (@code{NameId}) –
@end deffn
@geindex fields_image() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_image}@anchor{dde}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_image}@anchor{89c}
@deffn {Function} pyGHDL.libghdl.utils.fields_image (idx)
String representation of Nodes_Meta.fields @code{idx}.
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+
+@*Parameters:
+@code{idx} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
@end deffn
@geindex kind_image() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils kind_image}@anchor{ddf}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils kind_image}@anchor{89d}
@deffn {Function} pyGHDL.libghdl.utils.kind_image (k)
String representation of Nodes.Iir_Kind @code{k}.
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+
+@*Parameters:
+@code{k} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
@end deffn
@geindex types_image() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils types_image}@anchor{de0}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils types_image}@anchor{89e}
@deffn {Function} pyGHDL.libghdl.utils.types_image (t)
String representation of Nodes_Meta.Types @code{t}.
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+
+@*Parameters:
+@code{t} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
@end deffn
@geindex attr_image() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils attr_image}@anchor{de1}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils attr_image}@anchor{89f}
@deffn {Function} pyGHDL.libghdl.utils.attr_image (a)
String representation of Nodes_Meta.Attr @code{a}.
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
+
+
+@*Parameters:
+@code{a} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
@end deffn
@geindex leftest_location() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils leftest_location}@anchor{de2}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils leftest_location}@anchor{8a0}
@deffn {Function} pyGHDL.libghdl.utils.leftest_location (n)
@end deffn
@geindex fields_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_iter}@anchor{de3}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_iter}@anchor{8a1}
@deffn {Function} pyGHDL.libghdl.utils.fields_iter (n)
Iterate on fields of node @code{n}.
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
@end deffn
@geindex chain_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_iter}@anchor{de4}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_iter}@anchor{8a2}
@deffn {Function} pyGHDL.libghdl.utils.chain_iter (n)
Iterate of a chain headed by node @code{n}.
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
@end deffn
@geindex chain_to_list() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_to_list}@anchor{de5}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_to_list}@anchor{8a3}
@deffn {Function} pyGHDL.libghdl.utils.chain_to_list (n)
Convert a chain headed by node @code{n} to a Python list.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}]
+List@footnote{https://docs.python.org/3/library/typing.html#typing.List}[Any@footnote{https://docs.python.org/3/library/typing.html#typing.Any}]
@end deffn
@geindex nodes_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils nodes_iter}@anchor{de6}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils nodes_iter}@anchor{8a4}
@deffn {Function} pyGHDL.libghdl.utils.nodes_iter (n)
Iterate all nodes of @code{n}, including @code{n}.
Nodes are returned only once.
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
@end deffn
@geindex list_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils list_iter}@anchor{de7}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils list_iter}@anchor{8a5}
@deffn {Function} pyGHDL.libghdl.utils.list_iter (lst)
Iterate all element of Iir_List @code{lst}.
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
@end deffn
@geindex flist_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils flist_iter}@anchor{de8}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils flist_iter}@anchor{8a6}
@deffn {Function} pyGHDL.libghdl.utils.flist_iter (lst)
Iterate all element of Iir_List @code{lst}.
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
@end deffn
@geindex declarations_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils declarations_iter}@anchor{de9}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils declarations_iter}@anchor{8a7}
@deffn {Function} pyGHDL.libghdl.utils.declarations_iter (n)
Iterate all declarations in node @code{n}.
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
@end deffn
@geindex concurrent_stmts_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils concurrent_stmts_iter}@anchor{dea}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils concurrent_stmts_iter}@anchor{8a8}
@deffn {Function} pyGHDL.libghdl.utils.concurrent_stmts_iter (n)
Iterate concurrent statements in node @code{n}.
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
@end deffn
@geindex constructs_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils constructs_iter}@anchor{deb}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils constructs_iter}@anchor{8a9}
@deffn {Function} pyGHDL.libghdl.utils.constructs_iter (n)
Iterate library units, concurrent statements and declarations
that appear directly within a declarative part.
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
@end deffn
@geindex sequential_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils sequential_iter}@anchor{dec}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils sequential_iter}@anchor{8aa}
@deffn {Function} pyGHDL.libghdl.utils.sequential_iter (n)
Iterate sequential statements. The first node must be either
a process or a subprogram body.
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3/library/typing.html#typing.Generator}[Any@footnote{https://docs.python.org/3/library/typing.html#typing.Any}, None@footnote{https://docs.python.org/3/library/constants.html#None}, None@footnote{https://docs.python.org/3/library/constants.html#None}]
@end deffn
@@ -31262,27 +31373,29 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl vhdl,,pyGHDL libghdl utils,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl doc}@anchor{ded}@anchor{pyGHDL/pyGHDL libghdl vhdl module-pyGHDL libghdl vhdl}@anchor{28}@anchor{pyGHDL/pyGHDL libghdl vhdl pyghdl-libghdl-vhdl}@anchor{dee}
-@subsection pyGHDL.libghdl.vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl doc}@anchor{8ab}@anchor{pyGHDL/pyGHDL libghdl vhdl module-pyGHDL libghdl vhdl}@anchor{2a}@anchor{pyGHDL/pyGHDL libghdl vhdl pyghdl-libghdl-vhdl}@anchor{8ac}
+@subsection @code{pyGHDL.libghdl.vhdl}
@geindex module; pyGHDL.libghdl.vhdl
-@c #-----------------------------------
-
-@strong{Submodules}
+`Submodules'
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@@ -31293,17 +31406,21 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@menu
* pyGHDL.libghdl.vhdl.canon: pyGHDL libghdl vhdl canon.
* pyGHDL.libghdl.vhdl.elocations: pyGHDL libghdl vhdl elocations.
@@ -31315,6 +31432,8 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
* pyGHDL.libghdl.vhdl.nodes_meta: pyGHDL libghdl vhdl nodes_meta.
* pyGHDL.libghdl.vhdl.nodes_utils: pyGHDL libghdl vhdl nodes_utils.
* pyGHDL.libghdl.vhdl.parse: pyGHDL libghdl vhdl parse.
+* pyGHDL.libghdl.vhdl.prints: pyGHDL libghdl vhdl prints.
+* pyGHDL.libghdl.vhdl.scanner: pyGHDL libghdl vhdl scanner.
* pyGHDL.libghdl.vhdl.sem: pyGHDL libghdl vhdl sem.
* pyGHDL.libghdl.vhdl.sem_lib: pyGHDL libghdl vhdl sem_lib.
* pyGHDL.libghdl.vhdl.std_package: pyGHDL libghdl vhdl std_package.
@@ -31324,31 +31443,35 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@end menu
@node pyGHDL libghdl vhdl canon,pyGHDL libghdl vhdl elocations,,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl canon doc}@anchor{def}@anchor{pyGHDL/pyGHDL libghdl vhdl canon module-pyGHDL libghdl vhdl canon}@anchor{29}@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyghdl-libghdl-vhdl-canon}@anchor{df0}
-@subsubsection pyGHDL.libghdl.vhdl.canon
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon doc}@anchor{8ad}@anchor{pyGHDL/pyGHDL libghdl vhdl canon module-pyGHDL libghdl vhdl canon}@anchor{2b}@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyghdl-libghdl-vhdl-canon}@anchor{8ae}
+@subsubsection @code{pyGHDL.libghdl.vhdl.canon}
@geindex module; pyGHDL.libghdl.vhdl.canon
-@c #-----------------------------------
-
-@strong{Variables}
+`Variables'
@itemize -
@item
-@ref{df1,,Flag_Concurrent_Stmts}
+@ref{8af,,Flag_Concurrent_Stmts}
@item
-@ref{df2,,Flag_Configurations}
+@ref{8b0,,Flag_Configurations}
@item
-@ref{df3,,Flag_Associations}
+@ref{8b1,,Flag_Associations}
@end itemize
+
+__________________________________________________________________
+
+
+`Variables'
+
@geindex Flag_Concurrent_Stmts (in module pyGHDL.libghdl.vhdl.canon)
-@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Concurrent_Stmts}@anchor{df1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Concurrent_Stmts}@anchor{8af}
@deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Concurrent_Stmts
@example
@@ -31357,7 +31480,7 @@ c_bool(True)
@end deffn
@geindex Flag_Configurations (in module pyGHDL.libghdl.vhdl.canon)
-@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Configurations}@anchor{df2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Configurations}@anchor{8b0}
@deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Configurations
@example
@@ -31366,7 +31489,7 @@ c_bool(True)
@end deffn
@geindex Flag_Associations (in module pyGHDL.libghdl.vhdl.canon)
-@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Associations}@anchor{df3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Associations}@anchor{8b1}
@deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Associations
@example
@@ -31383,385 +31506,389 @@ c_bool(True)
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl vhdl elocations,pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl canon,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations doc}@anchor{df4}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations module-pyGHDL libghdl vhdl elocations}@anchor{2a}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyghdl-libghdl-vhdl-elocations}@anchor{df5}
-@subsubsection pyGHDL.libghdl.vhdl.elocations
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations doc}@anchor{8b2}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations module-pyGHDL libghdl vhdl elocations}@anchor{2c}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyghdl-libghdl-vhdl-elocations}@anchor{8b3}
+@subsubsection @code{pyGHDL.libghdl.vhdl.elocations}
@geindex module; pyGHDL.libghdl.vhdl.elocations
-@c #-----------------------------------
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{df6,,Get_Start_Location()}:
+@ref{8b4,,Get_Start_Location()}:
Undocumented.
@item
-@ref{df7,,Set_Start_Location()}:
+@ref{8b5,,Set_Start_Location()}:
Undocumented.
@item
-@ref{df8,,Get_Right_Paren_Location()}:
+@ref{8b6,,Get_Right_Paren_Location()}:
Undocumented.
@item
-@ref{df9,,Set_Right_Paren_Location()}:
+@ref{8b7,,Set_Right_Paren_Location()}:
Undocumented.
@item
-@ref{dfa,,Get_End_Location()}:
+@ref{8b8,,Get_End_Location()}:
Undocumented.
@item
-@ref{dfb,,Set_End_Location()}:
+@ref{8b9,,Set_End_Location()}:
Undocumented.
@item
-@ref{dfc,,Get_Is_Location()}:
+@ref{8ba,,Get_Is_Location()}:
Undocumented.
@item
-@ref{dfd,,Set_Is_Location()}:
+@ref{8bb,,Set_Is_Location()}:
Undocumented.
@item
-@ref{dfe,,Get_Begin_Location()}:
+@ref{8bc,,Get_Begin_Location()}:
Undocumented.
@item
-@ref{dff,,Set_Begin_Location()}:
+@ref{8bd,,Set_Begin_Location()}:
Undocumented.
@item
-@ref{e00,,Get_Then_Location()}:
+@ref{8be,,Get_Then_Location()}:
Undocumented.
@item
-@ref{e01,,Set_Then_Location()}:
+@ref{8bf,,Set_Then_Location()}:
Undocumented.
@item
-@ref{e02,,Get_Use_Location()}:
+@ref{8c0,,Get_Use_Location()}:
Undocumented.
@item
-@ref{e03,,Set_Use_Location()}:
+@ref{8c1,,Set_Use_Location()}:
Undocumented.
@item
-@ref{e04,,Get_Loop_Location()}:
+@ref{8c2,,Get_Loop_Location()}:
Undocumented.
@item
-@ref{e05,,Set_Loop_Location()}:
+@ref{8c3,,Set_Loop_Location()}:
Undocumented.
@item
-@ref{e06,,Get_Generate_Location()}:
+@ref{8c4,,Get_Generate_Location()}:
Undocumented.
@item
-@ref{e07,,Set_Generate_Location()}:
+@ref{8c5,,Set_Generate_Location()}:
Undocumented.
@item
-@ref{e08,,Get_Generic_Location()}:
+@ref{8c6,,Get_Generic_Location()}:
Undocumented.
@item
-@ref{e09,,Set_Generic_Location()}:
+@ref{8c7,,Set_Generic_Location()}:
Undocumented.
@item
-@ref{e0a,,Get_Port_Location()}:
+@ref{8c8,,Get_Port_Location()}:
Undocumented.
@item
-@ref{e0b,,Set_Port_Location()}:
+@ref{8c9,,Set_Port_Location()}:
Undocumented.
@item
-@ref{e0c,,Get_Generic_Map_Location()}:
+@ref{8ca,,Get_Generic_Map_Location()}:
Undocumented.
@item
-@ref{e0d,,Set_Generic_Map_Location()}:
+@ref{8cb,,Set_Generic_Map_Location()}:
Undocumented.
@item
-@ref{e0e,,Get_Port_Map_Location()}:
+@ref{8cc,,Get_Port_Map_Location()}:
Undocumented.
@item
-@ref{e0f,,Set_Port_Map_Location()}:
+@ref{8cd,,Set_Port_Map_Location()}:
Undocumented.
@item
-@ref{e10,,Get_Arrow_Location()}:
+@ref{8ce,,Get_Arrow_Location()}:
Undocumented.
@item
-@ref{e11,,Set_Arrow_Location()}:
+@ref{8cf,,Set_Arrow_Location()}:
Undocumented.
@item
-@ref{e12,,Get_Colon_Location()}:
+@ref{8d0,,Get_Colon_Location()}:
Undocumented.
@item
-@ref{e13,,Set_Colon_Location()}:
+@ref{8d1,,Set_Colon_Location()}:
Undocumented.
@item
-@ref{e14,,Get_Assign_Location()}:
+@ref{8d2,,Get_Assign_Location()}:
Undocumented.
@item
-@ref{e15,,Set_Assign_Location()}:
+@ref{8d3,,Set_Assign_Location()}:
Undocumented.
@end itemize
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex Get_Start_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Start_Location}@anchor{df6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Start_Location}@anchor{8b4}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Start_Location (obj)
@end deffn
@geindex Set_Start_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Start_Location}@anchor{df7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Start_Location}@anchor{8b5}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Start_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Right_Paren_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Right_Paren_Location}@anchor{df8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Right_Paren_Location}@anchor{8b6}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Right_Paren_Location (obj)
@end deffn
@geindex Set_Right_Paren_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Right_Paren_Location}@anchor{df9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Right_Paren_Location}@anchor{8b7}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Right_Paren_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_End_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_End_Location}@anchor{dfa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_End_Location}@anchor{8b8}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_End_Location (obj)
@end deffn
@geindex Set_End_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_End_Location}@anchor{dfb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_End_Location}@anchor{8b9}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_End_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Is_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Is_Location}@anchor{dfc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Is_Location}@anchor{8ba}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Is_Location (obj)
@end deffn
@geindex Set_Is_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Is_Location}@anchor{dfd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Is_Location}@anchor{8bb}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Is_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Begin_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Begin_Location}@anchor{dfe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Begin_Location}@anchor{8bc}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Begin_Location (obj)
@end deffn
@geindex Set_Begin_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Begin_Location}@anchor{dff}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Begin_Location}@anchor{8bd}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Begin_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Then_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Then_Location}@anchor{e00}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Then_Location}@anchor{8be}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Then_Location (obj)
@end deffn
@geindex Set_Then_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Then_Location}@anchor{e01}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Then_Location}@anchor{8bf}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Then_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Use_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Use_Location}@anchor{e02}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Use_Location}@anchor{8c0}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Use_Location (obj)
@end deffn
@geindex Set_Use_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Use_Location}@anchor{e03}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Use_Location}@anchor{8c1}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Use_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Loop_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Loop_Location}@anchor{e04}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Loop_Location}@anchor{8c2}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Loop_Location (obj)
@end deffn
@geindex Set_Loop_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Loop_Location}@anchor{e05}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Loop_Location}@anchor{8c3}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Loop_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Generate_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generate_Location}@anchor{e06}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generate_Location}@anchor{8c4}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generate_Location (obj)
@end deffn
@geindex Set_Generate_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generate_Location}@anchor{e07}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generate_Location}@anchor{8c5}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generate_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Generic_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Location}@anchor{e08}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Location}@anchor{8c6}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generic_Location (obj)
@end deffn
@geindex Set_Generic_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Location}@anchor{e09}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Location}@anchor{8c7}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generic_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Port_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Location}@anchor{e0a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Location}@anchor{8c8}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Port_Location (obj)
@end deffn
@geindex Set_Port_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Location}@anchor{e0b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Location}@anchor{8c9}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Port_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Generic_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Map_Location}@anchor{e0c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Map_Location}@anchor{8ca}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generic_Map_Location (obj)
@end deffn
@geindex Set_Generic_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Map_Location}@anchor{e0d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Map_Location}@anchor{8cb}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generic_Map_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Port_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Map_Location}@anchor{e0e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Map_Location}@anchor{8cc}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Port_Map_Location (obj)
@end deffn
@geindex Set_Port_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Map_Location}@anchor{e0f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Map_Location}@anchor{8cd}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Port_Map_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Arrow_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Arrow_Location}@anchor{e10}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Arrow_Location}@anchor{8ce}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Arrow_Location (obj)
@end deffn
@geindex Set_Arrow_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Arrow_Location}@anchor{e11}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Arrow_Location}@anchor{8cf}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Arrow_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Colon_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Colon_Location}@anchor{e12}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Colon_Location}@anchor{8d0}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Colon_Location (obj)
@end deffn
@geindex Set_Colon_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Colon_Location}@anchor{e13}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Colon_Location}@anchor{8d1}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Colon_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex Get_Assign_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Assign_Location}@anchor{e14}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Assign_Location}@anchor{8d2}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Assign_Location (obj)
@end deffn
@geindex Set_Assign_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Assign_Location}@anchor{e15}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Assign_Location}@anchor{8d3}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Assign_Location (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@@ -31774,65 +31901,73 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl elocations,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists doc}@anchor{e16}@anchor{pyGHDL/pyGHDL libghdl vhdl flists module-pyGHDL libghdl vhdl flists}@anchor{2b}@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyghdl-libghdl-vhdl-flists}@anchor{e17}
-@subsubsection pyGHDL.libghdl.vhdl.flists
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists doc}@anchor{8d4}@anchor{pyGHDL/pyGHDL libghdl vhdl flists module-pyGHDL libghdl vhdl flists}@anchor{2d}@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyghdl-libghdl-vhdl-flists}@anchor{8d5}
+@subsubsection @code{pyGHDL.libghdl.vhdl.flists}
@geindex module; pyGHDL.libghdl.vhdl.flists
-@c #-----------------------------------
-
-@strong{Variables}
+`Variables'
@itemize -
@item
-@ref{e18,,Ffirst}
+@ref{8d6,,Ffirst}
@end itemize
-@strong{Classes}
+`Functions'
@itemize -
@item
-@ref{e19,,Flist_Type}:
-XXX to be provided
+@ref{8d7,,Flast()}:
+Last index of @code{FList}.
+
+@item
+@ref{8d8,,Length()}:
+Get the length of @code{FList}.
+
+@item
+@ref{8d9,,Get_Nth_Element()}:
+Get the N-th element of @code{FList}.
@end itemize
-@strong{Functions}
+`Classes'
@itemize -
@item
-@ref{e1a,,Flast()}:
-Last index of @code{FList}.
+@ref{8da,,Flist_Type}:
+XXX to be provided
+@end itemize
-@item
-@ref{e1b,,Length()}:
-Get the length of @code{FList}.
-@item
-@ref{e1c,,Get_Nth_Element()}:
-Get the N-th element of @code{FList}.
-@end itemize
+__________________________________________________________________
+
+
+`Variables'
@geindex Ffirst (in module pyGHDL.libghdl.vhdl.flists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Ffirst}@anchor{e18}
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Ffirst}@anchor{8d6}
@deffn {Data} pyGHDL.libghdl.vhdl.flists.Ffirst
int([x]) -> integer
@@ -31844,7 +31979,7 @@ numbers, this truncates towards zero.
If x is not a number or if base is given, then x must be a string,
bytes, or bytearray instance representing an integer literal in the
-given base. The literal can be preceded by ‘+’ or ‘-‘ and be surrounded
+given base. The literal can be preceded by ‘+’ or ‘-’ and be surrounded
by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
Base 0 means to interpret the base from the string as an integer literal.
>>> int(‘0b100’, base=0)
@@ -31855,28 +31990,14 @@ Base 0 means to interpret the base from the string as an integer literal.
@end example
@end deffn
-@c #-----------------------------------
-@geindex Flist_Type (in module pyGHDL.libghdl.vhdl.flists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flist_Type}@anchor{e19}
-@deffn {Attribute} pyGHDL.libghdl.vhdl.flists.Flist_Type
-
-First index of a @code{FList}.
-
-@subsubheading Inheritance
-
-@image{inheritance-fe95ca1711485f06f2669f0640c0cacc60fd33bc,,,[graphviz],png}
-
-@subsubheading Members
-
-@end deffn
+__________________________________________________________________
-@c #-----------------------------------
-@strong{Functions}
+`Functions'
@geindex Flast() (in module pyGHDL.libghdl.vhdl.flists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flast}@anchor{e1a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flast}@anchor{8d7}
@deffn {Function} pyGHDL.libghdl.vhdl.flists.Flast (FList)
Last index of @code{FList}.
@@ -31888,11 +32009,11 @@ Could be used to iterate.
@end cartouche
@*Parameters:
-@code{FList} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to query.
+@code{FList} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – List to query.
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -31901,17 +32022,17 @@ Index of the last element in the list.
@end deffn
@geindex Length() (in module pyGHDL.libghdl.vhdl.flists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Length}@anchor{e1b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Length}@anchor{8d8}
@deffn {Function} pyGHDL.libghdl.vhdl.flists.Length (FList)
Get the length of @code{FList}.
@*Parameters:
-@code{FList} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to query.
+@code{FList} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – List to query.
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -31920,7 +32041,7 @@ Number of elements in the list.
@end deffn
@geindex Get_Nth_Element() (in module pyGHDL.libghdl.vhdl.flists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Get_Nth_Element}@anchor{e1c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Get_Nth_Element}@anchor{8d9}
@deffn {Function} pyGHDL.libghdl.vhdl.flists.Get_Nth_Element (FList, N)
Get the N-th element of @code{FList}.
@@ -31928,11 +32049,19 @@ Get the N-th element of @code{FList}.
First element has index 0.
@*Parameters:
-@code{FList} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to query.
+
+@itemize *
+
+@item
+@code{FList} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – List to query.
+
+@item
+@code{N} (int@footnote{https://docs.python.org/3/library/functions.html#int}) –
+@end itemize
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -31940,6 +32069,23 @@ Type: @code{El_Type}
@end deffn
+
+__________________________________________________________________
+
+
+`Classes'
+
+@geindex Flist_Type (in module pyGHDL.libghdl.vhdl.flists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flist_Type}@anchor{8da}
+@deffn {Attribute} pyGHDL.libghdl.vhdl.flists.Flist_Type
+
+First index of a @code{FList}.
+
+@subsubheading Inheritance
+
+@image{inheritance-5a4559d0ea8bc55abcb9766af0a17d4f19e40984,,,[graphviz],png}
+@end deffn
+
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -31949,58 +32095,46 @@ Type: @code{El_Type}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters doc}@anchor{e1d}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters module-pyGHDL libghdl vhdl formatters}@anchor{2c}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyghdl-libghdl-vhdl-formatters}@anchor{e1e}
-@subsubsection pyGHDL.libghdl.vhdl.formatters
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters doc}@anchor{8db}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters module-pyGHDL libghdl vhdl formatters}@anchor{2e}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyghdl-libghdl-vhdl-formatters}@anchor{8dc}
+@subsubsection @code{pyGHDL.libghdl.vhdl.formatters}
@geindex module; pyGHDL.libghdl.vhdl.formatters
-@c #-----------------------------------
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{e1f,,Indent_String()}:
+@ref{8dd,,Indent_String()}:
Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
+@end itemize
-@item
-@ref{e20,,Allocate_Handle()}:
-.. todo:: Undocumented in Ada code.
-
-@item
-@ref{e21,,Get_Length()}:
-.. todo:: Undocumented in Ada code.
-
-@item
-@ref{e22,,Get_C_String()}:
-.. todo:: Undocumented in Ada code.
-@item
-@ref{e23,,Free_Handle()}:
-.. todo:: Undocumented in Ada code.
-@end itemize
+__________________________________________________________________
-@c #-----------------------------------
-@strong{Functions}
+`Functions'
@geindex Indent_String() (in module pyGHDL.libghdl.vhdl.formatters)
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Indent_String}@anchor{e1f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Indent_String}@anchor{8dd}
@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Indent_String (File, Handle, FirstLine, LastLine)
Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
@@ -32010,97 +32144,21 @@ Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
@itemize *
@item
-@code{File} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – File to indent lines within. Type: @code{Iir_Design_File}
+@code{File} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – File to indent lines within. Type: @code{Iir_Design_File}
@item
-@code{Handle} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented. Type: @code{Vstring_Acc}
+@code{Handle} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – undocumented. Type: @code{Vstring_Acc}
@item
-@code{FirstLine} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented.
+@code{FirstLine} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – undocumented.
@item
-@code{LastLine} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented.
+@code{LastLine} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – undocumented.
@end itemize
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
-
-@geindex Allocate_Handle() (in module pyGHDL.libghdl.vhdl.formatters)
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Allocate_Handle}@anchor{e20}
-@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Allocate_Handle ()
-
-@cartouche
-@quotation Todo
-Undocumented in Ada code.
-@end quotation
-@end cartouche
-
-@*Returns:
-undocumented. Type: @code{Vstring_Acc}
-
-@end deffn
-
-@geindex Get_Length() (in module pyGHDL.libghdl.vhdl.formatters)
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Get_Length}@anchor{e21}
-@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Get_Length (Handle)
-
-@cartouche
-@quotation Todo
-Undocumented in Ada code.
-@end quotation
-@end cartouche
-
-@*Parameters:
-@code{Handle} – undocumented. Type: @code{Vstring_Acc}
-
-
-@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-
-
-@*Returns:
-undocumented.
-
-@end deffn
-
-@geindex Get_C_String() (in module pyGHDL.libghdl.vhdl.formatters)
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Get_C_String}@anchor{e22}
-@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Get_C_String (Handle)
-
-@cartouche
-@quotation Todo
-Undocumented in Ada code.
-@end quotation
-@end cartouche
-
-@*Parameters:
-@code{Handle} – undocumented. Type: @code{Vstring_Acc}
-
-
-@*Returns:
-Type: @code{Grt.Types.Ghdl_C_String}
-
-@end deffn
-
-@geindex Free_Handle() (in module pyGHDL.libghdl.vhdl.formatters)
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Free_Handle}@anchor{e23}
-@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Free_Handle (Handle)
-
-@cartouche
-@quotation Todo
-Undocumented in Ada code.
-@end quotation
-@end cartouche
-
-@*Parameters:
-@code{Handle} – undocumented. Type: @code{Vstring_Acc}
-
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@@ -32113,43 +32171,51 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl ieee doc}@anchor{e24}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee module-pyGHDL libghdl vhdl ieee}@anchor{2d}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyghdl-libghdl-vhdl-ieee}@anchor{e25}
-@subsubsection pyGHDL.libghdl.vhdl.ieee
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee doc}@anchor{8de}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee module-pyGHDL libghdl vhdl ieee}@anchor{2f}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyghdl-libghdl-vhdl-ieee}@anchor{8df}
+@subsubsection @code{pyGHDL.libghdl.vhdl.ieee}
@geindex module; pyGHDL.libghdl.vhdl.ieee
-@c #-----------------------------------
-
-@strong{Variables}
+`Variables'
@itemize -
@item
-@ref{e26,,Std_Logic_1164_Pkg}
+@ref{8e0,,Std_Logic_1164_Pkg}
@item
-@ref{e27,,Std_Logic_Type}
+@ref{8e1,,Std_Logic_Type}
@item
-@ref{e28,,Std_Logic_Vector_Type}
+@ref{8e2,,Std_Logic_Vector_Type}
@end itemize
+
+__________________________________________________________________
+
+
+`Variables'
+
@geindex Std_Logic_1164_Pkg (in module pyGHDL.libghdl.vhdl.ieee)
-@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_1164_Pkg}@anchor{e26}
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_1164_Pkg}@anchor{8e0}
@deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_1164_Pkg
@example
@@ -32158,7 +32224,7 @@ c_int(0)
@end deffn
@geindex Std_Logic_Type (in module pyGHDL.libghdl.vhdl.ieee)
-@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Type}@anchor{e27}
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Type}@anchor{8e1}
@deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_Type
@example
@@ -32167,7 +32233,7 @@ c_int(0)
@end deffn
@geindex Std_Logic_Vector_Type (in module pyGHDL.libghdl.vhdl.ieee)
-@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Vector_Type}@anchor{e28}
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Vector_Type}@anchor{8e2}
@deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_Vector_Type
@example
@@ -32184,137 +32250,80 @@ c_int(0)
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists doc}@anchor{e29}@anchor{pyGHDL/pyGHDL libghdl vhdl lists module-pyGHDL libghdl vhdl lists}@anchor{2e}@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyghdl-libghdl-vhdl-lists}@anchor{e2a}
-@subsubsection pyGHDL.libghdl.vhdl.lists
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists doc}@anchor{8e3}@anchor{pyGHDL/pyGHDL libghdl vhdl lists module-pyGHDL libghdl vhdl lists}@anchor{30}@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyghdl-libghdl-vhdl-lists}@anchor{8e4}
+@subsubsection @code{pyGHDL.libghdl.vhdl.lists}
@geindex module; pyGHDL.libghdl.vhdl.lists
-@c #-----------------------------------
-
-@strong{Classes}
-
-
-@itemize -
-
-@item
-@ref{e2b,,Iterator}:
-Structure base class
-@end itemize
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{e2c,,Iterate()}:
+@ref{8e5,,Iterate()}:
Create an iterator for a given list.
@item
-@ref{e2d,,Is_Valid()}:
+@ref{8e6,,Is_Valid()}:
Check if iterator reached the end.
@item
-@ref{e2e,,Next()}:
+@ref{8e7,,Next()}:
Move iterator to the next element.
@item
-@ref{e2f,,Get_Element()}:
+@ref{8e8,,Get_Element()}:
Get the current element from iterator.
@item
-@ref{e30,,Get_Nbr_Elements()}:
+@ref{8e9,,Get_Nbr_Elements()}:
Return the number of elements in the list.
@item
-@ref{e31,,Create_Iir_List()}:
+@ref{8ea,,Create_Iir_List()}:
Create a list.
@item
-@ref{e32,,Destroy_Iir_List()}:
+@ref{8eb,,Destroy_Iir_List()}:
Destroy a list.
@end itemize
-@c #-----------------------------------
-
-@geindex Iterator (class in pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator}@anchor{e2b}
-@deffn {Class} pyGHDL.libghdl.vhdl.lists.Iterator
-
-@subsubheading Inheritance
-
-@image{inheritance-09d94610fb1b7bb222ec2d8c9ba69f958a6e2158,,,[graphviz],png}
-
-@subsubheading Members
-
-
-@geindex _fields_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _fields_}@anchor{e33}
-@deffn {Attribute} _fields_ = [('chunk', <class 'ctypes.c_int'>), ('chunk_idx', <class 'ctypes.c_int'>), ('remain', <class 'ctypes.c_int'>)]
-@end deffn
-
-@geindex _b_base_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_base_}@anchor{e34}
-@deffn {Attribute} _b_base_
-
-the base object
-@end deffn
-
-@geindex _b_needsfree_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_needsfree_}@anchor{e35}
-@deffn {Attribute} _b_needsfree_
-
-whether the object owns the memory or not
-@end deffn
+`Classes'
-@geindex _objects (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _objects}@anchor{e36}
-@deffn {Attribute} _objects
-internal objects tree (NEVER CHANGE THIS OBJECT!)
-@end deffn
-
-@geindex chunk (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator chunk}@anchor{e37}
-@deffn {Attribute} chunk
-
-Structure/Union member
-@end deffn
-
-@geindex chunk_idx (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator chunk_idx}@anchor{e38}
-@deffn {Attribute} chunk_idx
+@itemize -
-Structure/Union member
-@end deffn
+@item
+@ref{8ec,,Iterator}:
+Structure base class
+@end itemize
-@geindex remain (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator remain}@anchor{e39}
-@deffn {Attribute} remain
-Structure/Union member
-@end deffn
-@end deffn
+__________________________________________________________________
-@c #-----------------------------------
-@strong{Functions}
+`Functions'
@geindex Iterate() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterate}@anchor{e2c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterate}@anchor{8e5}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Iterate (List)
Create an iterator for a given list.
@@ -32330,11 +32339,11 @@ while Is_Valid(It):
@end example
@*Parameters:
-@code{List} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to create an iterator from.
+@code{List} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – List to create an iterator from.
@*Return type:
-@ref{e2b,,Iterator}
+@ref{8ec,,Iterator}
@*Returns:
@@ -32343,17 +32352,17 @@ Iterator structure.
@end deffn
@geindex Is_Valid() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Is_Valid}@anchor{e2d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Is_Valid}@anchor{8e6}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Is_Valid (it)
Check if iterator reached the end.
@*Parameters:
-@code{it} (@ref{e2b,,Iterator}) – Iterator to check.
+@code{it} (@ref{8ec,,Iterator}) – Iterator to check.
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
@*Returns:
@@ -32362,17 +32371,17 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Next() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Next}@anchor{e2e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Next}@anchor{8e7}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Next (it)
Move iterator to the next element.
@*Parameters:
-@code{it} (@ref{e2b,,Iterator}) – Iterator to increment.
+@code{it} (@ref{8ec,,Iterator}) – Iterator to increment.
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
@*Returns:
@@ -32381,17 +32390,17 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Get_Element() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Element}@anchor{e2f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Element}@anchor{8e8}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Get_Element (it)
Get the current element from iterator.
@*Parameters:
-@code{it} (@ref{e2b,,Iterator}) – Iterator the get the element from.
+@code{it} (@ref{8ec,,Iterator}) – Iterator the get the element from.
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -32400,7 +32409,7 @@ The current element the iterator points to. Type: @code{El_Type}
@end deffn
@geindex Get_Nbr_Elements() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Nbr_Elements}@anchor{e30}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Nbr_Elements}@anchor{8e9}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Get_Nbr_Elements (List)
Return the number of elements in the list.
@@ -32412,11 +32421,11 @@ This is also 1 + the position of the last element.
@end cartouche
@*Parameters:
-@code{List} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – The list to use.
+@code{List} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – The list to use.
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -32425,13 +32434,13 @@ Number of list elements.
@end deffn
@geindex Create_Iir_List() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Create_Iir_List}@anchor{e31}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Create_Iir_List}@anchor{8ea}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Create_Iir_List ()
Create a list.
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -32440,18 +32449,78 @@ undocumented; Type: @code{List_Type}
@end deffn
@geindex Destroy_Iir_List() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Destroy_Iir_List}@anchor{e32}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Destroy_Iir_List}@anchor{8eb}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Destroy_Iir_List (List)
Destroy a list.
@*Parameters:
-@code{List} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – List to destroy.
+@code{List} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – List to destroy.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+@end deffn
+
+__________________________________________________________________
+
+
+`Classes'
+
+@geindex Iterator (class in pyGHDL.libghdl.vhdl.lists)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator}@anchor{8ec}
+@deffn {Class} pyGHDL.libghdl.vhdl.lists.Iterator
+
+@subsubheading Inheritance
+
+@image{inheritance-8d5a486ef5d72ff930f2d334d7bc1ae76f8abb74,,,[graphviz],png}
+
+@geindex __hash__() (pyGHDL.libghdl.vhdl.lists.Iterator method)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator __hash__}@anchor{8ed}
+@deffn {Method} __hash__ ()
+
+Return hash(self).
+@end deffn
+
+@geindex __init__() (pyGHDL.libghdl.vhdl.lists.Iterator method)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator __init__}@anchor{8ee}
+@deffn {Method} __init__ (*args, **kwargs)
+@end deffn
+
+@geindex __new__() (pyGHDL.libghdl.vhdl.lists.Iterator method)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator __new__}@anchor{8ef}
+@deffn {Method} __new__ (**kwargs)
+@end deffn
+
+@geindex __reduce__() (pyGHDL.libghdl.vhdl.lists.Iterator method)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator __reduce__}@anchor{8f0}
+@deffn {Method} __reduce__ ()
+
+Helper for pickle.
+@end deffn
+
+@geindex _b_base_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_base_}@anchor{8f1}
+@deffn {Attribute} _b_base_
+
+the base object
+@end deffn
+
+@geindex _b_needsfree_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_needsfree_}@anchor{8f2}
+@deffn {Attribute} _b_needsfree_
+
+whether the object owns the memory or not
+@end deffn
+
+@geindex _objects (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _objects}@anchor{8f3}
+@deffn {Attribute} _objects
+
+internal objects tree (NEVER CHANGE THIS OBJECT!)
+@end deffn
@end deffn
@c # Load pre-defined aliases and graphical characters like © from docutils
@@ -32463,14602 +32532,15916 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes doc}@anchor{e3a}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes module-pyGHDL libghdl vhdl nodes}@anchor{2f}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyghdl-libghdl-vhdl-nodes}@anchor{e3b}
-@subsubsection pyGHDL.libghdl.vhdl.nodes
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes doc}@anchor{8f4}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes module-pyGHDL libghdl vhdl nodes}@anchor{31}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyghdl-libghdl-vhdl-nodes}@anchor{8f5}
+@subsubsection @code{pyGHDL.libghdl.vhdl.nodes}
@geindex module; pyGHDL.libghdl.vhdl.nodes
-@c #-----------------------------------
-
-@strong{Classes}
+`Variables'
@itemize -
@item
-@ref{9ee,,Iir_Kind}:
-An enumeration.
+@ref{816,,Null_Iir}
@item
-@ref{e3c,,Iir_Kinds}:
-Undocumented.
+@ref{8f6,,Null_Iir_List}
@item
-@ref{e3d,,Iir_Mode}:
-An enumeration.
+@ref{8f7,,Iir_List_All}
@item
-@ref{e3e,,ScalarSize}:
-An enumeration.
+@ref{8f8,,Null_Iir_Flist}
@item
-@ref{e3f,,Iir_Staticness}:
-An enumeration.
+@ref{8f9,,Iir_Flist_Others}
@item
-@ref{e40,,Iir_Constraint}:
-An enumeration.
+@ref{8fa,,Iir_Flist_All}
+@end itemize
-@item
-@ref{e41,,Iir_Delay_Mechanism}:
-An enumeration.
+`Functions'
-@item
-@ref{e42,,DateStateType}:
-An enumeration.
-@item
-@ref{e43,,NumberBaseType}:
-An enumeration.
+@itemize -
@item
-@ref{e44,,Iir_Predefined}:
-An enumeration.
-@end itemize
+@ref{8fb,,Get_Kind()}:
+Get node kind.
-@strong{Functions}
+@item
+@ref{8fc,,Get_Location()}:
+@item
+@ref{8fd,,Get_First_Design_Unit()}:
-@itemize -
+@item
+@ref{8fe,,Set_First_Design_Unit()}:
@item
-@ref{e45,,Get_Kind()}:
-Get node kind.
+@ref{8ff,,Get_Last_Design_Unit()}:
@item
-@ref{e46,,Get_Location()}:
+@ref{900,,Set_Last_Design_Unit()}:
@item
-@ref{e47,,Get_First_Design_Unit()}:
+@ref{901,,Get_Library_Declaration()}:
@item
-@ref{e48,,Set_First_Design_Unit()}:
+@ref{902,,Set_Library_Declaration()}:
@item
-@ref{e49,,Get_Last_Design_Unit()}:
+@ref{903,,Get_File_Checksum()}:
@item
-@ref{e4a,,Set_Last_Design_Unit()}:
+@ref{904,,Set_File_Checksum()}:
@item
-@ref{e4b,,Get_Library_Declaration()}:
+@ref{905,,Get_Analysis_Time_Stamp()}:
@item
-@ref{e4c,,Set_Library_Declaration()}:
+@ref{906,,Set_Analysis_Time_Stamp()}:
@item
-@ref{e4d,,Get_File_Checksum()}:
+@ref{907,,Get_Design_File_Source()}:
@item
-@ref{e4e,,Set_File_Checksum()}:
+@ref{908,,Set_Design_File_Source()}:
@item
-@ref{e4f,,Get_Analysis_Time_Stamp()}:
+@ref{909,,Get_Library()}:
@item
-@ref{e50,,Set_Analysis_Time_Stamp()}:
+@ref{90a,,Set_Library()}:
@item
-@ref{e51,,Get_Design_File_Source()}:
+@ref{90b,,Get_File_Dependence_List()}:
@item
-@ref{e52,,Set_Design_File_Source()}:
+@ref{90c,,Set_File_Dependence_List()}:
@item
-@ref{e53,,Get_Library()}:
+@ref{90d,,Get_Design_File_Filename()}:
@item
-@ref{e54,,Set_Library()}:
+@ref{90e,,Set_Design_File_Filename()}:
@item
-@ref{e55,,Get_File_Dependence_List()}:
+@ref{90f,,Get_Design_File_Directory()}:
@item
-@ref{e56,,Set_File_Dependence_List()}:
+@ref{910,,Set_Design_File_Directory()}:
@item
-@ref{e57,,Get_Design_File_Filename()}:
+@ref{911,,Get_Design_File()}:
@item
-@ref{e58,,Set_Design_File_Filename()}:
+@ref{912,,Set_Design_File()}:
@item
-@ref{e59,,Get_Design_File_Directory()}:
+@ref{913,,Get_Design_File_Chain()}:
@item
-@ref{e5a,,Set_Design_File_Directory()}:
+@ref{914,,Set_Design_File_Chain()}:
@item
-@ref{e5b,,Get_Design_File()}:
+@ref{915,,Get_Library_Directory()}:
@item
-@ref{e5c,,Set_Design_File()}:
+@ref{916,,Set_Library_Directory()}:
@item
-@ref{e5d,,Get_Design_File_Chain()}:
+@ref{917,,Get_Date()}:
@item
-@ref{e5e,,Set_Design_File_Chain()}:
+@ref{918,,Set_Date()}:
@item
-@ref{e5f,,Get_Library_Directory()}:
+@ref{919,,Get_Context_Items()}:
@item
-@ref{e60,,Set_Library_Directory()}:
+@ref{91a,,Set_Context_Items()}:
@item
-@ref{e61,,Get_Date()}:
+@ref{91b,,Get_Dependence_List()}:
@item
-@ref{e62,,Set_Date()}:
+@ref{91c,,Set_Dependence_List()}:
@item
-@ref{e63,,Get_Context_Items()}:
+@ref{91d,,Get_Analysis_Checks_List()}:
@item
-@ref{e64,,Set_Context_Items()}:
+@ref{91e,,Set_Analysis_Checks_List()}:
@item
-@ref{e65,,Get_Dependence_List()}:
+@ref{91f,,Get_Date_State()}:
@item
-@ref{e66,,Set_Dependence_List()}:
+@ref{920,,Set_Date_State()}:
@item
-@ref{e67,,Get_Analysis_Checks_List()}:
+@ref{921,,Get_Guarded_Target_State()}:
@item
-@ref{e68,,Set_Analysis_Checks_List()}:
+@ref{922,,Set_Guarded_Target_State()}:
@item
-@ref{e69,,Get_Date_State()}:
+@ref{923,,Get_Library_Unit()}:
@item
-@ref{e6a,,Set_Date_State()}:
+@ref{924,,Set_Library_Unit()}:
@item
-@ref{e6b,,Get_Guarded_Target_State()}:
+@ref{925,,Get_Hash_Chain()}:
@item
-@ref{e6c,,Set_Guarded_Target_State()}:
+@ref{926,,Set_Hash_Chain()}:
@item
-@ref{e6d,,Get_Library_Unit()}:
+@ref{927,,Get_Design_Unit_Source_Pos()}:
@item
-@ref{e6e,,Set_Library_Unit()}:
+@ref{928,,Set_Design_Unit_Source_Pos()}:
@item
-@ref{e6f,,Get_Hash_Chain()}:
+@ref{929,,Get_Design_Unit_Source_Line()}:
@item
-@ref{e70,,Set_Hash_Chain()}:
+@ref{92a,,Set_Design_Unit_Source_Line()}:
@item
-@ref{e71,,Get_Design_Unit_Source_Pos()}:
+@ref{92b,,Get_Design_Unit_Source_Col()}:
@item
-@ref{e72,,Set_Design_Unit_Source_Pos()}:
+@ref{92c,,Set_Design_Unit_Source_Col()}:
@item
-@ref{e73,,Get_Design_Unit_Source_Line()}:
+@ref{92d,,Get_Value()}:
@item
-@ref{e74,,Set_Design_Unit_Source_Line()}:
+@ref{92e,,Set_Value()}:
@item
-@ref{e75,,Get_Design_Unit_Source_Col()}:
+@ref{92f,,Get_Enum_Pos()}:
@item
-@ref{e76,,Set_Design_Unit_Source_Col()}:
+@ref{930,,Set_Enum_Pos()}:
@item
-@ref{e77,,Get_Value()}:
+@ref{931,,Get_Physical_Literal()}:
@item
-@ref{e78,,Set_Value()}:
+@ref{932,,Set_Physical_Literal()}:
@item
-@ref{e79,,Get_Enum_Pos()}:
+@ref{933,,Get_Fp_Value()}:
@item
-@ref{e7a,,Set_Enum_Pos()}:
+@ref{934,,Set_Fp_Value()}:
@item
-@ref{e7b,,Get_Physical_Literal()}:
+@ref{935,,Get_Simple_Aggregate_List()}:
@item
-@ref{e7c,,Set_Physical_Literal()}:
+@ref{936,,Set_Simple_Aggregate_List()}:
@item
-@ref{e7d,,Get_Fp_Value()}:
+@ref{937,,Get_String8_Id()}:
@item
-@ref{e7e,,Set_Fp_Value()}:
+@ref{938,,Set_String8_Id()}:
@item
-@ref{e7f,,Get_Simple_Aggregate_List()}:
+@ref{939,,Get_String_Length()}:
@item
-@ref{e80,,Set_Simple_Aggregate_List()}:
+@ref{93a,,Set_String_Length()}:
@item
-@ref{e81,,Get_String8_Id()}:
+@ref{93b,,Get_Bit_String_Base()}:
@item
-@ref{e82,,Set_String8_Id()}:
+@ref{93c,,Set_Bit_String_Base()}:
@item
-@ref{e83,,Get_String_Length()}:
+@ref{93d,,Get_Has_Signed()}:
@item
-@ref{e84,,Set_String_Length()}:
+@ref{93e,,Set_Has_Signed()}:
@item
-@ref{e85,,Get_Bit_String_Base()}:
+@ref{93f,,Get_Has_Sign()}:
@item
-@ref{e86,,Set_Bit_String_Base()}:
+@ref{940,,Set_Has_Sign()}:
@item
-@ref{e87,,Get_Has_Signed()}:
+@ref{941,,Get_Has_Length()}:
@item
-@ref{e88,,Set_Has_Signed()}:
+@ref{942,,Set_Has_Length()}:
@item
-@ref{e89,,Get_Has_Sign()}:
+@ref{943,,Get_Literal_Length()}:
@item
-@ref{e8a,,Set_Has_Sign()}:
+@ref{944,,Set_Literal_Length()}:
@item
-@ref{e8b,,Get_Has_Length()}:
+@ref{945,,Get_Literal_Origin()}:
@item
-@ref{e8c,,Set_Has_Length()}:
+@ref{946,,Set_Literal_Origin()}:
@item
-@ref{e8d,,Get_Literal_Length()}:
+@ref{947,,Get_Range_Origin()}:
@item
-@ref{e8e,,Set_Literal_Length()}:
+@ref{948,,Set_Range_Origin()}:
@item
-@ref{e8f,,Get_Literal_Origin()}:
+@ref{949,,Get_Literal_Subtype()}:
@item
-@ref{e90,,Set_Literal_Origin()}:
+@ref{94a,,Set_Literal_Subtype()}:
@item
-@ref{e91,,Get_Range_Origin()}:
+@ref{94b,,Get_Allocator_Subtype()}:
@item
-@ref{e92,,Set_Range_Origin()}:
+@ref{94c,,Set_Allocator_Subtype()}:
@item
-@ref{e93,,Get_Literal_Subtype()}:
+@ref{94d,,Get_Entity_Class()}:
@item
-@ref{e94,,Set_Literal_Subtype()}:
+@ref{94e,,Set_Entity_Class()}:
@item
-@ref{e95,,Get_Allocator_Subtype()}:
+@ref{94f,,Get_Entity_Name_List()}:
@item
-@ref{e96,,Set_Allocator_Subtype()}:
+@ref{950,,Set_Entity_Name_List()}:
@item
-@ref{e97,,Get_Entity_Class()}:
+@ref{951,,Get_Attribute_Designator()}:
@item
-@ref{e98,,Set_Entity_Class()}:
+@ref{952,,Set_Attribute_Designator()}:
@item
-@ref{e99,,Get_Entity_Name_List()}:
+@ref{953,,Get_Attribute_Specification_Chain()}:
@item
-@ref{e9a,,Set_Entity_Name_List()}:
+@ref{954,,Set_Attribute_Specification_Chain()}:
@item
-@ref{e9b,,Get_Attribute_Designator()}:
+@ref{955,,Get_Attribute_Specification()}:
@item
-@ref{e9c,,Set_Attribute_Designator()}:
+@ref{956,,Set_Attribute_Specification()}:
@item
-@ref{e9d,,Get_Attribute_Specification_Chain()}:
+@ref{957,,Get_Static_Attribute_Flag()}:
@item
-@ref{e9e,,Set_Attribute_Specification_Chain()}:
+@ref{958,,Set_Static_Attribute_Flag()}:
@item
-@ref{e9f,,Get_Attribute_Specification()}:
+@ref{959,,Get_Signal_List()}:
@item
-@ref{ea0,,Set_Attribute_Specification()}:
+@ref{95a,,Set_Signal_List()}:
@item
-@ref{ea1,,Get_Static_Attribute_Flag()}:
+@ref{95b,,Get_Quantity_List()}:
@item
-@ref{ea2,,Set_Static_Attribute_Flag()}:
+@ref{95c,,Set_Quantity_List()}:
@item
-@ref{ea3,,Get_Signal_List()}:
+@ref{95d,,Get_Designated_Entity()}:
@item
-@ref{ea4,,Set_Signal_List()}:
+@ref{95e,,Set_Designated_Entity()}:
@item
-@ref{ea5,,Get_Quantity_List()}:
+@ref{95f,,Get_Formal()}:
@item
-@ref{ea6,,Set_Quantity_List()}:
+@ref{960,,Set_Formal()}:
@item
-@ref{ea7,,Get_Designated_Entity()}:
+@ref{961,,Get_Actual()}:
@item
-@ref{ea8,,Set_Designated_Entity()}:
+@ref{962,,Set_Actual()}:
@item
-@ref{ea9,,Get_Formal()}:
+@ref{963,,Get_Open_Actual()}:
@item
-@ref{eaa,,Set_Formal()}:
+@ref{964,,Set_Open_Actual()}:
@item
-@ref{eab,,Get_Actual()}:
+@ref{965,,Get_Actual_Conversion()}:
@item
-@ref{eac,,Set_Actual()}:
+@ref{966,,Set_Actual_Conversion()}:
@item
-@ref{ead,,Get_Actual_Conversion()}:
+@ref{967,,Get_Formal_Conversion()}:
@item
-@ref{eae,,Set_Actual_Conversion()}:
+@ref{968,,Set_Formal_Conversion()}:
@item
-@ref{eaf,,Get_Formal_Conversion()}:
+@ref{969,,Get_Whole_Association_Flag()}:
@item
-@ref{eb0,,Set_Formal_Conversion()}:
+@ref{96a,,Set_Whole_Association_Flag()}:
@item
-@ref{eb1,,Get_Whole_Association_Flag()}:
+@ref{96b,,Get_Collapse_Signal_Flag()}:
@item
-@ref{eb2,,Set_Whole_Association_Flag()}:
+@ref{96c,,Set_Collapse_Signal_Flag()}:
@item
-@ref{eb3,,Get_Collapse_Signal_Flag()}:
+@ref{96d,,Get_Artificial_Flag()}:
@item
-@ref{eb4,,Set_Collapse_Signal_Flag()}:
+@ref{96e,,Set_Artificial_Flag()}:
@item
-@ref{eb5,,Get_Artificial_Flag()}:
+@ref{96f,,Get_Open_Flag()}:
@item
-@ref{eb6,,Set_Artificial_Flag()}:
+@ref{970,,Set_Open_Flag()}:
@item
-@ref{eb7,,Get_Open_Flag()}:
+@ref{971,,Get_After_Drivers_Flag()}:
@item
-@ref{eb8,,Set_Open_Flag()}:
+@ref{972,,Set_After_Drivers_Flag()}:
@item
-@ref{eb9,,Get_After_Drivers_Flag()}:
+@ref{973,,Get_We_Value()}:
@item
-@ref{eba,,Set_After_Drivers_Flag()}:
+@ref{974,,Set_We_Value()}:
@item
-@ref{ebb,,Get_We_Value()}:
+@ref{975,,Get_Time()}:
@item
-@ref{ebc,,Set_We_Value()}:
+@ref{976,,Set_Time()}:
@item
-@ref{ebd,,Get_Time()}:
+@ref{977,,Get_Associated_Expr()}:
@item
-@ref{ebe,,Set_Time()}:
+@ref{978,,Set_Associated_Expr()}:
@item
-@ref{ebf,,Get_Associated_Expr()}:
+@ref{979,,Get_Associated_Block()}:
@item
-@ref{ec0,,Set_Associated_Expr()}:
+@ref{97a,,Set_Associated_Block()}:
@item
-@ref{ec1,,Get_Associated_Block()}:
+@ref{97b,,Get_Associated_Chain()}:
@item
-@ref{ec2,,Set_Associated_Block()}:
+@ref{97c,,Set_Associated_Chain()}:
@item
-@ref{ec3,,Get_Associated_Chain()}:
+@ref{97d,,Get_Choice_Name()}:
@item
-@ref{ec4,,Set_Associated_Chain()}:
+@ref{97e,,Set_Choice_Name()}:
@item
-@ref{ec5,,Get_Choice_Name()}:
+@ref{97f,,Get_Choice_Expression()}:
@item
-@ref{ec6,,Set_Choice_Name()}:
+@ref{980,,Set_Choice_Expression()}:
@item
-@ref{ec7,,Get_Choice_Expression()}:
+@ref{981,,Get_Choice_Range()}:
@item
-@ref{ec8,,Set_Choice_Expression()}:
+@ref{982,,Set_Choice_Range()}:
@item
-@ref{ec9,,Get_Choice_Range()}:
+@ref{983,,Get_Same_Alternative_Flag()}:
@item
-@ref{eca,,Set_Choice_Range()}:
+@ref{984,,Set_Same_Alternative_Flag()}:
@item
-@ref{ecb,,Get_Same_Alternative_Flag()}:
+@ref{985,,Get_Element_Type_Flag()}:
@item
-@ref{ecc,,Set_Same_Alternative_Flag()}:
+@ref{986,,Set_Element_Type_Flag()}:
@item
-@ref{ecd,,Get_Element_Type_Flag()}:
+@ref{987,,Get_Architecture()}:
@item
-@ref{ece,,Set_Element_Type_Flag()}:
+@ref{988,,Set_Architecture()}:
@item
-@ref{ecf,,Get_Architecture()}:
+@ref{989,,Get_Block_Specification()}:
@item
-@ref{ed0,,Set_Architecture()}:
+@ref{98a,,Set_Block_Specification()}:
@item
-@ref{ed1,,Get_Block_Specification()}:
+@ref{98b,,Get_Prev_Block_Configuration()}:
@item
-@ref{ed2,,Set_Block_Specification()}:
+@ref{98c,,Set_Prev_Block_Configuration()}:
@item
-@ref{ed3,,Get_Prev_Block_Configuration()}:
+@ref{98d,,Get_Configuration_Item_Chain()}:
@item
-@ref{ed4,,Set_Prev_Block_Configuration()}:
+@ref{98e,,Set_Configuration_Item_Chain()}:
@item
-@ref{ed5,,Get_Configuration_Item_Chain()}:
+@ref{98f,,Get_Attribute_Value_Chain()}:
@item
-@ref{ed6,,Set_Configuration_Item_Chain()}:
+@ref{990,,Set_Attribute_Value_Chain()}:
@item
-@ref{ed7,,Get_Attribute_Value_Chain()}:
+@ref{991,,Get_Spec_Chain()}:
@item
-@ref{ed8,,Set_Attribute_Value_Chain()}:
+@ref{992,,Set_Spec_Chain()}:
@item
-@ref{ed9,,Get_Spec_Chain()}:
+@ref{993,,Get_Value_Chain()}:
@item
-@ref{eda,,Set_Spec_Chain()}:
+@ref{994,,Set_Value_Chain()}:
@item
-@ref{edb,,Get_Value_Chain()}:
+@ref{995,,Get_Attribute_Value_Spec_Chain()}:
@item
-@ref{edc,,Set_Value_Chain()}:
+@ref{996,,Set_Attribute_Value_Spec_Chain()}:
@item
-@ref{edd,,Get_Attribute_Value_Spec_Chain()}:
+@ref{997,,Get_Entity_Name()}:
@item
-@ref{ede,,Set_Attribute_Value_Spec_Chain()}:
+@ref{998,,Set_Entity_Name()}:
@item
-@ref{edf,,Get_Entity_Name()}:
+@ref{999,,Get_Package()}:
@item
-@ref{ee0,,Set_Entity_Name()}:
+@ref{99a,,Set_Package()}:
@item
-@ref{ee1,,Get_Package()}:
+@ref{99b,,Get_Package_Body()}:
@item
-@ref{ee2,,Set_Package()}:
+@ref{99c,,Set_Package_Body()}:
@item
-@ref{ee3,,Get_Package_Body()}:
+@ref{99d,,Get_Instance_Package_Body()}:
@item
-@ref{ee4,,Set_Package_Body()}:
+@ref{99e,,Set_Instance_Package_Body()}:
@item
-@ref{ee5,,Get_Instance_Package_Body()}:
+@ref{99f,,Get_Need_Body()}:
@item
-@ref{ee6,,Set_Instance_Package_Body()}:
+@ref{9a0,,Set_Need_Body()}:
@item
-@ref{ee7,,Get_Need_Body()}:
+@ref{9a1,,Get_Macro_Expanded_Flag()}:
@item
-@ref{ee8,,Set_Need_Body()}:
+@ref{9a2,,Set_Macro_Expanded_Flag()}:
@item
-@ref{ee9,,Get_Macro_Expanded_Flag()}:
+@ref{9a3,,Get_Need_Instance_Bodies()}:
@item
-@ref{eea,,Set_Macro_Expanded_Flag()}:
+@ref{9a4,,Set_Need_Instance_Bodies()}:
@item
-@ref{eeb,,Get_Need_Instance_Bodies()}:
+@ref{9a5,,Get_Hierarchical_Name()}:
@item
-@ref{eec,,Set_Need_Instance_Bodies()}:
+@ref{9a6,,Set_Hierarchical_Name()}:
@item
-@ref{eed,,Get_Hierarchical_Name()}:
+@ref{9a7,,Get_Vunit_Item_Chain()}:
@item
-@ref{eee,,Set_Hierarchical_Name()}:
+@ref{9a8,,Set_Vunit_Item_Chain()}:
@item
-@ref{eef,,Get_Vunit_Item_Chain()}:
+@ref{9a9,,Get_Bound_Vunit_Chain()}:
@item
-@ref{ef0,,Set_Vunit_Item_Chain()}:
+@ref{9aa,,Set_Bound_Vunit_Chain()}:
@item
-@ref{ef1,,Get_Bound_Vunit_Chain()}:
+@ref{9ab,,Get_Verification_Block_Configuration()}:
@item
-@ref{ef2,,Set_Bound_Vunit_Chain()}:
+@ref{9ac,,Set_Verification_Block_Configuration()}:
@item
-@ref{ef3,,Get_Verification_Block_Configuration()}:
+@ref{9ad,,Get_Block_Configuration()}:
@item
-@ref{ef4,,Set_Verification_Block_Configuration()}:
+@ref{9ae,,Set_Block_Configuration()}:
@item
-@ref{ef5,,Get_Block_Configuration()}:
+@ref{9af,,Get_Concurrent_Statement_Chain()}:
@item
-@ref{ef6,,Set_Block_Configuration()}:
+@ref{9b0,,Set_Concurrent_Statement_Chain()}:
@item
-@ref{ef7,,Get_Concurrent_Statement_Chain()}:
+@ref{9b1,,Get_Chain()}:
@item
-@ref{ef8,,Set_Concurrent_Statement_Chain()}:
+@ref{9b2,,Set_Chain()}:
@item
-@ref{ef9,,Get_Chain()}:
+@ref{9b3,,Get_Port_Chain()}:
@item
-@ref{efa,,Set_Chain()}:
+@ref{9b4,,Set_Port_Chain()}:
@item
-@ref{efb,,Get_Port_Chain()}:
+@ref{9b5,,Get_Generic_Chain()}:
@item
-@ref{efc,,Set_Port_Chain()}:
+@ref{9b6,,Set_Generic_Chain()}:
@item
-@ref{efd,,Get_Generic_Chain()}:
+@ref{9b7,,Get_Type()}:
@item
-@ref{efe,,Set_Generic_Chain()}:
+@ref{9b8,,Set_Type()}:
@item
-@ref{eff,,Get_Type()}:
+@ref{9b9,,Get_Subtype_Indication()}:
@item
-@ref{f00,,Set_Type()}:
+@ref{9ba,,Set_Subtype_Indication()}:
@item
-@ref{f01,,Get_Subtype_Indication()}:
+@ref{9bb,,Get_Discrete_Range()}:
@item
-@ref{f02,,Set_Subtype_Indication()}:
+@ref{9bc,,Set_Discrete_Range()}:
@item
-@ref{f03,,Get_Discrete_Range()}:
+@ref{9bd,,Get_Type_Definition()}:
@item
-@ref{f04,,Set_Discrete_Range()}:
+@ref{9be,,Set_Type_Definition()}:
@item
-@ref{f05,,Get_Type_Definition()}:
+@ref{9bf,,Get_Subtype_Definition()}:
@item
-@ref{f06,,Set_Type_Definition()}:
+@ref{9c0,,Set_Subtype_Definition()}:
@item
-@ref{f07,,Get_Subtype_Definition()}:
+@ref{9c1,,Get_Incomplete_Type_Declaration()}:
@item
-@ref{f08,,Set_Subtype_Definition()}:
+@ref{9c2,,Set_Incomplete_Type_Declaration()}:
@item
-@ref{f09,,Get_Incomplete_Type_Declaration()}:
+@ref{9c3,,Get_Interface_Type_Subprograms()}:
@item
-@ref{f0a,,Set_Incomplete_Type_Declaration()}:
+@ref{9c4,,Set_Interface_Type_Subprograms()}:
@item
-@ref{f0b,,Get_Interface_Type_Subprograms()}:
+@ref{9c5,,Get_Interface_Type_Definition()}:
@item
-@ref{f0c,,Set_Interface_Type_Subprograms()}:
+@ref{9c6,,Set_Interface_Type_Definition()}:
@item
-@ref{f0d,,Get_Nature_Definition()}:
+@ref{9c7,,Get_Nature_Definition()}:
@item
-@ref{f0e,,Set_Nature_Definition()}:
+@ref{9c8,,Set_Nature_Definition()}:
@item
-@ref{f0f,,Get_Nature()}:
+@ref{9c9,,Get_Nature()}:
@item
-@ref{f10,,Set_Nature()}:
+@ref{9ca,,Set_Nature()}:
@item
-@ref{f11,,Get_Subnature_Indication()}:
+@ref{9cb,,Get_Subnature_Indication()}:
@item
-@ref{f12,,Set_Subnature_Indication()}:
+@ref{9cc,,Set_Subnature_Indication()}:
@item
-@ref{f13,,Get_Mode()}:
+@ref{9cd,,Get_Reference_Terminal_Flag()}:
@item
-@ref{f14,,Set_Mode()}:
+@ref{9ce,,Set_Reference_Terminal_Flag()}:
@item
-@ref{f15,,Get_Guarded_Signal_Flag()}:
+@ref{9cf,,Get_Mode()}:
@item
-@ref{f16,,Set_Guarded_Signal_Flag()}:
+@ref{9d0,,Set_Mode()}:
@item
-@ref{f17,,Get_Signal_Kind()}:
+@ref{9d1,,Get_Guarded_Signal_Flag()}:
@item
-@ref{f18,,Set_Signal_Kind()}:
+@ref{9d2,,Set_Guarded_Signal_Flag()}:
@item
-@ref{f19,,Get_Base_Name()}:
+@ref{9d3,,Get_Signal_Kind()}:
@item
-@ref{f1a,,Set_Base_Name()}:
+@ref{9d4,,Set_Signal_Kind()}:
@item
-@ref{f1b,,Get_Interface_Declaration_Chain()}:
+@ref{9d5,,Get_Base_Name()}:
@item
-@ref{f1c,,Set_Interface_Declaration_Chain()}:
+@ref{9d6,,Set_Base_Name()}:
@item
-@ref{f1d,,Get_Subprogram_Specification()}:
+@ref{9d7,,Get_Interface_Declaration_Chain()}:
@item
-@ref{f1e,,Set_Subprogram_Specification()}:
+@ref{9d8,,Set_Interface_Declaration_Chain()}:
@item
-@ref{f1f,,Get_Sequential_Statement_Chain()}:
+@ref{9d9,,Get_Default_Subprogram()}:
@item
-@ref{f20,,Set_Sequential_Statement_Chain()}:
+@ref{9da,,Set_Default_Subprogram()}:
@item
-@ref{f21,,Get_Simultaneous_Statement_Chain()}:
+@ref{9db,,Get_Associated_Subprogram()}:
@item
-@ref{f22,,Set_Simultaneous_Statement_Chain()}:
+@ref{9dc,,Set_Associated_Subprogram()}:
@item
-@ref{f23,,Get_Subprogram_Body()}:
+@ref{9dd,,Get_Subprogram_Specification()}:
@item
-@ref{f24,,Set_Subprogram_Body()}:
+@ref{9de,,Set_Subprogram_Specification()}:
@item
-@ref{f25,,Get_Overload_Number()}:
+@ref{9df,,Get_Sequential_Statement_Chain()}:
@item
-@ref{f26,,Set_Overload_Number()}:
+@ref{9e0,,Set_Sequential_Statement_Chain()}:
@item
-@ref{f27,,Get_Subprogram_Depth()}:
+@ref{9e1,,Get_Simultaneous_Statement_Chain()}:
@item
-@ref{f28,,Set_Subprogram_Depth()}:
+@ref{9e2,,Set_Simultaneous_Statement_Chain()}:
@item
-@ref{f29,,Get_Subprogram_Hash()}:
+@ref{9e3,,Get_Subprogram_Body()}:
@item
-@ref{f2a,,Set_Subprogram_Hash()}:
+@ref{9e4,,Set_Subprogram_Body()}:
@item
-@ref{f2b,,Get_Impure_Depth()}:
+@ref{9e5,,Get_Overload_Number()}:
@item
-@ref{f2c,,Set_Impure_Depth()}:
+@ref{9e6,,Set_Overload_Number()}:
@item
-@ref{f2d,,Get_Return_Type()}:
+@ref{9e7,,Get_Subprogram_Depth()}:
@item
-@ref{f2e,,Set_Return_Type()}:
+@ref{9e8,,Set_Subprogram_Depth()}:
@item
-@ref{f2f,,Get_Implicit_Definition()}:
+@ref{9e9,,Get_Subprogram_Hash()}:
@item
-@ref{f30,,Set_Implicit_Definition()}:
+@ref{9ea,,Set_Subprogram_Hash()}:
@item
-@ref{f31,,Get_Uninstantiated_Subprogram_Name()}:
+@ref{9eb,,Get_Impure_Depth()}:
@item
-@ref{f32,,Set_Uninstantiated_Subprogram_Name()}:
+@ref{9ec,,Set_Impure_Depth()}:
@item
-@ref{f33,,Get_Default_Value()}:
+@ref{9ed,,Get_Return_Type()}:
@item
-@ref{f34,,Set_Default_Value()}:
+@ref{9ee,,Set_Return_Type()}:
@item
-@ref{f35,,Get_Deferred_Declaration()}:
+@ref{9ef,,Get_Implicit_Definition()}:
@item
-@ref{f36,,Set_Deferred_Declaration()}:
+@ref{9f0,,Set_Implicit_Definition()}:
@item
-@ref{f37,,Get_Deferred_Declaration_Flag()}:
+@ref{9f1,,Get_Uninstantiated_Subprogram_Name()}:
@item
-@ref{f38,,Set_Deferred_Declaration_Flag()}:
+@ref{9f2,,Set_Uninstantiated_Subprogram_Name()}:
@item
-@ref{f39,,Get_Shared_Flag()}:
+@ref{9f3,,Get_Default_Value()}:
@item
-@ref{f3a,,Set_Shared_Flag()}:
+@ref{9f4,,Set_Default_Value()}:
@item
-@ref{f3b,,Get_Design_Unit()}:
+@ref{9f5,,Get_Deferred_Declaration()}:
@item
-@ref{f3c,,Set_Design_Unit()}:
+@ref{9f6,,Set_Deferred_Declaration()}:
@item
-@ref{f3d,,Get_Block_Statement()}:
+@ref{9f7,,Get_Deferred_Declaration_Flag()}:
@item
-@ref{f3e,,Set_Block_Statement()}:
+@ref{9f8,,Set_Deferred_Declaration_Flag()}:
@item
-@ref{f3f,,Get_Signal_Driver()}:
+@ref{9f9,,Get_Shared_Flag()}:
@item
-@ref{f40,,Set_Signal_Driver()}:
+@ref{9fa,,Set_Shared_Flag()}:
@item
-@ref{f41,,Get_Declaration_Chain()}:
+@ref{9fb,,Get_Design_Unit()}:
@item
-@ref{f42,,Set_Declaration_Chain()}:
+@ref{9fc,,Set_Design_Unit()}:
@item
-@ref{f43,,Get_File_Logical_Name()}:
+@ref{9fd,,Get_Block_Statement()}:
@item
-@ref{f44,,Set_File_Logical_Name()}:
+@ref{9fe,,Set_Block_Statement()}:
@item
-@ref{f45,,Get_File_Open_Kind()}:
+@ref{9ff,,Get_Signal_Driver()}:
@item
-@ref{f46,,Set_File_Open_Kind()}:
+@ref{a00,,Set_Signal_Driver()}:
@item
-@ref{f47,,Get_Element_Position()}:
+@ref{a01,,Get_Declaration_Chain()}:
@item
-@ref{f48,,Set_Element_Position()}:
+@ref{a02,,Set_Declaration_Chain()}:
@item
-@ref{f49,,Get_Use_Clause_Chain()}:
+@ref{a03,,Get_File_Logical_Name()}:
@item
-@ref{f4a,,Set_Use_Clause_Chain()}:
+@ref{a04,,Set_File_Logical_Name()}:
@item
-@ref{f4b,,Get_Context_Reference_Chain()}:
+@ref{a05,,Get_File_Open_Kind()}:
@item
-@ref{f4c,,Set_Context_Reference_Chain()}:
+@ref{a06,,Set_File_Open_Kind()}:
@item
-@ref{f4d,,Get_Inherit_Spec_Chain()}:
+@ref{a07,,Get_Element_Position()}:
@item
-@ref{f4e,,Set_Inherit_Spec_Chain()}:
+@ref{a08,,Set_Element_Position()}:
@item
-@ref{f4f,,Get_Selected_Name()}:
+@ref{a09,,Get_Use_Clause_Chain()}:
@item
-@ref{f50,,Set_Selected_Name()}:
+@ref{a0a,,Set_Use_Clause_Chain()}:
@item
-@ref{f51,,Get_Type_Declarator()}:
+@ref{a0b,,Get_Context_Reference_Chain()}:
@item
-@ref{f52,,Set_Type_Declarator()}:
+@ref{a0c,,Set_Context_Reference_Chain()}:
@item
-@ref{f53,,Get_Complete_Type_Definition()}:
+@ref{a0d,,Get_Inherit_Spec_Chain()}:
@item
-@ref{f54,,Set_Complete_Type_Definition()}:
+@ref{a0e,,Set_Inherit_Spec_Chain()}:
@item
-@ref{f55,,Get_Incomplete_Type_Ref_Chain()}:
+@ref{a0f,,Get_Selected_Name()}:
@item
-@ref{f56,,Set_Incomplete_Type_Ref_Chain()}:
+@ref{a10,,Set_Selected_Name()}:
@item
-@ref{f57,,Get_Associated_Type()}:
+@ref{a11,,Get_Type_Declarator()}:
@item
-@ref{f58,,Set_Associated_Type()}:
+@ref{a12,,Set_Type_Declarator()}:
@item
-@ref{f59,,Get_Enumeration_Literal_List()}:
+@ref{a13,,Get_Complete_Type_Definition()}:
@item
-@ref{f5a,,Set_Enumeration_Literal_List()}:
+@ref{a14,,Set_Complete_Type_Definition()}:
@item
-@ref{f5b,,Get_Entity_Class_Entry_Chain()}:
+@ref{a15,,Get_Incomplete_Type_Ref_Chain()}:
@item
-@ref{f5c,,Set_Entity_Class_Entry_Chain()}:
+@ref{a16,,Set_Incomplete_Type_Ref_Chain()}:
@item
-@ref{f5d,,Get_Group_Constituent_List()}:
+@ref{a17,,Get_Associated_Type()}:
@item
-@ref{f5e,,Set_Group_Constituent_List()}:
+@ref{a18,,Set_Associated_Type()}:
@item
-@ref{f5f,,Get_Unit_Chain()}:
+@ref{a19,,Get_Enumeration_Literal_List()}:
@item
-@ref{f60,,Set_Unit_Chain()}:
+@ref{a1a,,Set_Enumeration_Literal_List()}:
@item
-@ref{f61,,Get_Primary_Unit()}:
+@ref{a1b,,Get_Entity_Class_Entry_Chain()}:
@item
-@ref{f62,,Set_Primary_Unit()}:
+@ref{a1c,,Set_Entity_Class_Entry_Chain()}:
@item
-@ref{f63,,Get_Identifier()}:
+@ref{a1d,,Get_Group_Constituent_List()}:
@item
-@ref{f64,,Set_Identifier()}:
+@ref{a1e,,Set_Group_Constituent_List()}:
@item
-@ref{f65,,Get_Label()}:
+@ref{a1f,,Get_Unit_Chain()}:
@item
-@ref{f66,,Set_Label()}:
+@ref{a20,,Set_Unit_Chain()}:
@item
-@ref{f67,,Get_Visible_Flag()}:
+@ref{a21,,Get_Primary_Unit()}:
@item
-@ref{f68,,Set_Visible_Flag()}:
+@ref{a22,,Set_Primary_Unit()}:
@item
-@ref{f69,,Get_Range_Constraint()}:
+@ref{a23,,Get_Identifier()}:
@item
-@ref{f6a,,Set_Range_Constraint()}:
+@ref{a24,,Set_Identifier()}:
@item
-@ref{f6b,,Get_Direction()}:
+@ref{a25,,Get_Label()}:
@item
-@ref{f6c,,Set_Direction()}:
+@ref{a26,,Set_Label()}:
@item
-@ref{f6d,,Get_Left_Limit()}:
+@ref{a27,,Get_Return_Identifier()}:
@item
-@ref{f6e,,Set_Left_Limit()}:
+@ref{a28,,Set_Return_Identifier()}:
@item
-@ref{f6f,,Get_Right_Limit()}:
+@ref{a29,,Get_Visible_Flag()}:
@item
-@ref{f70,,Set_Right_Limit()}:
+@ref{a2a,,Set_Visible_Flag()}:
@item
-@ref{f71,,Get_Left_Limit_Expr()}:
+@ref{a2b,,Get_Range_Constraint()}:
@item
-@ref{f72,,Set_Left_Limit_Expr()}:
+@ref{a2c,,Set_Range_Constraint()}:
@item
-@ref{f73,,Get_Right_Limit_Expr()}:
+@ref{a2d,,Get_Direction()}:
@item
-@ref{f74,,Set_Right_Limit_Expr()}:
+@ref{a2e,,Set_Direction()}:
@item
-@ref{f75,,Get_Parent_Type()}:
+@ref{a2f,,Get_Left_Limit()}:
@item
-@ref{f76,,Set_Parent_Type()}:
+@ref{a30,,Set_Left_Limit()}:
@item
-@ref{f77,,Get_Simple_Nature()}:
+@ref{a31,,Get_Right_Limit()}:
@item
-@ref{f78,,Set_Simple_Nature()}:
+@ref{a32,,Set_Right_Limit()}:
@item
-@ref{f79,,Get_Base_Nature()}:
+@ref{a33,,Get_Left_Limit_Expr()}:
@item
-@ref{f7a,,Set_Base_Nature()}:
+@ref{a34,,Set_Left_Limit_Expr()}:
@item
-@ref{f7b,,Get_Resolution_Indication()}:
+@ref{a35,,Get_Right_Limit_Expr()}:
@item
-@ref{f7c,,Set_Resolution_Indication()}:
+@ref{a36,,Set_Right_Limit_Expr()}:
@item
-@ref{f7d,,Get_Record_Element_Resolution_Chain()}:
+@ref{a37,,Get_Parent_Type()}:
@item
-@ref{f7e,,Set_Record_Element_Resolution_Chain()}:
+@ref{a38,,Set_Parent_Type()}:
@item
-@ref{f7f,,Get_Tolerance()}:
+@ref{a39,,Get_Simple_Nature()}:
@item
-@ref{f80,,Set_Tolerance()}:
+@ref{a3a,,Set_Simple_Nature()}:
@item
-@ref{f81,,Get_Plus_Terminal_Name()}:
+@ref{a3b,,Get_Base_Nature()}:
@item
-@ref{f82,,Set_Plus_Terminal_Name()}:
+@ref{a3c,,Set_Base_Nature()}:
@item
-@ref{f83,,Get_Minus_Terminal_Name()}:
+@ref{a3d,,Get_Resolution_Indication()}:
@item
-@ref{f84,,Set_Minus_Terminal_Name()}:
+@ref{a3e,,Set_Resolution_Indication()}:
@item
-@ref{f85,,Get_Plus_Terminal()}:
+@ref{a3f,,Get_Record_Element_Resolution_Chain()}:
@item
-@ref{f86,,Set_Plus_Terminal()}:
+@ref{a40,,Set_Record_Element_Resolution_Chain()}:
@item
-@ref{f87,,Get_Minus_Terminal()}:
+@ref{a41,,Get_Tolerance()}:
@item
-@ref{f88,,Set_Minus_Terminal()}:
+@ref{a42,,Set_Tolerance()}:
@item
-@ref{f89,,Get_Magnitude_Expression()}:
+@ref{a43,,Get_Plus_Terminal_Name()}:
@item
-@ref{f8a,,Set_Magnitude_Expression()}:
+@ref{a44,,Set_Plus_Terminal_Name()}:
@item
-@ref{f8b,,Get_Phase_Expression()}:
+@ref{a45,,Get_Minus_Terminal_Name()}:
@item
-@ref{f8c,,Set_Phase_Expression()}:
+@ref{a46,,Set_Minus_Terminal_Name()}:
@item
-@ref{f8d,,Get_Power_Expression()}:
+@ref{a47,,Get_Plus_Terminal()}:
@item
-@ref{f8e,,Set_Power_Expression()}:
+@ref{a48,,Set_Plus_Terminal()}:
@item
-@ref{f8f,,Get_Simultaneous_Left()}:
+@ref{a49,,Get_Minus_Terminal()}:
@item
-@ref{f90,,Set_Simultaneous_Left()}:
+@ref{a4a,,Set_Minus_Terminal()}:
@item
-@ref{f91,,Get_Simultaneous_Right()}:
+@ref{a4b,,Get_Magnitude_Expression()}:
@item
-@ref{f92,,Set_Simultaneous_Right()}:
+@ref{a4c,,Set_Magnitude_Expression()}:
@item
-@ref{f93,,Get_Text_File_Flag()}:
+@ref{a4d,,Get_Phase_Expression()}:
@item
-@ref{f94,,Set_Text_File_Flag()}:
+@ref{a4e,,Set_Phase_Expression()}:
@item
-@ref{f95,,Get_Only_Characters_Flag()}:
+@ref{a4f,,Get_Power_Expression()}:
@item
-@ref{f96,,Set_Only_Characters_Flag()}:
+@ref{a50,,Set_Power_Expression()}:
@item
-@ref{f97,,Get_Is_Character_Type()}:
+@ref{a51,,Get_Simultaneous_Left()}:
@item
-@ref{f98,,Set_Is_Character_Type()}:
+@ref{a52,,Set_Simultaneous_Left()}:
@item
-@ref{f99,,Get_Nature_Staticness()}:
+@ref{a53,,Get_Simultaneous_Right()}:
@item
-@ref{f9a,,Set_Nature_Staticness()}:
+@ref{a54,,Set_Simultaneous_Right()}:
@item
-@ref{f9b,,Get_Type_Staticness()}:
+@ref{a55,,Get_Text_File_Flag()}:
@item
-@ref{f9c,,Set_Type_Staticness()}:
+@ref{a56,,Set_Text_File_Flag()}:
@item
-@ref{f9d,,Get_Constraint_State()}:
+@ref{a57,,Get_Only_Characters_Flag()}:
@item
-@ref{f9e,,Set_Constraint_State()}:
+@ref{a58,,Set_Only_Characters_Flag()}:
@item
-@ref{f9f,,Get_Index_Subtype_List()}:
+@ref{a59,,Get_Is_Character_Type()}:
@item
-@ref{fa0,,Set_Index_Subtype_List()}:
+@ref{a5a,,Set_Is_Character_Type()}:
@item
-@ref{fa1,,Get_Index_Subtype_Definition_List()}:
+@ref{a5b,,Get_Nature_Staticness()}:
@item
-@ref{fa2,,Set_Index_Subtype_Definition_List()}:
+@ref{a5c,,Set_Nature_Staticness()}:
@item
-@ref{fa3,,Get_Element_Subtype_Indication()}:
+@ref{a5d,,Get_Type_Staticness()}:
@item
-@ref{fa4,,Set_Element_Subtype_Indication()}:
+@ref{a5e,,Set_Type_Staticness()}:
@item
-@ref{fa5,,Get_Element_Subtype()}:
+@ref{a5f,,Get_Constraint_State()}:
@item
-@ref{fa6,,Set_Element_Subtype()}:
+@ref{a60,,Set_Constraint_State()}:
@item
-@ref{fa7,,Get_Element_Subnature_Indication()}:
+@ref{a61,,Get_Index_Subtype_List()}:
@item
-@ref{fa8,,Set_Element_Subnature_Indication()}:
+@ref{a62,,Set_Index_Subtype_List()}:
@item
-@ref{fa9,,Get_Element_Subnature()}:
+@ref{a63,,Get_Index_Subtype_Definition_List()}:
@item
-@ref{faa,,Set_Element_Subnature()}:
+@ref{a64,,Set_Index_Subtype_Definition_List()}:
@item
-@ref{fab,,Get_Index_Constraint_List()}:
+@ref{a65,,Get_Element_Subtype_Indication()}:
@item
-@ref{fac,,Set_Index_Constraint_List()}:
+@ref{a66,,Set_Element_Subtype_Indication()}:
@item
-@ref{fad,,Get_Array_Element_Constraint()}:
+@ref{a67,,Get_Element_Subtype()}:
@item
-@ref{fae,,Set_Array_Element_Constraint()}:
+@ref{a68,,Set_Element_Subtype()}:
@item
-@ref{faf,,Get_Has_Array_Constraint_Flag()}:
+@ref{a69,,Get_Element_Subnature_Indication()}:
@item
-@ref{fb0,,Set_Has_Array_Constraint_Flag()}:
+@ref{a6a,,Set_Element_Subnature_Indication()}:
@item
-@ref{fb1,,Get_Has_Element_Constraint_Flag()}:
+@ref{a6b,,Get_Element_Subnature()}:
@item
-@ref{fb2,,Set_Has_Element_Constraint_Flag()}:
+@ref{a6c,,Set_Element_Subnature()}:
@item
-@ref{fb3,,Get_Elements_Declaration_List()}:
+@ref{a6d,,Get_Index_Constraint_List()}:
@item
-@ref{fb4,,Set_Elements_Declaration_List()}:
+@ref{a6e,,Set_Index_Constraint_List()}:
@item
-@ref{fb5,,Get_Owned_Elements_Chain()}:
+@ref{a6f,,Get_Array_Element_Constraint()}:
@item
-@ref{fb6,,Set_Owned_Elements_Chain()}:
+@ref{a70,,Set_Array_Element_Constraint()}:
@item
-@ref{fb7,,Get_Designated_Type()}:
+@ref{a71,,Get_Has_Array_Constraint_Flag()}:
@item
-@ref{fb8,,Set_Designated_Type()}:
+@ref{a72,,Set_Has_Array_Constraint_Flag()}:
@item
-@ref{fb9,,Get_Designated_Subtype_Indication()}:
+@ref{a73,,Get_Has_Element_Constraint_Flag()}:
@item
-@ref{fba,,Set_Designated_Subtype_Indication()}:
+@ref{a74,,Set_Has_Element_Constraint_Flag()}:
@item
-@ref{fbb,,Get_Index_List()}:
+@ref{a75,,Get_Elements_Declaration_List()}:
@item
-@ref{fbc,,Set_Index_List()}:
+@ref{a76,,Set_Elements_Declaration_List()}:
@item
-@ref{fbd,,Get_Reference()}:
+@ref{a77,,Get_Owned_Elements_Chain()}:
@item
-@ref{fbe,,Set_Reference()}:
+@ref{a78,,Set_Owned_Elements_Chain()}:
@item
-@ref{fbf,,Get_Nature_Declarator()}:
+@ref{a79,,Get_Designated_Type()}:
@item
-@ref{fc0,,Set_Nature_Declarator()}:
+@ref{a7a,,Set_Designated_Type()}:
@item
-@ref{fc1,,Get_Across_Type_Mark()}:
+@ref{a7b,,Get_Designated_Subtype_Indication()}:
@item
-@ref{fc2,,Set_Across_Type_Mark()}:
+@ref{a7c,,Set_Designated_Subtype_Indication()}:
@item
-@ref{fc3,,Get_Through_Type_Mark()}:
+@ref{a7d,,Get_Index_List()}:
@item
-@ref{fc4,,Set_Through_Type_Mark()}:
+@ref{a7e,,Set_Index_List()}:
@item
-@ref{fc5,,Get_Across_Type_Definition()}:
+@ref{a7f,,Get_Reference()}:
@item
-@ref{fc6,,Set_Across_Type_Definition()}:
+@ref{a80,,Set_Reference()}:
@item
-@ref{fc7,,Get_Through_Type_Definition()}:
+@ref{a81,,Get_Nature_Declarator()}:
@item
-@ref{fc8,,Set_Through_Type_Definition()}:
+@ref{a82,,Set_Nature_Declarator()}:
@item
-@ref{fc9,,Get_Across_Type()}:
+@ref{a83,,Get_Across_Type_Mark()}:
@item
-@ref{fca,,Set_Across_Type()}:
+@ref{a84,,Set_Across_Type_Mark()}:
@item
-@ref{fcb,,Get_Through_Type()}:
+@ref{a85,,Get_Through_Type_Mark()}:
@item
-@ref{fcc,,Set_Through_Type()}:
+@ref{a86,,Set_Through_Type_Mark()}:
@item
-@ref{fcd,,Get_Target()}:
+@ref{a87,,Get_Across_Type_Definition()}:
@item
-@ref{fce,,Set_Target()}:
+@ref{a88,,Set_Across_Type_Definition()}:
@item
-@ref{fcf,,Get_Waveform_Chain()}:
+@ref{a89,,Get_Through_Type_Definition()}:
@item
-@ref{fd0,,Set_Waveform_Chain()}:
+@ref{a8a,,Set_Through_Type_Definition()}:
@item
-@ref{fd1,,Get_Guard()}:
+@ref{a8b,,Get_Across_Type()}:
@item
-@ref{fd2,,Set_Guard()}:
+@ref{a8c,,Set_Across_Type()}:
@item
-@ref{fd3,,Get_Delay_Mechanism()}:
+@ref{a8d,,Get_Through_Type()}:
@item
-@ref{fd4,,Set_Delay_Mechanism()}:
+@ref{a8e,,Set_Through_Type()}:
@item
-@ref{fd5,,Get_Reject_Time_Expression()}:
+@ref{a8f,,Get_Target()}:
@item
-@ref{fd6,,Set_Reject_Time_Expression()}:
+@ref{a90,,Set_Target()}:
@item
-@ref{fd7,,Get_Force_Mode()}:
+@ref{a91,,Get_Waveform_Chain()}:
@item
-@ref{fd8,,Set_Force_Mode()}:
+@ref{a92,,Set_Waveform_Chain()}:
@item
-@ref{fd9,,Get_Has_Force_Mode()}:
+@ref{a93,,Get_Guard()}:
@item
-@ref{fda,,Set_Has_Force_Mode()}:
+@ref{a94,,Set_Guard()}:
@item
-@ref{fdb,,Get_Sensitivity_List()}:
+@ref{a95,,Get_Delay_Mechanism()}:
@item
-@ref{fdc,,Set_Sensitivity_List()}:
+@ref{a96,,Set_Delay_Mechanism()}:
@item
-@ref{fdd,,Get_Process_Origin()}:
+@ref{a97,,Get_Reject_Time_Expression()}:
@item
-@ref{fde,,Set_Process_Origin()}:
+@ref{a98,,Set_Reject_Time_Expression()}:
@item
-@ref{fdf,,Get_Package_Origin()}:
+@ref{a99,,Get_Force_Mode()}:
@item
-@ref{fe0,,Set_Package_Origin()}:
+@ref{a9a,,Set_Force_Mode()}:
@item
-@ref{fe1,,Get_Condition_Clause()}:
+@ref{a9b,,Get_Has_Force_Mode()}:
@item
-@ref{fe2,,Set_Condition_Clause()}:
+@ref{a9c,,Set_Has_Force_Mode()}:
@item
-@ref{fe3,,Get_Break_Element()}:
+@ref{a9d,,Get_Sensitivity_List()}:
@item
-@ref{fe4,,Set_Break_Element()}:
+@ref{a9e,,Set_Sensitivity_List()}:
@item
-@ref{fe5,,Get_Selector_Quantity()}:
+@ref{a9f,,Get_Process_Origin()}:
@item
-@ref{fe6,,Set_Selector_Quantity()}:
+@ref{aa0,,Set_Process_Origin()}:
@item
-@ref{fe7,,Get_Break_Quantity()}:
+@ref{aa1,,Get_Package_Origin()}:
@item
-@ref{fe8,,Set_Break_Quantity()}:
+@ref{aa2,,Set_Package_Origin()}:
@item
-@ref{fe9,,Get_Timeout_Clause()}:
+@ref{aa3,,Get_Condition_Clause()}:
@item
-@ref{fea,,Set_Timeout_Clause()}:
+@ref{aa4,,Set_Condition_Clause()}:
@item
-@ref{feb,,Get_Postponed_Flag()}:
+@ref{aa5,,Get_Break_Element()}:
@item
-@ref{fec,,Set_Postponed_Flag()}:
+@ref{aa6,,Set_Break_Element()}:
@item
-@ref{fed,,Get_Callees_List()}:
+@ref{aa7,,Get_Selector_Quantity()}:
@item
-@ref{fee,,Set_Callees_List()}:
+@ref{aa8,,Set_Selector_Quantity()}:
@item
-@ref{fef,,Get_Passive_Flag()}:
+@ref{aa9,,Get_Break_Quantity()}:
@item
-@ref{ff0,,Set_Passive_Flag()}:
+@ref{aaa,,Set_Break_Quantity()}:
@item
-@ref{ff1,,Get_Resolution_Function_Flag()}:
+@ref{aab,,Get_Timeout_Clause()}:
@item
-@ref{ff2,,Set_Resolution_Function_Flag()}:
+@ref{aac,,Set_Timeout_Clause()}:
@item
-@ref{ff3,,Get_Wait_State()}:
+@ref{aad,,Get_Postponed_Flag()}:
@item
-@ref{ff4,,Set_Wait_State()}:
+@ref{aae,,Set_Postponed_Flag()}:
@item
-@ref{ff5,,Get_All_Sensitized_State()}:
+@ref{aaf,,Get_Callees_List()}:
@item
-@ref{ff6,,Set_All_Sensitized_State()}:
+@ref{ab0,,Set_Callees_List()}:
@item
-@ref{ff7,,Get_Seen_Flag()}:
+@ref{ab1,,Get_Passive_Flag()}:
@item
-@ref{ff8,,Set_Seen_Flag()}:
+@ref{ab2,,Set_Passive_Flag()}:
@item
-@ref{ff9,,Get_Pure_Flag()}:
+@ref{ab3,,Get_Resolution_Function_Flag()}:
@item
-@ref{ffa,,Set_Pure_Flag()}:
+@ref{ab4,,Set_Resolution_Function_Flag()}:
@item
-@ref{ffb,,Get_Foreign_Flag()}:
+@ref{ab5,,Get_Wait_State()}:
@item
-@ref{ffc,,Set_Foreign_Flag()}:
+@ref{ab6,,Set_Wait_State()}:
@item
-@ref{ffd,,Get_Resolved_Flag()}:
+@ref{ab7,,Get_All_Sensitized_State()}:
@item
-@ref{ffe,,Set_Resolved_Flag()}:
+@ref{ab8,,Set_All_Sensitized_State()}:
@item
-@ref{fff,,Get_Signal_Type_Flag()}:
+@ref{ab9,,Get_Seen_Flag()}:
@item
-@ref{1000,,Set_Signal_Type_Flag()}:
+@ref{aba,,Set_Seen_Flag()}:
@item
-@ref{1001,,Get_Has_Signal_Flag()}:
+@ref{abb,,Get_Pure_Flag()}:
@item
-@ref{1002,,Set_Has_Signal_Flag()}:
+@ref{abc,,Set_Pure_Flag()}:
@item
-@ref{1003,,Get_Purity_State()}:
+@ref{abd,,Get_Foreign_Flag()}:
@item
-@ref{1004,,Set_Purity_State()}:
+@ref{abe,,Set_Foreign_Flag()}:
@item
-@ref{1005,,Get_Elab_Flag()}:
+@ref{abf,,Get_Resolved_Flag()}:
@item
-@ref{1006,,Set_Elab_Flag()}:
+@ref{ac0,,Set_Resolved_Flag()}:
@item
-@ref{1007,,Get_Vendor_Library_Flag()}:
+@ref{ac1,,Get_Signal_Type_Flag()}:
@item
-@ref{1008,,Set_Vendor_Library_Flag()}:
+@ref{ac2,,Set_Signal_Type_Flag()}:
@item
-@ref{1009,,Get_Configuration_Mark_Flag()}:
+@ref{ac3,,Get_Has_Signal_Flag()}:
@item
-@ref{100a,,Set_Configuration_Mark_Flag()}:
+@ref{ac4,,Set_Has_Signal_Flag()}:
@item
-@ref{100b,,Get_Configuration_Done_Flag()}:
+@ref{ac5,,Get_Purity_State()}:
@item
-@ref{100c,,Set_Configuration_Done_Flag()}:
+@ref{ac6,,Set_Purity_State()}:
@item
-@ref{100d,,Get_Index_Constraint_Flag()}:
+@ref{ac7,,Get_Elab_Flag()}:
@item
-@ref{100e,,Set_Index_Constraint_Flag()}:
+@ref{ac8,,Set_Elab_Flag()}:
@item
-@ref{100f,,Get_Hide_Implicit_Flag()}:
+@ref{ac9,,Get_Vendor_Library_Flag()}:
@item
-@ref{1010,,Set_Hide_Implicit_Flag()}:
+@ref{aca,,Set_Vendor_Library_Flag()}:
@item
-@ref{1011,,Get_Assertion_Condition()}:
+@ref{acb,,Get_Configuration_Mark_Flag()}:
@item
-@ref{1012,,Set_Assertion_Condition()}:
+@ref{acc,,Set_Configuration_Mark_Flag()}:
@item
-@ref{1013,,Get_Report_Expression()}:
+@ref{acd,,Get_Configuration_Done_Flag()}:
@item
-@ref{1014,,Set_Report_Expression()}:
+@ref{ace,,Set_Configuration_Done_Flag()}:
@item
-@ref{1015,,Get_Severity_Expression()}:
+@ref{acf,,Get_Index_Constraint_Flag()}:
@item
-@ref{1016,,Set_Severity_Expression()}:
+@ref{ad0,,Set_Index_Constraint_Flag()}:
@item
-@ref{1017,,Get_Instantiated_Unit()}:
+@ref{ad1,,Get_Hide_Implicit_Flag()}:
@item
-@ref{1018,,Set_Instantiated_Unit()}:
+@ref{ad2,,Set_Hide_Implicit_Flag()}:
@item
-@ref{1019,,Get_Generic_Map_Aspect_Chain()}:
+@ref{ad3,,Get_Assertion_Condition()}:
@item
-@ref{101a,,Set_Generic_Map_Aspect_Chain()}:
+@ref{ad4,,Set_Assertion_Condition()}:
@item
-@ref{101b,,Get_Port_Map_Aspect_Chain()}:
+@ref{ad5,,Get_Report_Expression()}:
@item
-@ref{101c,,Set_Port_Map_Aspect_Chain()}:
+@ref{ad6,,Set_Report_Expression()}:
@item
-@ref{101d,,Get_Configuration_Name()}:
+@ref{ad7,,Get_Severity_Expression()}:
@item
-@ref{101e,,Set_Configuration_Name()}:
+@ref{ad8,,Set_Severity_Expression()}:
@item
-@ref{101f,,Get_Component_Configuration()}:
+@ref{ad9,,Get_Instantiated_Unit()}:
@item
-@ref{1020,,Set_Component_Configuration()}:
+@ref{ada,,Set_Instantiated_Unit()}:
@item
-@ref{1021,,Get_Configuration_Specification()}:
+@ref{adb,,Get_Instantiated_Header()}:
@item
-@ref{1022,,Set_Configuration_Specification()}:
+@ref{adc,,Set_Instantiated_Header()}:
@item
-@ref{1023,,Get_Default_Binding_Indication()}:
+@ref{add,,Get_Generic_Map_Aspect_Chain()}:
@item
-@ref{1024,,Set_Default_Binding_Indication()}:
+@ref{ade,,Set_Generic_Map_Aspect_Chain()}:
@item
-@ref{1025,,Get_Default_Configuration_Declaration()}:
+@ref{adf,,Get_Port_Map_Aspect_Chain()}:
@item
-@ref{1026,,Set_Default_Configuration_Declaration()}:
+@ref{ae0,,Set_Port_Map_Aspect_Chain()}:
@item
-@ref{1027,,Get_Expression()}:
+@ref{ae1,,Get_Configuration_Name()}:
@item
-@ref{1028,,Set_Expression()}:
+@ref{ae2,,Set_Configuration_Name()}:
@item
-@ref{1029,,Get_Conditional_Expression_Chain()}:
+@ref{ae3,,Get_Component_Configuration()}:
@item
-@ref{102a,,Set_Conditional_Expression_Chain()}:
+@ref{ae4,,Set_Component_Configuration()}:
@item
-@ref{102b,,Get_Allocator_Designated_Type()}:
+@ref{ae5,,Get_Configuration_Specification()}:
@item
-@ref{102c,,Set_Allocator_Designated_Type()}:
+@ref{ae6,,Set_Configuration_Specification()}:
@item
-@ref{102d,,Get_Selected_Waveform_Chain()}:
+@ref{ae7,,Get_Default_Binding_Indication()}:
@item
-@ref{102e,,Set_Selected_Waveform_Chain()}:
+@ref{ae8,,Set_Default_Binding_Indication()}:
@item
-@ref{102f,,Get_Conditional_Waveform_Chain()}:
+@ref{ae9,,Get_Default_Configuration_Declaration()}:
@item
-@ref{1030,,Set_Conditional_Waveform_Chain()}:
+@ref{aea,,Set_Default_Configuration_Declaration()}:
@item
-@ref{1031,,Get_Guard_Expression()}:
+@ref{aeb,,Get_Expression()}:
@item
-@ref{1032,,Set_Guard_Expression()}:
+@ref{aec,,Set_Expression()}:
@item
-@ref{1033,,Get_Guard_Decl()}:
+@ref{aed,,Get_Conditional_Expression_Chain()}:
@item
-@ref{1034,,Set_Guard_Decl()}:
+@ref{aee,,Set_Conditional_Expression_Chain()}:
@item
-@ref{1035,,Get_Guard_Sensitivity_List()}:
+@ref{aef,,Get_Allocator_Designated_Type()}:
@item
-@ref{1036,,Set_Guard_Sensitivity_List()}:
+@ref{af0,,Set_Allocator_Designated_Type()}:
@item
-@ref{1037,,Get_Signal_Attribute_Chain()}:
+@ref{af1,,Get_Selected_Waveform_Chain()}:
@item
-@ref{1038,,Set_Signal_Attribute_Chain()}:
+@ref{af2,,Set_Selected_Waveform_Chain()}:
@item
-@ref{1039,,Get_Block_Block_Configuration()}:
+@ref{af3,,Get_Conditional_Waveform_Chain()}:
@item
-@ref{103a,,Set_Block_Block_Configuration()}:
+@ref{af4,,Set_Conditional_Waveform_Chain()}:
@item
-@ref{103b,,Get_Package_Header()}:
+@ref{af5,,Get_Guard_Expression()}:
@item
-@ref{103c,,Set_Package_Header()}:
+@ref{af6,,Set_Guard_Expression()}:
@item
-@ref{103d,,Get_Block_Header()}:
+@ref{af7,,Get_Guard_Decl()}:
@item
-@ref{103e,,Set_Block_Header()}:
+@ref{af8,,Set_Guard_Decl()}:
@item
-@ref{103f,,Get_Uninstantiated_Package_Name()}:
+@ref{af9,,Get_Guard_Sensitivity_List()}:
@item
-@ref{1040,,Set_Uninstantiated_Package_Name()}:
+@ref{afa,,Set_Guard_Sensitivity_List()}:
@item
-@ref{1041,,Get_Uninstantiated_Package_Decl()}:
+@ref{afb,,Get_Attribute_Implicit_Chain()}:
@item
-@ref{1042,,Set_Uninstantiated_Package_Decl()}:
+@ref{afc,,Set_Attribute_Implicit_Chain()}:
@item
-@ref{1043,,Get_Instance_Source_File()}:
+@ref{afd,,Get_Block_Block_Configuration()}:
@item
-@ref{1044,,Set_Instance_Source_File()}:
+@ref{afe,,Set_Block_Block_Configuration()}:
@item
-@ref{1045,,Get_Generate_Block_Configuration()}:
+@ref{aff,,Get_Package_Header()}:
@item
-@ref{1046,,Set_Generate_Block_Configuration()}:
+@ref{b00,,Set_Package_Header()}:
@item
-@ref{1047,,Get_Generate_Statement_Body()}:
+@ref{b01,,Get_Block_Header()}:
@item
-@ref{1048,,Set_Generate_Statement_Body()}:
+@ref{b02,,Set_Block_Header()}:
@item
-@ref{1049,,Get_Alternative_Label()}:
+@ref{b03,,Get_Uninstantiated_Package_Name()}:
@item
-@ref{104a,,Set_Alternative_Label()}:
+@ref{b04,,Set_Uninstantiated_Package_Name()}:
@item
-@ref{104b,,Get_Generate_Else_Clause()}:
+@ref{b05,,Get_Uninstantiated_Package_Decl()}:
@item
-@ref{104c,,Set_Generate_Else_Clause()}:
+@ref{b06,,Set_Uninstantiated_Package_Decl()}:
@item
-@ref{104d,,Get_Condition()}:
+@ref{b07,,Get_Associated_Package()}:
@item
-@ref{104e,,Set_Condition()}:
+@ref{b08,,Set_Associated_Package()}:
@item
-@ref{104f,,Get_Else_Clause()}:
+@ref{b09,,Get_Instance_Source_File()}:
@item
-@ref{1050,,Set_Else_Clause()}:
+@ref{b0a,,Set_Instance_Source_File()}:
@item
-@ref{1051,,Get_Parameter_Specification()}:
+@ref{b0b,,Get_Generate_Block_Configuration()}:
@item
-@ref{1052,,Set_Parameter_Specification()}:
+@ref{b0c,,Set_Generate_Block_Configuration()}:
@item
-@ref{1053,,Get_Parent()}:
+@ref{b0d,,Get_Generate_Statement_Body()}:
@item
-@ref{1054,,Set_Parent()}:
+@ref{b0e,,Set_Generate_Statement_Body()}:
@item
-@ref{1055,,Get_Loop_Label()}:
+@ref{b0f,,Get_Alternative_Label()}:
@item
-@ref{1056,,Set_Loop_Label()}:
+@ref{b10,,Set_Alternative_Label()}:
@item
-@ref{1057,,Get_Exit_Flag()}:
+@ref{b11,,Get_Generate_Else_Clause()}:
@item
-@ref{1058,,Set_Exit_Flag()}:
+@ref{b12,,Set_Generate_Else_Clause()}:
@item
-@ref{1059,,Get_Next_Flag()}:
+@ref{b13,,Get_Condition()}:
@item
-@ref{105a,,Set_Next_Flag()}:
+@ref{b14,,Set_Condition()}:
@item
-@ref{105b,,Get_Component_Name()}:
+@ref{b15,,Get_Else_Clause()}:
@item
-@ref{105c,,Set_Component_Name()}:
+@ref{b16,,Set_Else_Clause()}:
@item
-@ref{105d,,Get_Instantiation_List()}:
+@ref{b17,,Get_Parameter_Specification()}:
@item
-@ref{105e,,Set_Instantiation_List()}:
+@ref{b18,,Set_Parameter_Specification()}:
@item
-@ref{105f,,Get_Entity_Aspect()}:
+@ref{b19,,Get_Parent()}:
@item
-@ref{1060,,Set_Entity_Aspect()}:
+@ref{b1a,,Set_Parent()}:
@item
-@ref{1061,,Get_Default_Entity_Aspect()}:
+@ref{b1b,,Get_Loop_Label()}:
@item
-@ref{1062,,Set_Default_Entity_Aspect()}:
+@ref{b1c,,Set_Loop_Label()}:
@item
-@ref{1063,,Get_Binding_Indication()}:
+@ref{b1d,,Get_Exit_Flag()}:
@item
-@ref{1064,,Set_Binding_Indication()}:
+@ref{b1e,,Set_Exit_Flag()}:
@item
-@ref{1065,,Get_Named_Entity()}:
+@ref{b1f,,Get_Next_Flag()}:
@item
-@ref{1066,,Set_Named_Entity()}:
+@ref{b20,,Set_Next_Flag()}:
@item
-@ref{1067,,Get_Referenced_Name()}:
+@ref{b21,,Get_Component_Name()}:
@item
-@ref{1068,,Set_Referenced_Name()}:
+@ref{b22,,Set_Component_Name()}:
@item
-@ref{1069,,Get_Expr_Staticness()}:
+@ref{b23,,Get_Instantiation_List()}:
@item
-@ref{106a,,Set_Expr_Staticness()}:
+@ref{b24,,Set_Instantiation_List()}:
@item
-@ref{106b,,Get_Scalar_Size()}:
+@ref{b25,,Get_Entity_Aspect()}:
@item
-@ref{106c,,Set_Scalar_Size()}:
+@ref{b26,,Set_Entity_Aspect()}:
@item
-@ref{106d,,Get_Error_Origin()}:
+@ref{b27,,Get_Default_Entity_Aspect()}:
@item
-@ref{106e,,Set_Error_Origin()}:
+@ref{b28,,Set_Default_Entity_Aspect()}:
@item
-@ref{106f,,Get_Operand()}:
+@ref{b29,,Get_Binding_Indication()}:
@item
-@ref{1070,,Set_Operand()}:
+@ref{b2a,,Set_Binding_Indication()}:
@item
-@ref{1071,,Get_Left()}:
+@ref{b2b,,Get_Named_Entity()}:
@item
-@ref{1072,,Set_Left()}:
+@ref{b2c,,Set_Named_Entity()}:
@item
-@ref{1073,,Get_Right()}:
+@ref{b2d,,Get_Referenced_Name()}:
@item
-@ref{1074,,Set_Right()}:
+@ref{b2e,,Set_Referenced_Name()}:
@item
-@ref{1075,,Get_Unit_Name()}:
+@ref{b2f,,Get_Expr_Staticness()}:
@item
-@ref{1076,,Set_Unit_Name()}:
+@ref{b30,,Set_Expr_Staticness()}:
@item
-@ref{1077,,Get_Name()}:
+@ref{b31,,Get_Scalar_Size()}:
@item
-@ref{1078,,Set_Name()}:
+@ref{b32,,Set_Scalar_Size()}:
@item
-@ref{1079,,Get_Group_Template_Name()}:
+@ref{b33,,Get_Error_Origin()}:
@item
-@ref{107a,,Set_Group_Template_Name()}:
+@ref{b34,,Set_Error_Origin()}:
@item
-@ref{107b,,Get_Name_Staticness()}:
+@ref{b35,,Get_Operand()}:
@item
-@ref{107c,,Set_Name_Staticness()}:
+@ref{b36,,Set_Operand()}:
@item
-@ref{107d,,Get_Prefix()}:
+@ref{b37,,Get_Left()}:
@item
-@ref{107e,,Set_Prefix()}:
+@ref{b38,,Set_Left()}:
@item
-@ref{107f,,Get_Signature_Prefix()}:
+@ref{b39,,Get_Right()}:
@item
-@ref{1080,,Set_Signature_Prefix()}:
+@ref{b3a,,Set_Right()}:
@item
-@ref{1081,,Get_External_Pathname()}:
+@ref{b3b,,Get_Unit_Name()}:
@item
-@ref{1082,,Set_External_Pathname()}:
+@ref{b3c,,Set_Unit_Name()}:
@item
-@ref{1083,,Get_Pathname_Suffix()}:
+@ref{b3d,,Get_Name()}:
@item
-@ref{1084,,Set_Pathname_Suffix()}:
+@ref{b3e,,Set_Name()}:
@item
-@ref{1085,,Get_Pathname_Expression()}:
+@ref{b3f,,Get_Group_Template_Name()}:
@item
-@ref{1086,,Set_Pathname_Expression()}:
+@ref{b40,,Set_Group_Template_Name()}:
@item
-@ref{1087,,Get_In_Formal_Flag()}:
+@ref{b41,,Get_Name_Staticness()}:
@item
-@ref{1088,,Set_In_Formal_Flag()}:
+@ref{b42,,Set_Name_Staticness()}:
@item
-@ref{1089,,Get_Slice_Subtype()}:
+@ref{b43,,Get_Prefix()}:
@item
-@ref{108a,,Set_Slice_Subtype()}:
+@ref{b44,,Set_Prefix()}:
@item
-@ref{108b,,Get_Suffix()}:
+@ref{b45,,Get_Signature_Prefix()}:
@item
-@ref{108c,,Set_Suffix()}:
+@ref{b46,,Set_Signature_Prefix()}:
@item
-@ref{108d,,Get_Index_Subtype()}:
+@ref{b47,,Get_External_Pathname()}:
@item
-@ref{108e,,Set_Index_Subtype()}:
+@ref{b48,,Set_External_Pathname()}:
@item
-@ref{108f,,Get_Parameter()}:
+@ref{b49,,Get_Pathname_Suffix()}:
@item
-@ref{1090,,Set_Parameter()}:
+@ref{b4a,,Set_Pathname_Suffix()}:
@item
-@ref{1091,,Get_Parameter_2()}:
+@ref{b4b,,Get_Pathname_Expression()}:
@item
-@ref{1092,,Set_Parameter_2()}:
+@ref{b4c,,Set_Pathname_Expression()}:
@item
-@ref{1093,,Get_Parameter_3()}:
+@ref{b4d,,Get_In_Formal_Flag()}:
@item
-@ref{1094,,Set_Parameter_3()}:
+@ref{b4e,,Set_In_Formal_Flag()}:
@item
-@ref{1095,,Get_Parameter_4()}:
+@ref{b4f,,Get_Inertial_Flag()}:
@item
-@ref{1096,,Set_Parameter_4()}:
+@ref{b50,,Set_Inertial_Flag()}:
@item
-@ref{1097,,Get_Attr_Chain()}:
+@ref{b51,,Get_Slice_Subtype()}:
@item
-@ref{1098,,Set_Attr_Chain()}:
+@ref{b52,,Set_Slice_Subtype()}:
@item
-@ref{1099,,Get_Signal_Attribute_Declaration()}:
+@ref{b53,,Get_Suffix()}:
@item
-@ref{109a,,Set_Signal_Attribute_Declaration()}:
+@ref{b54,,Set_Suffix()}:
@item
-@ref{109b,,Get_Actual_Type()}:
+@ref{b55,,Get_Index_Subtype()}:
@item
-@ref{109c,,Set_Actual_Type()}:
+@ref{b56,,Set_Index_Subtype()}:
@item
-@ref{109d,,Get_Actual_Type_Definition()}:
+@ref{b57,,Get_Parameter()}:
@item
-@ref{109e,,Set_Actual_Type_Definition()}:
+@ref{b58,,Set_Parameter()}:
@item
-@ref{109f,,Get_Association_Chain()}:
+@ref{b59,,Get_Parameter_2()}:
@item
-@ref{10a0,,Set_Association_Chain()}:
+@ref{b5a,,Set_Parameter_2()}:
@item
-@ref{10a1,,Get_Individual_Association_Chain()}:
+@ref{b5b,,Get_Parameter_3()}:
@item
-@ref{10a2,,Set_Individual_Association_Chain()}:
+@ref{b5c,,Set_Parameter_3()}:
@item
-@ref{10a3,,Get_Subprogram_Association_Chain()}:
+@ref{b5d,,Get_Parameter_4()}:
@item
-@ref{10a4,,Set_Subprogram_Association_Chain()}:
+@ref{b5e,,Set_Parameter_4()}:
@item
-@ref{10a5,,Get_Aggregate_Info()}:
+@ref{b5f,,Get_Attr_Chain()}:
@item
-@ref{10a6,,Set_Aggregate_Info()}:
+@ref{b60,,Set_Attr_Chain()}:
@item
-@ref{10a7,,Get_Sub_Aggregate_Info()}:
+@ref{b61,,Get_Attribute_Implicit_Declaration()}:
@item
-@ref{10a8,,Set_Sub_Aggregate_Info()}:
+@ref{b62,,Set_Attribute_Implicit_Declaration()}:
@item
-@ref{10a9,,Get_Aggr_Dynamic_Flag()}:
+@ref{b63,,Get_Actual_Type()}:
@item
-@ref{10aa,,Set_Aggr_Dynamic_Flag()}:
+@ref{b64,,Set_Actual_Type()}:
@item
-@ref{10ab,,Get_Aggr_Min_Length()}:
+@ref{b65,,Get_Actual_Type_Definition()}:
@item
-@ref{10ac,,Set_Aggr_Min_Length()}:
+@ref{b66,,Set_Actual_Type_Definition()}:
@item
-@ref{10ad,,Get_Aggr_Low_Limit()}:
+@ref{b67,,Get_Association_Chain()}:
@item
-@ref{10ae,,Set_Aggr_Low_Limit()}:
+@ref{b68,,Set_Association_Chain()}:
@item
-@ref{10af,,Get_Aggr_High_Limit()}:
+@ref{b69,,Get_Individual_Association_Chain()}:
@item
-@ref{10b0,,Set_Aggr_High_Limit()}:
+@ref{b6a,,Set_Individual_Association_Chain()}:
@item
-@ref{10b1,,Get_Aggr_Others_Flag()}:
+@ref{b6b,,Get_Subprogram_Association_Chain()}:
@item
-@ref{10b2,,Set_Aggr_Others_Flag()}:
+@ref{b6c,,Set_Subprogram_Association_Chain()}:
@item
-@ref{10b3,,Get_Aggr_Named_Flag()}:
+@ref{b6d,,Get_Aggregate_Info()}:
@item
-@ref{10b4,,Set_Aggr_Named_Flag()}:
+@ref{b6e,,Set_Aggregate_Info()}:
@item
-@ref{10b5,,Get_Aggregate_Expand_Flag()}:
+@ref{b6f,,Get_Sub_Aggregate_Info()}:
@item
-@ref{10b6,,Set_Aggregate_Expand_Flag()}:
+@ref{b70,,Set_Sub_Aggregate_Info()}:
@item
-@ref{10b7,,Get_Association_Choices_Chain()}:
+@ref{b71,,Get_Aggr_Dynamic_Flag()}:
@item
-@ref{10b8,,Set_Association_Choices_Chain()}:
+@ref{b72,,Set_Aggr_Dynamic_Flag()}:
@item
-@ref{10b9,,Get_Case_Statement_Alternative_Chain()}:
+@ref{b73,,Get_Aggr_Min_Length()}:
@item
-@ref{10ba,,Set_Case_Statement_Alternative_Chain()}:
+@ref{b74,,Set_Aggr_Min_Length()}:
@item
-@ref{10bb,,Get_Matching_Flag()}:
+@ref{b75,,Get_Aggr_Low_Limit()}:
@item
-@ref{10bc,,Set_Matching_Flag()}:
+@ref{b76,,Set_Aggr_Low_Limit()}:
@item
-@ref{10bd,,Get_Choice_Staticness()}:
+@ref{b77,,Get_Aggr_High_Limit()}:
@item
-@ref{10be,,Set_Choice_Staticness()}:
+@ref{b78,,Set_Aggr_High_Limit()}:
@item
-@ref{10bf,,Get_Procedure_Call()}:
+@ref{b79,,Get_Aggr_Others_Flag()}:
@item
-@ref{10c0,,Set_Procedure_Call()}:
+@ref{b7a,,Set_Aggr_Others_Flag()}:
@item
-@ref{10c1,,Get_Implementation()}:
+@ref{b7b,,Get_Aggr_Named_Flag()}:
@item
-@ref{10c2,,Set_Implementation()}:
+@ref{b7c,,Set_Aggr_Named_Flag()}:
@item
-@ref{10c3,,Get_Parameter_Association_Chain()}:
+@ref{b7d,,Get_Aggregate_Expand_Flag()}:
@item
-@ref{10c4,,Set_Parameter_Association_Chain()}:
+@ref{b7e,,Set_Aggregate_Expand_Flag()}:
@item
-@ref{10c5,,Get_Method_Object()}:
+@ref{b7f,,Get_Determined_Aggregate_Flag()}:
@item
-@ref{10c6,,Set_Method_Object()}:
+@ref{b80,,Set_Determined_Aggregate_Flag()}:
@item
-@ref{10c7,,Get_Subtype_Type_Mark()}:
+@ref{b81,,Get_Association_Choices_Chain()}:
@item
-@ref{10c8,,Set_Subtype_Type_Mark()}:
+@ref{b82,,Set_Association_Choices_Chain()}:
@item
-@ref{10c9,,Get_Subnature_Nature_Mark()}:
+@ref{b83,,Get_Case_Statement_Alternative_Chain()}:
@item
-@ref{10ca,,Set_Subnature_Nature_Mark()}:
+@ref{b84,,Set_Case_Statement_Alternative_Chain()}:
@item
-@ref{10cb,,Get_Type_Conversion_Subtype()}:
+@ref{b85,,Get_Matching_Flag()}:
@item
-@ref{10cc,,Set_Type_Conversion_Subtype()}:
+@ref{b86,,Set_Matching_Flag()}:
@item
-@ref{10cd,,Get_Type_Mark()}:
+@ref{b87,,Get_Choice_Staticness()}:
@item
-@ref{10ce,,Set_Type_Mark()}:
+@ref{b88,,Set_Choice_Staticness()}:
@item
-@ref{10cf,,Get_File_Type_Mark()}:
+@ref{b89,,Get_Procedure_Call()}:
@item
-@ref{10d0,,Set_File_Type_Mark()}:
+@ref{b8a,,Set_Procedure_Call()}:
@item
-@ref{10d1,,Get_Return_Type_Mark()}:
+@ref{b8b,,Get_Implementation()}:
@item
-@ref{10d2,,Set_Return_Type_Mark()}:
+@ref{b8c,,Set_Implementation()}:
@item
-@ref{10d3,,Get_Has_Disconnect_Flag()}:
+@ref{b8d,,Get_Parameter_Association_Chain()}:
@item
-@ref{10d4,,Set_Has_Disconnect_Flag()}:
+@ref{b8e,,Set_Parameter_Association_Chain()}:
@item
-@ref{10d5,,Get_Has_Active_Flag()}:
+@ref{b8f,,Get_Method_Object()}:
@item
-@ref{10d6,,Set_Has_Active_Flag()}:
+@ref{b90,,Set_Method_Object()}:
@item
-@ref{10d7,,Get_Is_Within_Flag()}:
+@ref{b91,,Get_Subtype_Type_Mark()}:
@item
-@ref{10d8,,Set_Is_Within_Flag()}:
+@ref{b92,,Set_Subtype_Type_Mark()}:
@item
-@ref{10d9,,Get_Type_Marks_List()}:
+@ref{b93,,Get_Subnature_Nature_Mark()}:
@item
-@ref{10da,,Set_Type_Marks_List()}:
+@ref{b94,,Set_Subnature_Nature_Mark()}:
@item
-@ref{10db,,Get_Implicit_Alias_Flag()}:
+@ref{b95,,Get_Type_Conversion_Subtype()}:
@item
-@ref{10dc,,Set_Implicit_Alias_Flag()}:
+@ref{b96,,Set_Type_Conversion_Subtype()}:
@item
-@ref{10dd,,Get_Alias_Signature()}:
+@ref{b97,,Get_Type_Mark()}:
@item
-@ref{10de,,Set_Alias_Signature()}:
+@ref{b98,,Set_Type_Mark()}:
@item
-@ref{10df,,Get_Attribute_Signature()}:
+@ref{b99,,Get_File_Type_Mark()}:
@item
-@ref{10e0,,Set_Attribute_Signature()}:
+@ref{b9a,,Set_File_Type_Mark()}:
@item
-@ref{10e1,,Get_Overload_List()}:
+@ref{b9b,,Get_Return_Type_Mark()}:
@item
-@ref{10e2,,Set_Overload_List()}:
+@ref{b9c,,Set_Return_Type_Mark()}:
@item
-@ref{10e3,,Get_Simple_Name_Identifier()}:
+@ref{b9d,,Get_Has_Disconnect_Flag()}:
@item
-@ref{10e4,,Set_Simple_Name_Identifier()}:
+@ref{b9e,,Set_Has_Disconnect_Flag()}:
@item
-@ref{10e5,,Get_Simple_Name_Subtype()}:
+@ref{b9f,,Get_Has_Active_Flag()}:
@item
-@ref{10e6,,Set_Simple_Name_Subtype()}:
+@ref{ba0,,Set_Has_Active_Flag()}:
@item
-@ref{10e7,,Get_Protected_Type_Body()}:
+@ref{ba1,,Get_Is_Within_Flag()}:
@item
-@ref{10e8,,Set_Protected_Type_Body()}:
+@ref{ba2,,Set_Is_Within_Flag()}:
@item
-@ref{10e9,,Get_Protected_Type_Declaration()}:
+@ref{ba3,,Get_Type_Marks_List()}:
@item
-@ref{10ea,,Set_Protected_Type_Declaration()}:
+@ref{ba4,,Set_Type_Marks_List()}:
@item
-@ref{10eb,,Get_Use_Flag()}:
+@ref{ba5,,Get_Implicit_Alias_Flag()}:
@item
-@ref{10ec,,Set_Use_Flag()}:
+@ref{ba6,,Set_Implicit_Alias_Flag()}:
@item
-@ref{10ed,,Get_End_Has_Reserved_Id()}:
+@ref{ba7,,Get_Alias_Signature()}:
@item
-@ref{10ee,,Set_End_Has_Reserved_Id()}:
+@ref{ba8,,Set_Alias_Signature()}:
@item
-@ref{10ef,,Get_End_Has_Identifier()}:
+@ref{ba9,,Get_Attribute_Signature()}:
@item
-@ref{10f0,,Set_End_Has_Identifier()}:
+@ref{baa,,Set_Attribute_Signature()}:
@item
-@ref{10f1,,Get_End_Has_Postponed()}:
+@ref{bab,,Get_Overload_List()}:
@item
-@ref{10f2,,Set_End_Has_Postponed()}:
+@ref{bac,,Set_Overload_List()}:
@item
-@ref{10f3,,Get_Has_Label()}:
+@ref{bad,,Get_Simple_Name_Identifier()}:
@item
-@ref{10f4,,Set_Has_Label()}:
+@ref{bae,,Set_Simple_Name_Identifier()}:
@item
-@ref{10f5,,Get_Has_Begin()}:
+@ref{baf,,Get_Simple_Name_Subtype()}:
@item
-@ref{10f6,,Set_Has_Begin()}:
+@ref{bb0,,Set_Simple_Name_Subtype()}:
@item
-@ref{10f7,,Get_Has_End()}:
+@ref{bb1,,Get_Protected_Type_Body()}:
@item
-@ref{10f8,,Set_Has_End()}:
+@ref{bb2,,Set_Protected_Type_Body()}:
@item
-@ref{10f9,,Get_Has_Is()}:
+@ref{bb3,,Get_Protected_Type_Declaration()}:
@item
-@ref{10fa,,Set_Has_Is()}:
+@ref{bb4,,Set_Protected_Type_Declaration()}:
@item
-@ref{10fb,,Get_Has_Pure()}:
+@ref{bb5,,Get_Use_Flag()}:
@item
-@ref{10fc,,Set_Has_Pure()}:
+@ref{bb6,,Set_Use_Flag()}:
@item
-@ref{10fd,,Get_Has_Body()}:
+@ref{bb7,,Get_Elaborated_Flag()}:
@item
-@ref{10fe,,Set_Has_Body()}:
+@ref{bb8,,Set_Elaborated_Flag()}:
@item
-@ref{10ff,,Get_Has_Parameter()}:
+@ref{bb9,,Get_End_Has_Reserved_Id()}:
@item
-@ref{1100,,Set_Has_Parameter()}:
+@ref{bba,,Set_End_Has_Reserved_Id()}:
@item
-@ref{1101,,Get_Has_Component()}:
+@ref{bbb,,Get_End_Has_Identifier()}:
@item
-@ref{1102,,Set_Has_Component()}:
+@ref{bbc,,Set_End_Has_Identifier()}:
@item
-@ref{1103,,Get_Has_Identifier_List()}:
+@ref{bbd,,Get_End_Has_Postponed()}:
@item
-@ref{1104,,Set_Has_Identifier_List()}:
+@ref{bbe,,Set_End_Has_Postponed()}:
@item
-@ref{1105,,Get_Has_Mode()}:
+@ref{bbf,,Get_Has_Label()}:
@item
-@ref{1106,,Set_Has_Mode()}:
+@ref{bc0,,Set_Has_Label()}:
@item
-@ref{1107,,Get_Has_Class()}:
+@ref{bc1,,Get_Has_Begin()}:
@item
-@ref{1108,,Set_Has_Class()}:
+@ref{bc2,,Set_Has_Begin()}:
@item
-@ref{1109,,Get_Has_Delay_Mechanism()}:
+@ref{bc3,,Get_Has_End()}:
@item
-@ref{110a,,Set_Has_Delay_Mechanism()}:
+@ref{bc4,,Set_Has_End()}:
@item
-@ref{110b,,Get_Suspend_Flag()}:
+@ref{bc5,,Get_Has_Is()}:
@item
-@ref{110c,,Set_Suspend_Flag()}:
+@ref{bc6,,Set_Has_Is()}:
@item
-@ref{110d,,Get_Is_Ref()}:
+@ref{bc7,,Get_Has_Pure()}:
@item
-@ref{110e,,Set_Is_Ref()}:
+@ref{bc8,,Set_Has_Pure()}:
@item
-@ref{110f,,Get_Is_Forward_Ref()}:
+@ref{bc9,,Get_Has_Body()}:
@item
-@ref{1110,,Set_Is_Forward_Ref()}:
+@ref{bca,,Set_Has_Body()}:
@item
-@ref{1111,,Get_Psl_Property()}:
+@ref{bcb,,Get_Has_Parameter()}:
@item
-@ref{1112,,Set_Psl_Property()}:
+@ref{bcc,,Set_Has_Parameter()}:
@item
-@ref{1113,,Get_Psl_Sequence()}:
+@ref{bcd,,Get_Has_Component()}:
@item
-@ref{1114,,Set_Psl_Sequence()}:
+@ref{bce,,Set_Has_Component()}:
@item
-@ref{1115,,Get_Psl_Declaration()}:
+@ref{bcf,,Get_Has_Identifier_List()}:
@item
-@ref{1116,,Set_Psl_Declaration()}:
+@ref{bd0,,Set_Has_Identifier_List()}:
@item
-@ref{1117,,Get_Psl_Expression()}:
+@ref{bd1,,Get_Has_Mode()}:
@item
-@ref{1118,,Set_Psl_Expression()}:
+@ref{bd2,,Set_Has_Mode()}:
@item
-@ref{1119,,Get_Psl_Boolean()}:
+@ref{bd3,,Get_Has_Class()}:
@item
-@ref{111a,,Set_Psl_Boolean()}:
+@ref{bd4,,Set_Has_Class()}:
@item
-@ref{111b,,Get_PSL_Clock()}:
+@ref{bd5,,Get_Has_Delay_Mechanism()}:
@item
-@ref{111c,,Set_PSL_Clock()}:
+@ref{bd6,,Set_Has_Delay_Mechanism()}:
@item
-@ref{111d,,Get_PSL_NFA()}:
+@ref{bd7,,Get_Suspend_Flag()}:
@item
-@ref{111e,,Set_PSL_NFA()}:
+@ref{bd8,,Set_Suspend_Flag()}:
@item
-@ref{111f,,Get_PSL_Nbr_States()}:
+@ref{bd9,,Get_Stop_Flag()}:
@item
-@ref{1120,,Set_PSL_Nbr_States()}:
+@ref{bda,,Set_Stop_Flag()}:
@item
-@ref{1121,,Get_PSL_Clock_Sensitivity()}:
+@ref{bdb,,Get_Is_Ref()}:
@item
-@ref{1122,,Set_PSL_Clock_Sensitivity()}:
+@ref{bdc,,Set_Is_Ref()}:
@item
-@ref{1123,,Get_PSL_EOS_Flag()}:
+@ref{bdd,,Get_Is_Forward_Ref()}:
@item
-@ref{1124,,Set_PSL_EOS_Flag()}:
+@ref{bde,,Set_Is_Forward_Ref()}:
@item
-@ref{1125,,Get_PSL_Abort_Flag()}:
+@ref{bdf,,Get_Psl_Property()}:
@item
-@ref{1126,,Set_PSL_Abort_Flag()}:
+@ref{be0,,Set_Psl_Property()}:
@item
-@ref{1127,,Get_Count_Expression()}:
+@ref{be1,,Get_Psl_Sequence()}:
@item
-@ref{1128,,Set_Count_Expression()}:
+@ref{be2,,Set_Psl_Sequence()}:
@item
-@ref{1129,,Get_Clock_Expression()}:
+@ref{be3,,Get_Psl_Declaration()}:
@item
-@ref{112a,,Set_Clock_Expression()}:
+@ref{be4,,Set_Psl_Declaration()}:
@item
-@ref{112b,,Get_Default_Clock()}:
+@ref{be5,,Get_Psl_Expression()}:
@item
-@ref{112c,,Set_Default_Clock()}:
+@ref{be6,,Set_Psl_Expression()}:
@item
-@ref{112d,,Get_Foreign_Node()}:
+@ref{be7,,Get_Psl_Boolean()}:
@item
-@ref{112e,,Set_Foreign_Node()}:
-@end itemize
+@ref{be8,,Set_Psl_Boolean()}:
-@c #-----------------------------------
+@item
+@ref{be9,,Get_PSL_Clock()}:
-@geindex Iir_Kind (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind}@anchor{9ee}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Kind (value)
+@item
+@ref{bea,,Set_PSL_Clock()}:
-An enumeration.
+@item
+@ref{beb,,Get_PSL_NFA()}:
-@subsubheading Inheritance
+@item
+@ref{bec,,Set_PSL_NFA()}:
-@image{inheritance-3e2b2bf02d4728cbd3c0c38f87e974312fa633ce,,,[graphviz],png}
+@item
+@ref{bed,,Get_PSL_Nbr_States()}:
-@subsubheading Members
+@item
+@ref{bee,,Set_PSL_Nbr_States()}:
+@item
+@ref{bef,,Get_PSL_Clock_Sensitivity()}:
-@geindex Unused (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unused}@anchor{112f}
-@deffn {Attribute} Unused = 0
-@end deffn
+@item
+@ref{bf0,,Set_PSL_Clock_Sensitivity()}:
-@geindex Error (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Error}@anchor{1130}
-@deffn {Attribute} Error = 1
-@end deffn
+@item
+@ref{bf1,,Get_PSL_EOS_Flag()}:
-@geindex Design_File (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Design_File}@anchor{1131}
-@deffn {Attribute} Design_File = 2
-@end deffn
+@item
+@ref{bf2,,Set_PSL_EOS_Flag()}:
-@geindex Design_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Design_Unit}@anchor{1132}
-@deffn {Attribute} Design_Unit = 3
-@end deffn
+@item
+@ref{bf3,,Get_PSL_Abort_Flag()}:
-@geindex Library_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Library_Clause}@anchor{1133}
-@deffn {Attribute} Library_Clause = 4
-@end deffn
+@item
+@ref{bf4,,Set_PSL_Abort_Flag()}:
-@geindex Use_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Use_Clause}@anchor{1134}
-@deffn {Attribute} Use_Clause = 5
-@end deffn
+@item
+@ref{bf5,,Get_Count_Expression()}:
-@geindex Context_Reference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Context_Reference}@anchor{1135}
-@deffn {Attribute} Context_Reference = 6
-@end deffn
+@item
+@ref{bf6,,Set_Count_Expression()}:
-@geindex PSL_Inherit_Spec (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind PSL_Inherit_Spec}@anchor{1136}
-@deffn {Attribute} PSL_Inherit_Spec = 7
-@end deffn
+@item
+@ref{bf7,,Get_Clock_Expression()}:
-@geindex Integer_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Literal}@anchor{1137}
-@deffn {Attribute} Integer_Literal = 8
-@end deffn
+@item
+@ref{bf8,,Set_Clock_Expression()}:
-@geindex Floating_Point_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Point_Literal}@anchor{1138}
-@deffn {Attribute} Floating_Point_Literal = 9
-@end deffn
+@item
+@ref{bf9,,Get_Default_Clock()}:
-@geindex Null_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Null_Literal}@anchor{1139}
-@deffn {Attribute} Null_Literal = 10
-@end deffn
+@item
+@ref{bfa,,Set_Default_Clock()}:
-@geindex String_Literal8 (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind String_Literal8}@anchor{113a}
-@deffn {Attribute} String_Literal8 = 11
-@end deffn
+@item
+@ref{bfb,,Get_Foreign_Node()}:
-@geindex Physical_Int_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Int_Literal}@anchor{113b}
-@deffn {Attribute} Physical_Int_Literal = 12
-@end deffn
+@item
+@ref{bfc,,Set_Foreign_Node()}:
-@geindex Physical_Fp_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Fp_Literal}@anchor{113c}
-@deffn {Attribute} Physical_Fp_Literal = 13
-@end deffn
+@item
+@ref{bfd,,Get_Suspend_State_Index()}:
-@geindex Simple_Aggregate (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Aggregate}@anchor{113d}
-@deffn {Attribute} Simple_Aggregate = 14
-@end deffn
+@item
+@ref{bfe,,Set_Suspend_State_Index()}:
-@geindex Overflow_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Overflow_Literal}@anchor{113e}
-@deffn {Attribute} Overflow_Literal = 15
-@end deffn
+@item
+@ref{bff,,Get_Suspend_State_Chain()}:
-@geindex Unaffected_Waveform (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unaffected_Waveform}@anchor{113f}
-@deffn {Attribute} Unaffected_Waveform = 16
-@end deffn
+@item
+@ref{c00,,Set_Suspend_State_Chain()}:
-@geindex Waveform_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Waveform_Element}@anchor{1140}
-@deffn {Attribute} Waveform_Element = 17
-@end deffn
+@item
+@ref{c01,,Get_Suspend_State_Last()}:
-@geindex Conditional_Waveform (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Waveform}@anchor{1141}
-@deffn {Attribute} Conditional_Waveform = 18
-@end deffn
+@item
+@ref{c02,,Set_Suspend_State_Last()}:
-@geindex Conditional_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Expression}@anchor{1142}
-@deffn {Attribute} Conditional_Expression = 19
-@end deffn
+@item
+@ref{c03,,Get_Suspend_State_Decl()}:
-@geindex Association_Element_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Expression}@anchor{1143}
-@deffn {Attribute} Association_Element_By_Expression = 20
-@end deffn
+@item
+@ref{c04,,Set_Suspend_State_Decl()}:
+@end itemize
-@geindex Association_Element_By_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Name}@anchor{1144}
-@deffn {Attribute} Association_Element_By_Name = 21
-@end deffn
+`Classes'
-@geindex Association_Element_By_Individual (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Individual}@anchor{1145}
-@deffn {Attribute} Association_Element_By_Individual = 22
-@end deffn
-@geindex Association_Element_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Open}@anchor{1146}
-@deffn {Attribute} Association_Element_Open = 23
-@end deffn
+@itemize -
-@geindex Association_Element_Package (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Package}@anchor{1147}
-@deffn {Attribute} Association_Element_Package = 24
-@end deffn
+@item
+@ref{815,,Iir_Kind}:
+An enumeration.
-@geindex Association_Element_Type (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Type}@anchor{1148}
-@deffn {Attribute} Association_Element_Type = 25
-@end deffn
+@item
+@ref{c05,,Iir_Kinds}:
+Undocumented.
-@geindex Association_Element_Subprogram (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Subprogram}@anchor{1149}
-@deffn {Attribute} Association_Element_Subprogram = 26
-@end deffn
+@item
+@ref{c06,,Iir_Mode}:
+An enumeration.
-@geindex Association_Element_Terminal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Terminal}@anchor{114a}
-@deffn {Attribute} Association_Element_Terminal = 27
-@end deffn
+@item
+@ref{c07,,ScalarSize}:
+An enumeration.
-@geindex Choice_By_Range (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Range}@anchor{114b}
-@deffn {Attribute} Choice_By_Range = 28
-@end deffn
+@item
+@ref{c08,,Iir_Staticness}:
+An enumeration.
-@geindex Choice_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Expression}@anchor{114c}
-@deffn {Attribute} Choice_By_Expression = 29
-@end deffn
+@item
+@ref{c09,,Iir_Constraint}:
+An enumeration.
-@geindex Choice_By_Others (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Others}@anchor{114d}
-@deffn {Attribute} Choice_By_Others = 30
-@end deffn
+@item
+@ref{c0a,,Iir_Delay_Mechanism}:
+An enumeration.
-@geindex Choice_By_None (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_None}@anchor{114e}
-@deffn {Attribute} Choice_By_None = 31
-@end deffn
+@item
+@ref{c0b,,DateStateType}:
+An enumeration.
-@geindex Choice_By_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Name}@anchor{114f}
-@deffn {Attribute} Choice_By_Name = 32
-@end deffn
+@item
+@ref{c0c,,NumberBaseType}:
+An enumeration.
-@geindex Entity_Aspect_Entity (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Entity}@anchor{1150}
-@deffn {Attribute} Entity_Aspect_Entity = 33
-@end deffn
+@item
+@ref{c0d,,Iir_Predefined}:
+An enumeration.
+@end itemize
-@geindex Entity_Aspect_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Configuration}@anchor{1151}
-@deffn {Attribute} Entity_Aspect_Configuration = 34
-@end deffn
-@geindex Entity_Aspect_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Open}@anchor{1152}
-@deffn {Attribute} Entity_Aspect_Open = 35
-@end deffn
+__________________________________________________________________
-@geindex Psl_Hierarchical_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Hierarchical_Name}@anchor{1153}
-@deffn {Attribute} Psl_Hierarchical_Name = 36
-@end deffn
-@geindex Block_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Configuration}@anchor{1154}
-@deffn {Attribute} Block_Configuration = 37
-@end deffn
+`Variables'
-@geindex Block_Header (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Header}@anchor{1155}
-@deffn {Attribute} Block_Header = 38
-@end deffn
+@geindex Null_Iir (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Null_Iir}@anchor{816}
+@deffn {Data} pyGHDL.libghdl.vhdl.nodes.Null_Iir
-@geindex Component_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Configuration}@anchor{1156}
-@deffn {Attribute} Component_Configuration = 39
-@end deffn
+Null element for an IIR node reference.
-@geindex Binding_Indication (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Binding_Indication}@anchor{1157}
-@deffn {Attribute} Binding_Indication = 40
+@example
+0
+@end example
@end deffn
-@geindex Entity_Class (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Class}@anchor{1158}
-@deffn {Attribute} Entity_Class = 41
-@end deffn
+@geindex Null_Iir_List (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Null_Iir_List}@anchor{8f6}
+@deffn {Data} pyGHDL.libghdl.vhdl.nodes.Null_Iir_List
-@geindex Attribute_Value (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Value}@anchor{1159}
-@deffn {Attribute} Attribute_Value = 42
-@end deffn
+int([x]) -> integer
+int(x, base=10) -> integer
-@geindex Signature (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signature}@anchor{115a}
-@deffn {Attribute} Signature = 43
-@end deffn
+Convert a number or string to an integer, or return 0 if no arguments
+are given. If x is a number, return x.__int__(). For floating point
+numbers, this truncates towards zero.
-@geindex Aggregate_Info (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Aggregate_Info}@anchor{115b}
-@deffn {Attribute} Aggregate_Info = 44
-@end deffn
+If x is not a number or if base is given, then x must be a string,
+bytes, or bytearray instance representing an integer literal in the
+given base. The literal can be preceded by ‘+’ or ‘-’ and be surrounded
+by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
+Base 0 means to interpret the base from the string as an integer literal.
+>>> int(‘0b100’, base=0)
+4
-@geindex Procedure_Call (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Call}@anchor{115c}
-@deffn {Attribute} Procedure_Call = 45
+@example
+0
+@end example
@end deffn
-@geindex Record_Element_Constraint (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Element_Constraint}@anchor{115d}
-@deffn {Attribute} Record_Element_Constraint = 46
-@end deffn
+@geindex Iir_List_All (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_List_All}@anchor{8f7}
+@deffn {Data} pyGHDL.libghdl.vhdl.nodes.Iir_List_All
-@geindex Array_Element_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Element_Resolution}@anchor{115e}
-@deffn {Attribute} Array_Element_Resolution = 47
-@end deffn
+int([x]) -> integer
+int(x, base=10) -> integer
-@geindex Record_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Resolution}@anchor{115f}
-@deffn {Attribute} Record_Resolution = 48
-@end deffn
+Convert a number or string to an integer, or return 0 if no arguments
+are given. If x is a number, return x.__int__(). For floating point
+numbers, this truncates towards zero.
-@geindex Record_Element_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Element_Resolution}@anchor{1160}
-@deffn {Attribute} Record_Element_Resolution = 49
-@end deffn
+If x is not a number or if base is given, then x must be a string,
+bytes, or bytearray instance representing an integer literal in the
+given base. The literal can be preceded by ‘+’ or ‘-’ and be surrounded
+by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
+Base 0 means to interpret the base from the string as an integer literal.
+>>> int(‘0b100’, base=0)
+4
-@geindex Break_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Break_Element}@anchor{1161}
-@deffn {Attribute} Break_Element = 50
+@example
+1
+@end example
@end deffn
-@geindex Attribute_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Specification}@anchor{1162}
-@deffn {Attribute} Attribute_Specification = 51
-@end deffn
+@geindex Null_Iir_Flist (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Null_Iir_Flist}@anchor{8f8}
+@deffn {Data} pyGHDL.libghdl.vhdl.nodes.Null_Iir_Flist
-@geindex Disconnection_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Disconnection_Specification}@anchor{1163}
-@deffn {Attribute} Disconnection_Specification = 52
-@end deffn
+int([x]) -> integer
+int(x, base=10) -> integer
-@geindex Step_Limit_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Step_Limit_Specification}@anchor{1164}
-@deffn {Attribute} Step_Limit_Specification = 53
-@end deffn
+Convert a number or string to an integer, or return 0 if no arguments
+are given. If x is a number, return x.__int__(). For floating point
+numbers, this truncates towards zero.
-@geindex Configuration_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Configuration_Specification}@anchor{1165}
-@deffn {Attribute} Configuration_Specification = 54
-@end deffn
+If x is not a number or if base is given, then x must be a string,
+bytes, or bytearray instance representing an integer literal in the
+given base. The literal can be preceded by ‘+’ or ‘-’ and be surrounded
+by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
+Base 0 means to interpret the base from the string as an integer literal.
+>>> int(‘0b100’, base=0)
+4
-@geindex Access_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Access_Type_Definition}@anchor{1166}
-@deffn {Attribute} Access_Type_Definition = 55
+@example
+0
+@end example
@end deffn
-@geindex Incomplete_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Incomplete_Type_Definition}@anchor{1167}
-@deffn {Attribute} Incomplete_Type_Definition = 56
-@end deffn
+@geindex Iir_Flist_Others (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Flist_Others}@anchor{8f9}
+@deffn {Data} pyGHDL.libghdl.vhdl.nodes.Iir_Flist_Others
-@geindex Interface_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Type_Definition}@anchor{1168}
-@deffn {Attribute} Interface_Type_Definition = 57
-@end deffn
+int([x]) -> integer
+int(x, base=10) -> integer
-@geindex File_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind File_Type_Definition}@anchor{1169}
-@deffn {Attribute} File_Type_Definition = 58
-@end deffn
+Convert a number or string to an integer, or return 0 if no arguments
+are given. If x is a number, return x.__int__(). For floating point
+numbers, this truncates towards zero.
-@geindex Protected_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Protected_Type_Declaration}@anchor{116a}
-@deffn {Attribute} Protected_Type_Declaration = 59
-@end deffn
+If x is not a number or if base is given, then x must be a string,
+bytes, or bytearray instance representing an integer literal in the
+given base. The literal can be preceded by ‘+’ or ‘-’ and be surrounded
+by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
+Base 0 means to interpret the base from the string as an integer literal.
+>>> int(‘0b100’, base=0)
+4
-@geindex Record_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Type_Definition}@anchor{116b}
-@deffn {Attribute} Record_Type_Definition = 60
+@example
+1
+@end example
@end deffn
-@geindex Array_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Type_Definition}@anchor{116c}
-@deffn {Attribute} Array_Type_Definition = 61
-@end deffn
+@geindex Iir_Flist_All (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Flist_All}@anchor{8fa}
+@deffn {Data} pyGHDL.libghdl.vhdl.nodes.Iir_Flist_All
-@geindex Array_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Subtype_Definition}@anchor{116d}
-@deffn {Attribute} Array_Subtype_Definition = 62
-@end deffn
+int([x]) -> integer
+int(x, base=10) -> integer
-@geindex Record_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Subtype_Definition}@anchor{116e}
-@deffn {Attribute} Record_Subtype_Definition = 63
-@end deffn
+Convert a number or string to an integer, or return 0 if no arguments
+are given. If x is a number, return x.__int__(). For floating point
+numbers, this truncates towards zero.
-@geindex Access_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Access_Subtype_Definition}@anchor{116f}
-@deffn {Attribute} Access_Subtype_Definition = 64
-@end deffn
+If x is not a number or if base is given, then x must be a string,
+bytes, or bytearray instance representing an integer literal in the
+given base. The literal can be preceded by ‘+’ or ‘-’ and be surrounded
+by whitespace. The base defaults to 10. Valid bases are 0 and 2-36.
+Base 0 means to interpret the base from the string as an integer literal.
+>>> int(‘0b100’, base=0)
+4
-@geindex Physical_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Subtype_Definition}@anchor{1170}
-@deffn {Attribute} Physical_Subtype_Definition = 65
+@example
+2
+@end example
@end deffn
-@geindex Floating_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Subtype_Definition}@anchor{1171}
-@deffn {Attribute} Floating_Subtype_Definition = 66
-@end deffn
-@geindex Integer_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Subtype_Definition}@anchor{1172}
-@deffn {Attribute} Integer_Subtype_Definition = 67
-@end deffn
+__________________________________________________________________
-@geindex Enumeration_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Subtype_Definition}@anchor{1173}
-@deffn {Attribute} Enumeration_Subtype_Definition = 68
-@end deffn
-@geindex Enumeration_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Type_Definition}@anchor{1174}
-@deffn {Attribute} Enumeration_Type_Definition = 69
-@end deffn
+`Functions'
-@geindex Integer_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Type_Definition}@anchor{1175}
-@deffn {Attribute} Integer_Type_Definition = 70
-@end deffn
+@geindex Get_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Kind}@anchor{8fb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Kind (node)
-@geindex Floating_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Type_Definition}@anchor{1176}
-@deffn {Attribute} Floating_Type_Definition = 71
-@end deffn
+Get node kind.
-@geindex Physical_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Type_Definition}@anchor{1177}
-@deffn {Attribute} Physical_Type_Definition = 72
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Range_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Range_Expression}@anchor{1178}
-@deffn {Attribute} Range_Expression = 73
-@end deffn
-@geindex Protected_Type_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Protected_Type_Body}@anchor{1179}
-@deffn {Attribute} Protected_Type_Body = 74
-@end deffn
+@*Parameters:
+@code{node} (@code{Iir}) –
-@geindex Wildcard_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Wildcard_Type_Definition}@anchor{117a}
-@deffn {Attribute} Wildcard_Type_Definition = 75
@end deffn
-@geindex Foreign_Vector_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Foreign_Vector_Type_Definition}@anchor{117b}
-@deffn {Attribute} Foreign_Vector_Type_Definition = 76
-@end deffn
+@geindex Get_Location() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Location}@anchor{8fc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Location (node)
-@geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Definition}@anchor{117c}
-@deffn {Attribute} Subtype_Definition = 77
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
-@geindex Scalar_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Scalar_Nature_Definition}@anchor{117d}
-@deffn {Attribute} Scalar_Nature_Definition = 78
-@end deffn
-@geindex Record_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Nature_Definition}@anchor{117e}
-@deffn {Attribute} Record_Nature_Definition = 79
-@end deffn
+@*Parameters:
+@code{node} (@code{Iir}) –
-@geindex Array_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Nature_Definition}@anchor{117f}
-@deffn {Attribute} Array_Nature_Definition = 80
@end deffn
-@geindex Array_Subnature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Subnature_Definition}@anchor{1180}
-@deffn {Attribute} Array_Subnature_Definition = 81
-@end deffn
+@geindex Get_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_First_Design_Unit}@anchor{8fd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_First_Design_Unit (obj)
-@geindex Overload_List (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Overload_List}@anchor{1181}
-@deffn {Attribute} Overload_List = 82
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Foreign_Module (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Foreign_Module}@anchor{1182}
-@deffn {Attribute} Foreign_Module = 83
-@end deffn
-@geindex Entity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Declaration}@anchor{1183}
-@deffn {Attribute} Entity_Declaration = 84
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Configuration_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Configuration_Declaration}@anchor{1184}
-@deffn {Attribute} Configuration_Declaration = 85
@end deffn
-@geindex Context_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Context_Declaration}@anchor{1185}
-@deffn {Attribute} Context_Declaration = 86
-@end deffn
+@geindex Set_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_First_Design_Unit}@anchor{8fe}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_First_Design_Unit (obj, value)
-@geindex Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Declaration}@anchor{1186}
-@deffn {Attribute} Package_Declaration = 87
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Package_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Instantiation_Declaration}@anchor{1187}
-@deffn {Attribute} Package_Instantiation_Declaration = 88
-@end deffn
-@geindex Vmode_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vmode_Declaration}@anchor{1188}
-@deffn {Attribute} Vmode_Declaration = 89
-@end deffn
+@*Parameters:
-@geindex Vprop_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vprop_Declaration}@anchor{1189}
-@deffn {Attribute} Vprop_Declaration = 90
-@end deffn
+@itemize *
-@geindex Vunit_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vunit_Declaration}@anchor{118a}
-@deffn {Attribute} Vunit_Declaration = 91
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Package_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Body}@anchor{118b}
-@deffn {Attribute} Package_Body = 92
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Architecture_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Architecture_Body}@anchor{118c}
-@deffn {Attribute} Architecture_Body = 93
@end deffn
-@geindex Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Type_Declaration}@anchor{118d}
-@deffn {Attribute} Type_Declaration = 94
-@end deffn
+@geindex Get_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Last_Design_Unit}@anchor{8ff}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Last_Design_Unit (obj)
-@geindex Anonymous_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Anonymous_Type_Declaration}@anchor{118e}
-@deffn {Attribute} Anonymous_Type_Declaration = 95
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Subtype_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Declaration}@anchor{118f}
-@deffn {Attribute} Subtype_Declaration = 96
-@end deffn
-@geindex Nature_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Declaration}@anchor{1190}
-@deffn {Attribute} Nature_Declaration = 97
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Subnature_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subnature_Declaration}@anchor{1191}
-@deffn {Attribute} Subnature_Declaration = 98
@end deffn
-@geindex Package_Header (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Header}@anchor{1192}
-@deffn {Attribute} Package_Header = 99
-@end deffn
+@geindex Set_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Last_Design_Unit}@anchor{900}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Last_Design_Unit (obj, value)
-@geindex Unit_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unit_Declaration}@anchor{1193}
-@deffn {Attribute} Unit_Declaration = 100
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Library_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Library_Declaration}@anchor{1194}
-@deffn {Attribute} Library_Declaration = 101
-@end deffn
-@geindex Component_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Declaration}@anchor{1195}
-@deffn {Attribute} Component_Declaration = 102
-@end deffn
+@*Parameters:
-@geindex Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Declaration}@anchor{1196}
-@deffn {Attribute} Attribute_Declaration = 103
-@end deffn
+@itemize *
-@geindex Group_Template_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Group_Template_Declaration}@anchor{1197}
-@deffn {Attribute} Group_Template_Declaration = 104
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Group_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Group_Declaration}@anchor{1198}
-@deffn {Attribute} Group_Declaration = 105
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Element_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Element_Declaration}@anchor{1199}
-@deffn {Attribute} Element_Declaration = 106
@end deffn
-@geindex Nature_Element_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Element_Declaration}@anchor{119a}
-@deffn {Attribute} Nature_Element_Declaration = 107
-@end deffn
+@geindex Get_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Declaration}@anchor{901}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Declaration (obj)
-@geindex Non_Object_Alias_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Non_Object_Alias_Declaration}@anchor{119b}
-@deffn {Attribute} Non_Object_Alias_Declaration = 108
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Psl_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Declaration}@anchor{119c}
-@deffn {Attribute} Psl_Declaration = 109
-@end deffn
-@geindex Psl_Endpoint_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Endpoint_Declaration}@anchor{119d}
-@deffn {Attribute} Psl_Endpoint_Declaration = 110
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Enumeration_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Literal}@anchor{119e}
-@deffn {Attribute} Enumeration_Literal = 111
@end deffn
-@geindex Function_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Declaration}@anchor{119f}
-@deffn {Attribute} Function_Declaration = 112
-@end deffn
+@geindex Set_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Declaration}@anchor{902}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Declaration (obj, value)
-@geindex Procedure_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Declaration}@anchor{11a0}
-@deffn {Attribute} Procedure_Declaration = 113
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Function_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Body}@anchor{11a1}
-@deffn {Attribute} Function_Body = 114
-@end deffn
-@geindex Procedure_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Body}@anchor{11a2}
-@deffn {Attribute} Procedure_Body = 115
-@end deffn
+@*Parameters:
-@geindex Function_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Instantiation_Declaration}@anchor{11a3}
-@deffn {Attribute} Function_Instantiation_Declaration = 116
-@end deffn
+@itemize *
-@geindex Procedure_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Instantiation_Declaration}@anchor{11a4}
-@deffn {Attribute} Procedure_Instantiation_Declaration = 117
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Terminal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Terminal_Declaration}@anchor{11a5}
-@deffn {Attribute} Terminal_Declaration = 118
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Object_Alias_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Object_Alias_Declaration}@anchor{11a6}
-@deffn {Attribute} Object_Alias_Declaration = 119
@end deffn
-@geindex Free_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Free_Quantity_Declaration}@anchor{11a7}
-@deffn {Attribute} Free_Quantity_Declaration = 120
-@end deffn
+@geindex Get_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Checksum}@anchor{903}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Checksum (obj)
-@geindex Spectrum_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Spectrum_Quantity_Declaration}@anchor{11a8}
-@deffn {Attribute} Spectrum_Quantity_Declaration = 121
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{FileChecksumId}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
-@geindex Noise_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Noise_Quantity_Declaration}@anchor{11a9}
-@deffn {Attribute} Noise_Quantity_Declaration = 122
-@end deffn
-@geindex Across_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Across_Quantity_Declaration}@anchor{11aa}
-@deffn {Attribute} Across_Quantity_Declaration = 123
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Through_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Through_Quantity_Declaration}@anchor{11ab}
-@deffn {Attribute} Through_Quantity_Declaration = 124
@end deffn
-@geindex File_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind File_Declaration}@anchor{11ac}
-@deffn {Attribute} File_Declaration = 125
-@end deffn
+@geindex Set_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Checksum}@anchor{904}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Checksum (obj, value)
-@geindex Guard_Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Guard_Signal_Declaration}@anchor{11ad}
-@deffn {Attribute} Guard_Signal_Declaration = 126
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Declaration}@anchor{11ae}
-@deffn {Attribute} Signal_Declaration = 127
-@end deffn
-@geindex Variable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Variable_Declaration}@anchor{11af}
-@deffn {Attribute} Variable_Declaration = 128
-@end deffn
+@*Parameters:
-@geindex Constant_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Constant_Declaration}@anchor{11b0}
-@deffn {Attribute} Constant_Declaration = 129
-@end deffn
+@itemize *
-@geindex Iterator_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Iterator_Declaration}@anchor{11b1}
-@deffn {Attribute} Iterator_Declaration = 130
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Interface_Constant_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Constant_Declaration}@anchor{11b2}
-@deffn {Attribute} Interface_Constant_Declaration = 131
-@end deffn
+@item
+@code{value} (@code{FileChecksumId}) –
+@end itemize
-@geindex Interface_Variable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Variable_Declaration}@anchor{11b3}
-@deffn {Attribute} Interface_Variable_Declaration = 132
@end deffn
-@geindex Interface_Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Signal_Declaration}@anchor{11b4}
-@deffn {Attribute} Interface_Signal_Declaration = 133
-@end deffn
+@geindex Get_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Time_Stamp}@anchor{905}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Analysis_Time_Stamp (obj)
-@geindex Interface_File_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_File_Declaration}@anchor{11b5}
-@deffn {Attribute} Interface_File_Declaration = 134
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{TimeStampId}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
-@geindex Interface_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Quantity_Declaration}@anchor{11b6}
-@deffn {Attribute} Interface_Quantity_Declaration = 135
-@end deffn
-@geindex Interface_Terminal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Terminal_Declaration}@anchor{11b7}
-@deffn {Attribute} Interface_Terminal_Declaration = 136
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Interface_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Type_Declaration}@anchor{11b8}
-@deffn {Attribute} Interface_Type_Declaration = 137
@end deffn
-@geindex Interface_Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Package_Declaration}@anchor{11b9}
-@deffn {Attribute} Interface_Package_Declaration = 138
-@end deffn
+@geindex Set_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Time_Stamp}@anchor{906}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Analysis_Time_Stamp (obj, value)
-@geindex Interface_Function_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Function_Declaration}@anchor{11ba}
-@deffn {Attribute} Interface_Function_Declaration = 139
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Interface_Procedure_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Procedure_Declaration}@anchor{11bb}
-@deffn {Attribute} Interface_Procedure_Declaration = 140
-@end deffn
-@geindex Signal_Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Attribute_Declaration}@anchor{11bc}
-@deffn {Attribute} Signal_Attribute_Declaration = 141
-@end deffn
+@*Parameters:
-@geindex Identity_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Identity_Operator}@anchor{11bd}
-@deffn {Attribute} Identity_Operator = 142
-@end deffn
+@itemize *
-@geindex Negation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Negation_Operator}@anchor{11be}
-@deffn {Attribute} Negation_Operator = 143
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Absolute_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Absolute_Operator}@anchor{11bf}
-@deffn {Attribute} Absolute_Operator = 144
-@end deffn
+@item
+@code{value} (@code{TimeStampId}) –
+@end itemize
-@geindex Not_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Not_Operator}@anchor{11c0}
-@deffn {Attribute} Not_Operator = 145
@end deffn
-@geindex Implicit_Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Implicit_Condition_Operator}@anchor{11c1}
-@deffn {Attribute} Implicit_Condition_Operator = 146
-@end deffn
+@geindex Get_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Source}@anchor{907}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Source (obj)
-@geindex Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Condition_Operator}@anchor{11c2}
-@deffn {Attribute} Condition_Operator = 147
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
-@geindex Reduction_And_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_And_Operator}@anchor{11c3}
-@deffn {Attribute} Reduction_And_Operator = 148
-@end deffn
-@geindex Reduction_Or_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Or_Operator}@anchor{11c4}
-@deffn {Attribute} Reduction_Or_Operator = 149
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Reduction_Nand_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Nand_Operator}@anchor{11c5}
-@deffn {Attribute} Reduction_Nand_Operator = 150
@end deffn
-@geindex Reduction_Nor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Nor_Operator}@anchor{11c6}
-@deffn {Attribute} Reduction_Nor_Operator = 151
-@end deffn
+@geindex Set_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Source}@anchor{908}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Source (obj, value)
-@geindex Reduction_Xor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Xor_Operator}@anchor{11c7}
-@deffn {Attribute} Reduction_Xor_Operator = 152
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Reduction_Xnor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Xnor_Operator}@anchor{11c8}
-@deffn {Attribute} Reduction_Xnor_Operator = 153
-@end deffn
-@geindex And_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind And_Operator}@anchor{11c9}
-@deffn {Attribute} And_Operator = 154
-@end deffn
+@*Parameters:
-@geindex Or_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Or_Operator}@anchor{11ca}
-@deffn {Attribute} Or_Operator = 155
-@end deffn
+@itemize *
-@geindex Nand_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nand_Operator}@anchor{11cb}
-@deffn {Attribute} Nand_Operator = 156
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Nor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nor_Operator}@anchor{11cc}
-@deffn {Attribute} Nor_Operator = 157
-@end deffn
+@item
+@code{value} (@code{SourceFileEntry}) –
+@end itemize
-@geindex Xor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Xor_Operator}@anchor{11cd}
-@deffn {Attribute} Xor_Operator = 158
@end deffn
-@geindex Xnor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Xnor_Operator}@anchor{11ce}
-@deffn {Attribute} Xnor_Operator = 159
-@end deffn
+@geindex Get_Library() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library}@anchor{909}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library (obj)
-@geindex Equality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Equality_Operator}@anchor{11cf}
-@deffn {Attribute} Equality_Operator = 160
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Inequality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Inequality_Operator}@anchor{11d0}
-@deffn {Attribute} Inequality_Operator = 161
-@end deffn
-@geindex Less_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Less_Than_Operator}@anchor{11d1}
-@deffn {Attribute} Less_Than_Operator = 162
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Less_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Less_Than_Or_Equal_Operator}@anchor{11d2}
-@deffn {Attribute} Less_Than_Or_Equal_Operator = 163
@end deffn
-@geindex Greater_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Greater_Than_Operator}@anchor{11d3}
-@deffn {Attribute} Greater_Than_Operator = 164
-@end deffn
+@geindex Set_Library() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library}@anchor{90a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library (obj, value)
-@geindex Greater_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Greater_Than_Or_Equal_Operator}@anchor{11d4}
-@deffn {Attribute} Greater_Than_Or_Equal_Operator = 165
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Match_Equality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Equality_Operator}@anchor{11d5}
-@deffn {Attribute} Match_Equality_Operator = 166
-@end deffn
-@geindex Match_Inequality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Inequality_Operator}@anchor{11d6}
-@deffn {Attribute} Match_Inequality_Operator = 167
-@end deffn
+@*Parameters:
-@geindex Match_Less_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Less_Than_Operator}@anchor{11d7}
-@deffn {Attribute} Match_Less_Than_Operator = 168
-@end deffn
+@itemize *
-@geindex Match_Less_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Less_Than_Or_Equal_Operator}@anchor{11d8}
-@deffn {Attribute} Match_Less_Than_Or_Equal_Operator = 169
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Match_Greater_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Greater_Than_Operator}@anchor{11d9}
-@deffn {Attribute} Match_Greater_Than_Operator = 170
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Match_Greater_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Greater_Than_Or_Equal_Operator}@anchor{11da}
-@deffn {Attribute} Match_Greater_Than_Or_Equal_Operator = 171
@end deffn
-@geindex Sll_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sll_Operator}@anchor{11db}
-@deffn {Attribute} Sll_Operator = 172
-@end deffn
+@geindex Get_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Dependence_List}@anchor{90b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Dependence_List (obj)
-@geindex Sla_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sla_Operator}@anchor{11dc}
-@deffn {Attribute} Sla_Operator = 173
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Srl_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Srl_Operator}@anchor{11dd}
-@deffn {Attribute} Srl_Operator = 174
-@end deffn
-@geindex Sra_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sra_Operator}@anchor{11de}
-@deffn {Attribute} Sra_Operator = 175
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Rol_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Rol_Operator}@anchor{11df}
-@deffn {Attribute} Rol_Operator = 176
@end deffn
-@geindex Ror_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ror_Operator}@anchor{11e0}
-@deffn {Attribute} Ror_Operator = 177
-@end deffn
+@geindex Set_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Dependence_List}@anchor{90c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Dependence_List (obj, value)
-@geindex Addition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Addition_Operator}@anchor{11e1}
-@deffn {Attribute} Addition_Operator = 178
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Substraction_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Substraction_Operator}@anchor{11e2}
-@deffn {Attribute} Substraction_Operator = 179
-@end deffn
-@geindex Concatenation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concatenation_Operator}@anchor{11e3}
-@deffn {Attribute} Concatenation_Operator = 180
-@end deffn
+@*Parameters:
-@geindex Multiplication_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Multiplication_Operator}@anchor{11e4}
-@deffn {Attribute} Multiplication_Operator = 181
-@end deffn
+@itemize *
-@geindex Division_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Division_Operator}@anchor{11e5}
-@deffn {Attribute} Division_Operator = 182
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Modulus_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Modulus_Operator}@anchor{11e6}
-@deffn {Attribute} Modulus_Operator = 183
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Remainder_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Remainder_Operator}@anchor{11e7}
-@deffn {Attribute} Remainder_Operator = 184
@end deffn
-@geindex Exponentiation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Exponentiation_Operator}@anchor{11e8}
-@deffn {Attribute} Exponentiation_Operator = 185
-@end deffn
+@geindex Get_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Filename}@anchor{90d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Filename (obj)
-@geindex Function_Call (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Call}@anchor{11e9}
-@deffn {Attribute} Function_Call = 186
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Aggregate (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Aggregate}@anchor{11ea}
-@deffn {Attribute} Aggregate = 187
-@end deffn
-@geindex Parenthesis_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Parenthesis_Expression}@anchor{11eb}
-@deffn {Attribute} Parenthesis_Expression = 188
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Qualified_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Qualified_Expression}@anchor{11ec}
-@deffn {Attribute} Qualified_Expression = 189
@end deffn
-@geindex Type_Conversion (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Type_Conversion}@anchor{11ed}
-@deffn {Attribute} Type_Conversion = 190
-@end deffn
+@geindex Set_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Filename}@anchor{90e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Filename (obj, value)
-@geindex Allocator_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Allocator_By_Expression}@anchor{11ee}
-@deffn {Attribute} Allocator_By_Expression = 191
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Allocator_By_Subtype (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Allocator_By_Subtype}@anchor{11ef}
-@deffn {Attribute} Allocator_By_Subtype = 192
-@end deffn
-@geindex Selected_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Element}@anchor{11f0}
-@deffn {Attribute} Selected_Element = 193
-@end deffn
+@*Parameters:
-@geindex Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Dereference}@anchor{11f1}
-@deffn {Attribute} Dereference = 194
-@end deffn
+@itemize *
-@geindex Implicit_Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Implicit_Dereference}@anchor{11f2}
-@deffn {Attribute} Implicit_Dereference = 195
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Slice_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Slice_Name}@anchor{11f3}
-@deffn {Attribute} Slice_Name = 196
-@end deffn
+@item
+@code{value} (@code{NameId}) –
+@end itemize
-@geindex Indexed_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Indexed_Name}@anchor{11f4}
-@deffn {Attribute} Indexed_Name = 197
@end deffn
-@geindex Psl_Prev (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Prev}@anchor{11f5}
-@deffn {Attribute} Psl_Prev = 198
-@end deffn
+@geindex Get_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Directory}@anchor{90f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Directory (obj)
-@geindex Psl_Stable (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Stable}@anchor{11f6}
-@deffn {Attribute} Psl_Stable = 199
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Psl_Rose (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Rose}@anchor{11f7}
-@deffn {Attribute} Psl_Rose = 200
-@end deffn
-@geindex Psl_Fell (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Fell}@anchor{11f8}
-@deffn {Attribute} Psl_Fell = 201
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Psl_Onehot (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Onehot}@anchor{11f9}
-@deffn {Attribute} Psl_Onehot = 202
@end deffn
-@geindex Psl_Onehot0 (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Onehot0}@anchor{11fa}
-@deffn {Attribute} Psl_Onehot0 = 203
-@end deffn
+@geindex Set_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Directory}@anchor{910}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Directory (obj, value)
-@geindex Psl_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Expression}@anchor{11fb}
-@deffn {Attribute} Psl_Expression = 204
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Sensitized_Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sensitized_Process_Statement}@anchor{11fc}
-@deffn {Attribute} Sensitized_Process_Statement = 205
-@end deffn
-@geindex Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Process_Statement}@anchor{11fd}
-@deffn {Attribute} Process_Statement = 206
-@end deffn
+@*Parameters:
-@geindex Concurrent_Simple_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Simple_Signal_Assignment}@anchor{11fe}
-@deffn {Attribute} Concurrent_Simple_Signal_Assignment = 207
-@end deffn
+@itemize *
-@geindex Concurrent_Conditional_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Conditional_Signal_Assignment}@anchor{11ff}
-@deffn {Attribute} Concurrent_Conditional_Signal_Assignment = 208
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Concurrent_Selected_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Selected_Signal_Assignment}@anchor{1200}
-@deffn {Attribute} Concurrent_Selected_Signal_Assignment = 209
-@end deffn
+@item
+@code{value} (@code{NameId}) –
+@end itemize
-@geindex Concurrent_Assertion_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Assertion_Statement}@anchor{1201}
-@deffn {Attribute} Concurrent_Assertion_Statement = 210
@end deffn
-@geindex Concurrent_Procedure_Call_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Procedure_Call_Statement}@anchor{1202}
-@deffn {Attribute} Concurrent_Procedure_Call_Statement = 211
-@end deffn
+@geindex Get_Design_File() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File}@anchor{911}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File (obj)
-@geindex Concurrent_Break_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Break_Statement}@anchor{1203}
-@deffn {Attribute} Concurrent_Break_Statement = 212
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Psl_Assert_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Assert_Directive}@anchor{1204}
-@deffn {Attribute} Psl_Assert_Directive = 213
-@end deffn
-@geindex Psl_Assume_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Assume_Directive}@anchor{1205}
-@deffn {Attribute} Psl_Assume_Directive = 214
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Psl_Cover_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Cover_Directive}@anchor{1206}
-@deffn {Attribute} Psl_Cover_Directive = 215
@end deffn
-@geindex Psl_Restrict_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Restrict_Directive}@anchor{1207}
-@deffn {Attribute} Psl_Restrict_Directive = 216
-@end deffn
+@geindex Set_Design_File() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File}@anchor{912}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File (obj, value)
-@geindex Block_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Statement}@anchor{1208}
-@deffn {Attribute} Block_Statement = 217
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex If_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Generate_Statement}@anchor{1209}
-@deffn {Attribute} If_Generate_Statement = 218
-@end deffn
-@geindex Case_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Case_Generate_Statement}@anchor{120a}
-@deffn {Attribute} Case_Generate_Statement = 219
-@end deffn
+@*Parameters:
-@geindex For_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind For_Generate_Statement}@anchor{120b}
-@deffn {Attribute} For_Generate_Statement = 220
-@end deffn
+@itemize *
-@geindex Component_Instantiation_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Instantiation_Statement}@anchor{120c}
-@deffn {Attribute} Component_Instantiation_Statement = 221
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Psl_Default_Clock (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Default_Clock}@anchor{120d}
-@deffn {Attribute} Psl_Default_Clock = 222
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Generate_Statement_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Generate_Statement_Body}@anchor{120e}
-@deffn {Attribute} Generate_Statement_Body = 223
@end deffn
-@geindex If_Generate_Else_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Generate_Else_Clause}@anchor{120f}
-@deffn {Attribute} If_Generate_Else_Clause = 224
-@end deffn
+@geindex Get_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Chain}@anchor{913}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Chain (obj)
-@geindex Simple_Simultaneous_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Simultaneous_Statement}@anchor{1210}
-@deffn {Attribute} Simple_Simultaneous_Statement = 225
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Simultaneous_Null_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Null_Statement}@anchor{1211}
-@deffn {Attribute} Simultaneous_Null_Statement = 226
-@end deffn
-@geindex Simultaneous_Procedural_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Procedural_Statement}@anchor{1212}
-@deffn {Attribute} Simultaneous_Procedural_Statement = 227
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Simultaneous_Case_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Case_Statement}@anchor{1213}
-@deffn {Attribute} Simultaneous_Case_Statement = 228
@end deffn
-@geindex Simultaneous_If_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_If_Statement}@anchor{1214}
-@deffn {Attribute} Simultaneous_If_Statement = 229
-@end deffn
+@geindex Set_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Chain}@anchor{914}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Chain (obj, value)
-@geindex Simultaneous_Elsif (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Elsif}@anchor{1215}
-@deffn {Attribute} Simultaneous_Elsif = 230
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Simple_Signal_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Signal_Assignment_Statement}@anchor{1216}
-@deffn {Attribute} Simple_Signal_Assignment_Statement = 231
-@end deffn
-@geindex Conditional_Signal_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Signal_Assignment_Statement}@anchor{1217}
-@deffn {Attribute} Conditional_Signal_Assignment_Statement = 232
-@end deffn
+@*Parameters:
-@geindex Selected_Waveform_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Waveform_Assignment_Statement}@anchor{1218}
-@deffn {Attribute} Selected_Waveform_Assignment_Statement = 233
-@end deffn
+@itemize *
-@geindex Signal_Force_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Force_Assignment_Statement}@anchor{1219}
-@deffn {Attribute} Signal_Force_Assignment_Statement = 234
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Signal_Release_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Release_Assignment_Statement}@anchor{121a}
-@deffn {Attribute} Signal_Release_Assignment_Statement = 235
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Null_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Null_Statement}@anchor{121b}
-@deffn {Attribute} Null_Statement = 236
@end deffn
-@geindex Assertion_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Assertion_Statement}@anchor{121c}
-@deffn {Attribute} Assertion_Statement = 237
-@end deffn
+@geindex Get_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Directory}@anchor{915}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Directory (obj)
-@geindex Report_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Report_Statement}@anchor{121d}
-@deffn {Attribute} Report_Statement = 238
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Wait_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Wait_Statement}@anchor{121e}
-@deffn {Attribute} Wait_Statement = 239
-@end deffn
-@geindex Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Variable_Assignment_Statement}@anchor{121f}
-@deffn {Attribute} Variable_Assignment_Statement = 240
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Conditional_Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Variable_Assignment_Statement}@anchor{1220}
-@deffn {Attribute} Conditional_Variable_Assignment_Statement = 241
@end deffn
-@geindex Return_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Return_Statement}@anchor{1221}
-@deffn {Attribute} Return_Statement = 242
-@end deffn
+@geindex Set_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Directory}@anchor{916}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Directory (obj, value)
-@geindex For_Loop_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind For_Loop_Statement}@anchor{1222}
-@deffn {Attribute} For_Loop_Statement = 243
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex While_Loop_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind While_Loop_Statement}@anchor{1223}
-@deffn {Attribute} While_Loop_Statement = 244
-@end deffn
-@geindex Next_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Next_Statement}@anchor{1224}
-@deffn {Attribute} Next_Statement = 245
-@end deffn
+@*Parameters:
-@geindex Exit_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Exit_Statement}@anchor{1225}
-@deffn {Attribute} Exit_Statement = 246
-@end deffn
+@itemize *
-@geindex Case_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Case_Statement}@anchor{1226}
-@deffn {Attribute} Case_Statement = 247
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Procedure_Call_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Call_Statement}@anchor{1227}
-@deffn {Attribute} Procedure_Call_Statement = 248
-@end deffn
+@item
+@code{value} (@code{NameId}) –
+@end itemize
-@geindex Break_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Break_Statement}@anchor{1228}
-@deffn {Attribute} Break_Statement = 249
@end deffn
-@geindex If_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Statement}@anchor{1229}
-@deffn {Attribute} If_Statement = 250
-@end deffn
+@geindex Get_Date() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date}@anchor{917}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Date (obj)
-@geindex Elsif (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Elsif}@anchor{122a}
-@deffn {Attribute} Elsif = 251
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{DateType}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Character_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Character_Literal}@anchor{122b}
-@deffn {Attribute} Character_Literal = 252
-@end deffn
-@geindex Simple_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Name}@anchor{122c}
-@deffn {Attribute} Simple_Name = 253
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Selected_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Name}@anchor{122d}
-@deffn {Attribute} Selected_Name = 254
@end deffn
-@geindex Operator_Symbol (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Operator_Symbol}@anchor{122e}
-@deffn {Attribute} Operator_Symbol = 255
-@end deffn
+@geindex Set_Date() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date}@anchor{918}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Date (obj, value)
-@geindex Reference_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reference_Name}@anchor{122f}
-@deffn {Attribute} Reference_Name = 256
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex External_Constant_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Constant_Name}@anchor{1230}
-@deffn {Attribute} External_Constant_Name = 257
-@end deffn
-@geindex External_Signal_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Signal_Name}@anchor{1231}
-@deffn {Attribute} External_Signal_Name = 258
-@end deffn
+@*Parameters:
-@geindex External_Variable_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Variable_Name}@anchor{1232}
-@deffn {Attribute} External_Variable_Name = 259
-@end deffn
+@itemize *
-@geindex Selected_By_All_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_By_All_Name}@anchor{1233}
-@deffn {Attribute} Selected_By_All_Name = 260
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Parenthesis_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Parenthesis_Name}@anchor{1234}
-@deffn {Attribute} Parenthesis_Name = 261
-@end deffn
+@item
+@code{value} (@code{DateType}) –
+@end itemize
-@geindex Package_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Pathname}@anchor{1235}
-@deffn {Attribute} Package_Pathname = 262
@end deffn
-@geindex Absolute_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Absolute_Pathname}@anchor{1236}
-@deffn {Attribute} Absolute_Pathname = 263
-@end deffn
+@geindex Get_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Items}@anchor{919}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Context_Items (obj)
-@geindex Relative_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Relative_Pathname}@anchor{1237}
-@deffn {Attribute} Relative_Pathname = 264
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Pathname_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pathname_Element}@anchor{1238}
-@deffn {Attribute} Pathname_Element = 265
-@end deffn
-@geindex Base_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Base_Attribute}@anchor{1239}
-@deffn {Attribute} Base_Attribute = 266
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Subtype_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Attribute}@anchor{123a}
-@deffn {Attribute} Subtype_Attribute = 267
@end deffn
-@geindex Element_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Element_Attribute}@anchor{123b}
-@deffn {Attribute} Element_Attribute = 268
-@end deffn
+@geindex Set_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Items}@anchor{91a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Context_Items (obj, value)
-@geindex Across_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Across_Attribute}@anchor{123c}
-@deffn {Attribute} Across_Attribute = 269
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Through_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Through_Attribute}@anchor{123d}
-@deffn {Attribute} Through_Attribute = 270
-@end deffn
-@geindex Nature_Reference_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Reference_Attribute}@anchor{123e}
-@deffn {Attribute} Nature_Reference_Attribute = 271
-@end deffn
+@*Parameters:
-@geindex Left_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Left_Type_Attribute}@anchor{123f}
-@deffn {Attribute} Left_Type_Attribute = 272
-@end deffn
+@itemize *
-@geindex Right_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Right_Type_Attribute}@anchor{1240}
-@deffn {Attribute} Right_Type_Attribute = 273
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex High_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind High_Type_Attribute}@anchor{1241}
-@deffn {Attribute} High_Type_Attribute = 274
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Low_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Low_Type_Attribute}@anchor{1242}
-@deffn {Attribute} Low_Type_Attribute = 275
@end deffn
-@geindex Ascending_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ascending_Type_Attribute}@anchor{1243}
-@deffn {Attribute} Ascending_Type_Attribute = 276
-@end deffn
+@geindex Get_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Dependence_List}@anchor{91b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Dependence_List (obj)
-@geindex Image_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Image_Attribute}@anchor{1244}
-@deffn {Attribute} Image_Attribute = 277
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Value_Attribute}@anchor{1245}
-@deffn {Attribute} Value_Attribute = 278
-@end deffn
-@geindex Pos_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pos_Attribute}@anchor{1246}
-@deffn {Attribute} Pos_Attribute = 279
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Val_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Val_Attribute}@anchor{1247}
-@deffn {Attribute} Val_Attribute = 280
@end deffn
-@geindex Succ_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Succ_Attribute}@anchor{1248}
-@deffn {Attribute} Succ_Attribute = 281
-@end deffn
+@geindex Set_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Dependence_List}@anchor{91c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Dependence_List (obj, value)
-@geindex Pred_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pred_Attribute}@anchor{1249}
-@deffn {Attribute} Pred_Attribute = 282
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Leftof_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Leftof_Attribute}@anchor{124a}
-@deffn {Attribute} Leftof_Attribute = 283
-@end deffn
-@geindex Rightof_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Rightof_Attribute}@anchor{124b}
-@deffn {Attribute} Rightof_Attribute = 284
-@end deffn
+@*Parameters:
-@geindex Signal_Slew_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Slew_Attribute}@anchor{124c}
-@deffn {Attribute} Signal_Slew_Attribute = 285
-@end deffn
+@itemize *
-@geindex Quantity_Slew_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quantity_Slew_Attribute}@anchor{124d}
-@deffn {Attribute} Quantity_Slew_Attribute = 286
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ramp_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ramp_Attribute}@anchor{124e}
-@deffn {Attribute} Ramp_Attribute = 287
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Zoh_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Zoh_Attribute}@anchor{124f}
-@deffn {Attribute} Zoh_Attribute = 288
@end deffn
-@geindex Ltf_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ltf_Attribute}@anchor{1250}
-@deffn {Attribute} Ltf_Attribute = 289
-@end deffn
+@geindex Get_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Checks_List}@anchor{91d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Analysis_Checks_List (obj)
-@geindex Ztf_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ztf_Attribute}@anchor{1251}
-@deffn {Attribute} Ztf_Attribute = 290
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Dot_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Dot_Attribute}@anchor{1252}
-@deffn {Attribute} Dot_Attribute = 291
-@end deffn
-@geindex Integ_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integ_Attribute}@anchor{1253}
-@deffn {Attribute} Integ_Attribute = 292
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Above_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Above_Attribute}@anchor{1254}
-@deffn {Attribute} Above_Attribute = 293
@end deffn
-@geindex Quantity_Delayed_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quantity_Delayed_Attribute}@anchor{1255}
-@deffn {Attribute} Quantity_Delayed_Attribute = 294
-@end deffn
+@geindex Set_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Checks_List}@anchor{91e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Analysis_Checks_List (obj, value)
-@geindex Delayed_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Delayed_Attribute}@anchor{1256}
-@deffn {Attribute} Delayed_Attribute = 295
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Stable_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Stable_Attribute}@anchor{1257}
-@deffn {Attribute} Stable_Attribute = 296
-@end deffn
-@geindex Quiet_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quiet_Attribute}@anchor{1258}
-@deffn {Attribute} Quiet_Attribute = 297
-@end deffn
+@*Parameters:
-@geindex Transaction_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Transaction_Attribute}@anchor{1259}
-@deffn {Attribute} Transaction_Attribute = 298
-@end deffn
+@itemize *
-@geindex Event_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Event_Attribute}@anchor{125a}
-@deffn {Attribute} Event_Attribute = 299
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Active_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Active_Attribute}@anchor{125b}
-@deffn {Attribute} Active_Attribute = 300
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Last_Event_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Event_Attribute}@anchor{125c}
-@deffn {Attribute} Last_Event_Attribute = 301
@end deffn
-@geindex Last_Active_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Active_Attribute}@anchor{125d}
-@deffn {Attribute} Last_Active_Attribute = 302
-@end deffn
+@geindex Get_Date_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date_State}@anchor{91f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Date_State (obj)
-@geindex Last_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Value_Attribute}@anchor{125e}
-@deffn {Attribute} Last_Value_Attribute = 303
-@end deffn
+@*Return type:
+@ref{c0b,,DateStateType}
-@geindex Driving_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Driving_Attribute}@anchor{125f}
-@deffn {Attribute} Driving_Attribute = 304
-@end deffn
-@geindex Driving_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Driving_Value_Attribute}@anchor{1260}
-@deffn {Attribute} Driving_Value_Attribute = 305
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Behavior_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Behavior_Attribute}@anchor{1261}
-@deffn {Attribute} Behavior_Attribute = 306
@end deffn
-@geindex Structure_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Structure_Attribute}@anchor{1262}
-@deffn {Attribute} Structure_Attribute = 307
-@end deffn
+@geindex Set_Date_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date_State}@anchor{920}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Date_State (obj, value)
-@geindex Simple_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Name_Attribute}@anchor{1263}
-@deffn {Attribute} Simple_Name_Attribute = 308
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Instance_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Instance_Name_Attribute}@anchor{1264}
-@deffn {Attribute} Instance_Name_Attribute = 309
-@end deffn
-@geindex Path_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Path_Name_Attribute}@anchor{1265}
-@deffn {Attribute} Path_Name_Attribute = 310
-@end deffn
+@*Parameters:
-@geindex Left_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Left_Array_Attribute}@anchor{1266}
-@deffn {Attribute} Left_Array_Attribute = 311
-@end deffn
+@itemize *
-@geindex Right_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Right_Array_Attribute}@anchor{1267}
-@deffn {Attribute} Right_Array_Attribute = 312
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex High_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind High_Array_Attribute}@anchor{1268}
-@deffn {Attribute} High_Array_Attribute = 313
-@end deffn
+@item
+@code{value} (@ref{c0b,,DateStateType}) –
+@end itemize
-@geindex Low_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Low_Array_Attribute}@anchor{1269}
-@deffn {Attribute} Low_Array_Attribute = 314
@end deffn
-@geindex Length_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Length_Array_Attribute}@anchor{126a}
-@deffn {Attribute} Length_Array_Attribute = 315
-@end deffn
+@geindex Get_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Target_State}@anchor{921}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guarded_Target_State (obj)
-@geindex Ascending_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ascending_Array_Attribute}@anchor{126b}
-@deffn {Attribute} Ascending_Array_Attribute = 316
-@end deffn
+@*Return type:
+@ref{833,,TriStateType}
-@geindex Range_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Range_Array_Attribute}@anchor{126c}
-@deffn {Attribute} Range_Array_Attribute = 317
-@end deffn
-@geindex Reverse_Range_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reverse_Range_Array_Attribute}@anchor{126d}
-@deffn {Attribute} Reverse_Range_Array_Attribute = 318
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Attribute_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Name}@anchor{126e}
-@deffn {Attribute} Attribute_Name = 319
-@end deffn
@end deffn
-@geindex Iir_Kinds (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds}@anchor{e3c}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Kinds
+@geindex Set_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Target_State}@anchor{922}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guarded_Target_State (obj, value)
-@subsubheading Inheritance
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@image{inheritance-f9eb2c0f32773b6d6194b4ca03831243ef08158e,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Library_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Library_Unit}@anchor{126f}
-@deffn {Attribute} Library_Unit = [<Iir_Kind.Foreign_Module: 83>, <Iir_Kind.Entity_Declaration: 84>, <Iir_Kind.Configuration_Declaration: 85>, <Iir_Kind.Context_Declaration: 86>, <Iir_Kind.Package_Declaration: 87>, <Iir_Kind.Package_Instantiation_Declaration: 88>, <Iir_Kind.Vmode_Declaration: 89>, <Iir_Kind.Vprop_Declaration: 90>, <Iir_Kind.Vunit_Declaration: 91>, <Iir_Kind.Package_Body: 92>, <Iir_Kind.Architecture_Body: 93>]
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Primary_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Primary_Unit}@anchor{1270}
-@deffn {Attribute} Primary_Unit = [<Iir_Kind.Entity_Declaration: 84>, <Iir_Kind.Configuration_Declaration: 85>, <Iir_Kind.Context_Declaration: 86>, <Iir_Kind.Package_Declaration: 87>, <Iir_Kind.Package_Instantiation_Declaration: 88>, <Iir_Kind.Vmode_Declaration: 89>, <Iir_Kind.Vprop_Declaration: 90>, <Iir_Kind.Vunit_Declaration: 91>]
-@end deffn
+@item
+@code{value} (@ref{833,,TriStateType}) –
+@end itemize
-@geindex Secondary_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Secondary_Unit}@anchor{1271}
-@deffn {Attribute} Secondary_Unit = [<Iir_Kind.Package_Body: 92>, <Iir_Kind.Architecture_Body: 93>]
@end deffn
-@geindex Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Package_Declaration}@anchor{1272}
-@deffn {Attribute} Package_Declaration = [<Iir_Kind.Package_Declaration: 87>, <Iir_Kind.Package_Instantiation_Declaration: 88>]
-@end deffn
+@geindex Get_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Unit}@anchor{923}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Unit (obj)
-@geindex Verification_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Verification_Unit}@anchor{1273}
-@deffn {Attribute} Verification_Unit = [<Iir_Kind.Vmode_Declaration: 89>, <Iir_Kind.Vprop_Declaration: 90>, <Iir_Kind.Vunit_Declaration: 91>]
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Literal}@anchor{1274}
-@deffn {Attribute} Literal = [<Iir_Kind.Integer_Literal: 8>, <Iir_Kind.Floating_Point_Literal: 9>, <Iir_Kind.Null_Literal: 10>, <Iir_Kind.String_Literal8: 11>, <Iir_Kind.Physical_Int_Literal: 12>, <Iir_Kind.Physical_Fp_Literal: 13>]
-@end deffn
-@geindex Physical_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Physical_Literal}@anchor{1275}
-@deffn {Attribute} Physical_Literal = [<Iir_Kind.Physical_Int_Literal: 12>, <Iir_Kind.Physical_Fp_Literal: 13>]
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Array_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Type_Definition}@anchor{1276}
-@deffn {Attribute} Array_Type_Definition = [<Iir_Kind.Array_Type_Definition: 61>, <Iir_Kind.Array_Subtype_Definition: 62>]
@end deffn
-@geindex Type_And_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_And_Subtype_Definition}@anchor{1277}
-@deffn {Attribute} Type_And_Subtype_Definition = [<Iir_Kind.Access_Type_Definition: 55>, <Iir_Kind.Incomplete_Type_Definition: 56>, <Iir_Kind.Interface_Type_Definition: 57>, <Iir_Kind.File_Type_Definition: 58>, <Iir_Kind.Protected_Type_Declaration: 59>, <Iir_Kind.Record_Type_Definition: 60>, <Iir_Kind.Array_Type_Definition: 61>, <Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>, <Iir_Kind.Access_Subtype_Definition: 64>, <Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>, <Iir_Kind.Integer_Type_Definition: 70>, <Iir_Kind.Floating_Type_Definition: 71>, <Iir_Kind.Physical_Type_Definition: 72>]
-@end deffn
+@geindex Set_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Unit}@anchor{924}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Unit (obj, value)
-@geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subtype_Definition}@anchor{1278}
-@deffn {Attribute} Subtype_Definition = [<Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>, <Iir_Kind.Access_Subtype_Definition: 64>, <Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>]
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Scalar_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Subtype_Definition}@anchor{1279}
-@deffn {Attribute} Scalar_Subtype_Definition = [<Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>]
-@end deffn
-@geindex Scalar_Type_And_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Type_And_Subtype_Definition}@anchor{127a}
-@deffn {Attribute} Scalar_Type_And_Subtype_Definition = [<Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>, <Iir_Kind.Integer_Type_Definition: 70>, <Iir_Kind.Floating_Type_Definition: 71>, <Iir_Kind.Physical_Type_Definition: 72>]
-@end deffn
+@*Parameters:
-@geindex Range_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Range_Type_Definition}@anchor{127b}
-@deffn {Attribute} Range_Type_Definition = [<Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>]
-@end deffn
+@itemize *
-@geindex Discrete_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Discrete_Type_Definition}@anchor{127c}
-@deffn {Attribute} Discrete_Type_Definition = [<Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>, <Iir_Kind.Integer_Type_Definition: 70>]
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Composite_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Composite_Type_Definition}@anchor{127d}
-@deffn {Attribute} Composite_Type_Definition = [<Iir_Kind.Record_Type_Definition: 60>, <Iir_Kind.Array_Type_Definition: 61>, <Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>]
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Composite_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Composite_Subtype_Definition}@anchor{127e}
-@deffn {Attribute} Composite_Subtype_Definition = [<Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>]
@end deffn
-@geindex Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_Declaration}@anchor{127f}
-@deffn {Attribute} Type_Declaration = [<Iir_Kind.Type_Declaration: 94>, <Iir_Kind.Anonymous_Type_Declaration: 95>, <Iir_Kind.Subtype_Declaration: 96>]
-@end deffn
+@geindex Get_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hash_Chain}@anchor{925}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hash_Chain (obj)
-@geindex Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nature_Definition}@anchor{1280}
-@deffn {Attribute} Nature_Definition = [<Iir_Kind.Scalar_Nature_Definition: 78>, <Iir_Kind.Record_Nature_Definition: 79>, <Iir_Kind.Array_Nature_Definition: 80>]
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Subnature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subnature_Definition}@anchor{1281}
-@deffn {Attribute} Subnature_Definition = [<Iir_Kind.Array_Subnature_Definition: 81>]
-@end deffn
-@geindex Nature_Indication (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nature_Indication}@anchor{1282}
-@deffn {Attribute} Nature_Indication = [<Iir_Kind.Scalar_Nature_Definition: 78>, <Iir_Kind.Record_Nature_Definition: 79>, <Iir_Kind.Array_Nature_Definition: 80>, <Iir_Kind.Array_Subnature_Definition: 81>]
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Nonoverloadable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nonoverloadable_Declaration}@anchor{1283}
-@deffn {Attribute} Nonoverloadable_Declaration = [<Iir_Kind.Type_Declaration: 94>, <Iir_Kind.Anonymous_Type_Declaration: 95>, <Iir_Kind.Subtype_Declaration: 96>, <Iir_Kind.Nature_Declaration: 97>, <Iir_Kind.Subnature_Declaration: 98>, <Iir_Kind.Package_Header: 99>, <Iir_Kind.Unit_Declaration: 100>, <Iir_Kind.Library_Declaration: 101>, <Iir_Kind.Component_Declaration: 102>, <Iir_Kind.Attribute_Declaration: 103>, <Iir_Kind.Group_Template_Declaration: 104>, <Iir_Kind.Group_Declaration: 105>, <Iir_Kind.Element_Declaration: 106>, <Iir_Kind.Nature_Element_Declaration: 107>]
@end deffn
-@geindex Monadic_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Monadic_Operator}@anchor{1284}
-@deffn {Attribute} Monadic_Operator = [<Iir_Kind.Identity_Operator: 142>, <Iir_Kind.Negation_Operator: 143>, <Iir_Kind.Absolute_Operator: 144>, <Iir_Kind.Not_Operator: 145>, <Iir_Kind.Implicit_Condition_Operator: 146>, <Iir_Kind.Condition_Operator: 147>, <Iir_Kind.Reduction_And_Operator: 148>, <Iir_Kind.Reduction_Or_Operator: 149>, <Iir_Kind.Reduction_Nand_Operator: 150>, <Iir_Kind.Reduction_Nor_Operator: 151>, <Iir_Kind.Reduction_Xor_Operator: 152>, <Iir_Kind.Reduction_Xnor_Operator: 153>]
-@end deffn
+@geindex Set_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hash_Chain}@anchor{926}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hash_Chain (obj, value)
-@geindex Dyadic_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Dyadic_Operator}@anchor{1285}
-@deffn {Attribute} Dyadic_Operator = [<Iir_Kind.And_Operator: 154>, <Iir_Kind.Or_Operator: 155>, <Iir_Kind.Nand_Operator: 156>, <Iir_Kind.Nor_Operator: 157>, <Iir_Kind.Xor_Operator: 158>, <Iir_Kind.Xnor_Operator: 159>, <Iir_Kind.Equality_Operator: 160>, <Iir_Kind.Inequality_Operator: 161>, <Iir_Kind.Less_Than_Operator: 162>, <Iir_Kind.Less_Than_Or_Equal_Operator: 163>, <Iir_Kind.Greater_Than_Operator: 164>, <Iir_Kind.Greater_Than_Or_Equal_Operator: 165>, <Iir_Kind.Match_Equality_Operator: 166>, <Iir_Kind.Match_Inequality_Operator: 167>, <Iir_Kind.Match_Less_Than_Operator: 168>, <Iir_Kind.Match_Less_Than_Or_Equal_Operator: 169>, <Iir_Kind.Match_Greater_Than_Operator: 170>, <Iir_Kind.Match_Greater_Than_Or_Equal_Operator: 171>, <Iir_Kind.Sll_Operator: 172>, <Iir_Kind.Sla_Operator: 173>, <Iir_Kind.Srl_Operator: 174>, <Iir_Kind.Sra_Operator: 175>, <Iir_Kind.Rol_Operator: 176>, <Iir_Kind.Ror_Operator: 177>, <Iir_Kind.Addition_Operator: 178>, <Iir_Kind.Substraction_Operator: 179>, <Iir_Kind.Concatenation_Operator: 180>, <Iir_Kind.Multiplication_Operator: 181>, <Iir_Kind.Division_Operator: 182>, <Iir_Kind.Modulus_Operator: 183>, <Iir_Kind.Remainder_Operator: 184>, <Iir_Kind.Exponentiation_Operator: 185>]
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Psl_Builtin (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Builtin}@anchor{1286}
-@deffn {Attribute} Psl_Builtin = [<Iir_Kind.Psl_Prev: 198>, <Iir_Kind.Psl_Stable: 199>, <Iir_Kind.Psl_Rose: 200>, <Iir_Kind.Psl_Fell: 201>, <Iir_Kind.Psl_Onehot: 202>, <Iir_Kind.Psl_Onehot0: 203>]
-@end deffn
-@geindex Functions_And_Literals (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Functions_And_Literals}@anchor{1287}
-@deffn {Attribute} Functions_And_Literals = [<Iir_Kind.Enumeration_Literal: 111>, <Iir_Kind.Function_Declaration: 112>]
-@end deffn
+@*Parameters:
-@geindex Subprogram_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subprogram_Declaration}@anchor{1288}
-@deffn {Attribute} Subprogram_Declaration = [<Iir_Kind.Function_Declaration: 112>, <Iir_Kind.Procedure_Declaration: 113>]
-@end deffn
+@itemize *
-@geindex Subprogram_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subprogram_Body}@anchor{1289}
-@deffn {Attribute} Subprogram_Body = [<Iir_Kind.Function_Body: 114>, <Iir_Kind.Procedure_Body: 115>]
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Process_Statement}@anchor{128a}
-@deffn {Attribute} Process_Statement = [<Iir_Kind.Sensitized_Process_Statement: 205>, <Iir_Kind.Process_Statement: 206>]
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Interface_Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Object_Declaration}@anchor{128b}
-@deffn {Attribute} Interface_Object_Declaration = [<Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>, <Iir_Kind.Interface_Quantity_Declaration: 135>]
@end deffn
-@geindex Interface_Subprogram_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Subprogram_Declaration}@anchor{128c}
-@deffn {Attribute} Interface_Subprogram_Declaration = [<Iir_Kind.Interface_Function_Declaration: 139>, <Iir_Kind.Interface_Procedure_Declaration: 140>]
-@end deffn
+@geindex Get_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Pos}@anchor{927}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Pos (obj)
-@geindex Interface_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Declaration}@anchor{128d}
-@deffn {Attribute} Interface_Declaration = [<Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>, <Iir_Kind.Interface_Quantity_Declaration: 135>, <Iir_Kind.Interface_Terminal_Declaration: 136>, <Iir_Kind.Interface_Type_Declaration: 137>, <Iir_Kind.Interface_Package_Declaration: 138>, <Iir_Kind.Interface_Function_Declaration: 139>, <Iir_Kind.Interface_Procedure_Declaration: 140>]
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourcePtr}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Object_Declaration}@anchor{128e}
-@deffn {Attribute} Object_Declaration = [<Iir_Kind.Object_Alias_Declaration: 119>, <Iir_Kind.Free_Quantity_Declaration: 120>, <Iir_Kind.Spectrum_Quantity_Declaration: 121>, <Iir_Kind.Noise_Quantity_Declaration: 122>, <Iir_Kind.Across_Quantity_Declaration: 123>, <Iir_Kind.Through_Quantity_Declaration: 124>, <Iir_Kind.File_Declaration: 125>, <Iir_Kind.Guard_Signal_Declaration: 126>, <Iir_Kind.Signal_Declaration: 127>, <Iir_Kind.Variable_Declaration: 128>, <Iir_Kind.Constant_Declaration: 129>, <Iir_Kind.Iterator_Declaration: 130>, <Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>, <Iir_Kind.Interface_Quantity_Declaration: 135>]
-@end deffn
-@geindex Branch_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Branch_Quantity_Declaration}@anchor{128f}
-@deffn {Attribute} Branch_Quantity_Declaration = [<Iir_Kind.Across_Quantity_Declaration: 123>, <Iir_Kind.Through_Quantity_Declaration: 124>]
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Source_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Source_Quantity_Declaration}@anchor{1290}
-@deffn {Attribute} Source_Quantity_Declaration = [<Iir_Kind.Spectrum_Quantity_Declaration: 121>, <Iir_Kind.Noise_Quantity_Declaration: 122>]
@end deffn
-@geindex Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Quantity_Declaration}@anchor{1291}
-@deffn {Attribute} Quantity_Declaration = [<Iir_Kind.Free_Quantity_Declaration: 120>, <Iir_Kind.Spectrum_Quantity_Declaration: 121>, <Iir_Kind.Noise_Quantity_Declaration: 122>, <Iir_Kind.Across_Quantity_Declaration: 123>, <Iir_Kind.Through_Quantity_Declaration: 124>]
-@end deffn
+@geindex Set_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Pos}@anchor{928}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Pos (obj, value)
-@geindex Non_Alias_Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Non_Alias_Object_Declaration}@anchor{1292}
-@deffn {Attribute} Non_Alias_Object_Declaration = [<Iir_Kind.File_Declaration: 125>, <Iir_Kind.Guard_Signal_Declaration: 126>, <Iir_Kind.Signal_Declaration: 127>, <Iir_Kind.Variable_Declaration: 128>, <Iir_Kind.Constant_Declaration: 129>, <Iir_Kind.Iterator_Declaration: 130>, <Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>]
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Association_Element_Parameters (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element_Parameters}@anchor{1293}
-@deffn {Attribute} Association_Element_Parameters = [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Name: 21>, <Iir_Kind.Association_Element_By_Individual: 22>, <Iir_Kind.Association_Element_Open: 23>]
-@end deffn
-@geindex Association_Element_By_Actual (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element_By_Actual}@anchor{1294}
-@deffn {Attribute} Association_Element_By_Actual = [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Name: 21>]
-@end deffn
+@*Parameters:
-@geindex Association_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element}@anchor{1295}
-@deffn {Attribute} Association_Element = [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Name: 21>, <Iir_Kind.Association_Element_By_Individual: 22>, <Iir_Kind.Association_Element_Open: 23>, <Iir_Kind.Association_Element_Package: 24>, <Iir_Kind.Association_Element_Type: 25>, <Iir_Kind.Association_Element_Subprogram: 26>, <Iir_Kind.Association_Element_Terminal: 27>]
-@end deffn
+@itemize *
-@geindex Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Choice}@anchor{1296}
-@deffn {Attribute} Choice = [<Iir_Kind.Choice_By_Range: 28>, <Iir_Kind.Choice_By_Expression: 29>, <Iir_Kind.Choice_By_Others: 30>, <Iir_Kind.Choice_By_None: 31>, <Iir_Kind.Choice_By_Name: 32>]
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Case_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Case_Choice}@anchor{1297}
-@deffn {Attribute} Case_Choice = [<Iir_Kind.Choice_By_Range: 28>, <Iir_Kind.Choice_By_Expression: 29>, <Iir_Kind.Choice_By_Others: 30>]
-@end deffn
+@item
+@code{value} (@code{SourcePtr}) –
+@end itemize
-@geindex Array_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Choice}@anchor{1298}
-@deffn {Attribute} Array_Choice = [<Iir_Kind.Choice_By_Range: 28>, <Iir_Kind.Choice_By_Expression: 29>, <Iir_Kind.Choice_By_Others: 30>, <Iir_Kind.Choice_By_None: 31>]
@end deffn
-@geindex Record_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Record_Choice}@anchor{1299}
-@deffn {Attribute} Record_Choice = [<Iir_Kind.Choice_By_Others: 30>, <Iir_Kind.Choice_By_None: 31>, <Iir_Kind.Choice_By_Name: 32>]
-@end deffn
+@geindex Get_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Line}@anchor{929}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Line (obj)
-@geindex Entity_Aspect (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Entity_Aspect}@anchor{129a}
-@deffn {Attribute} Entity_Aspect = [<Iir_Kind.Entity_Aspect_Entity: 33>, <Iir_Kind.Entity_Aspect_Configuration: 34>, <Iir_Kind.Entity_Aspect_Open: 35>]
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Denoting_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Denoting_Name}@anchor{129b}
-@deffn {Attribute} Denoting_Name = [<Iir_Kind.Character_Literal: 252>, <Iir_Kind.Simple_Name: 253>, <Iir_Kind.Selected_Name: 254>, <Iir_Kind.Operator_Symbol: 255>, <Iir_Kind.Reference_Name: 256>]
-@end deffn
-@geindex Denoting_And_External_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Denoting_And_External_Name}@anchor{129c}
-@deffn {Attribute} Denoting_And_External_Name = [<Iir_Kind.Character_Literal: 252>, <Iir_Kind.Simple_Name: 253>, <Iir_Kind.Selected_Name: 254>, <Iir_Kind.Operator_Symbol: 255>, <Iir_Kind.Reference_Name: 256>, <Iir_Kind.External_Constant_Name: 257>, <Iir_Kind.External_Signal_Name: 258>, <Iir_Kind.External_Variable_Name: 259>]
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Name}@anchor{129d}
-@deffn {Attribute} Name = [<Iir_Kind.Character_Literal: 252>, <Iir_Kind.Simple_Name: 253>, <Iir_Kind.Selected_Name: 254>, <Iir_Kind.Operator_Symbol: 255>, <Iir_Kind.Reference_Name: 256>, <Iir_Kind.External_Constant_Name: 257>, <Iir_Kind.External_Signal_Name: 258>, <Iir_Kind.External_Variable_Name: 259>, <Iir_Kind.Selected_By_All_Name: 260>, <Iir_Kind.Parenthesis_Name: 261>]
@end deffn
-@geindex Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Dereference}@anchor{129e}
-@deffn {Attribute} Dereference = [<Iir_Kind.Dereference: 194>, <Iir_Kind.Implicit_Dereference: 195>]
-@end deffn
+@geindex Set_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Line}@anchor{92a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Line (obj, value)
-@geindex External_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds External_Name}@anchor{129f}
-@deffn {Attribute} External_Name = [<Iir_Kind.External_Constant_Name: 257>, <Iir_Kind.External_Signal_Name: 258>, <Iir_Kind.External_Variable_Name: 259>]
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Expression_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Expression_Attribute}@anchor{12a0}
-@deffn {Attribute} Expression_Attribute = [<Iir_Kind.Left_Type_Attribute: 272>, <Iir_Kind.Right_Type_Attribute: 273>, <Iir_Kind.High_Type_Attribute: 274>, <Iir_Kind.Low_Type_Attribute: 275>, <Iir_Kind.Ascending_Type_Attribute: 276>, <Iir_Kind.Image_Attribute: 277>, <Iir_Kind.Value_Attribute: 278>, <Iir_Kind.Pos_Attribute: 279>, <Iir_Kind.Val_Attribute: 280>, <Iir_Kind.Succ_Attribute: 281>, <Iir_Kind.Pred_Attribute: 282>, <Iir_Kind.Leftof_Attribute: 283>, <Iir_Kind.Rightof_Attribute: 284>, <Iir_Kind.Signal_Slew_Attribute: 285>, <Iir_Kind.Quantity_Slew_Attribute: 286>, <Iir_Kind.Ramp_Attribute: 287>, <Iir_Kind.Zoh_Attribute: 288>, <Iir_Kind.Ltf_Attribute: 289>, <Iir_Kind.Ztf_Attribute: 290>, <Iir_Kind.Dot_Attribute: 291>, <Iir_Kind.Integ_Attribute: 292>, <Iir_Kind.Above_Attribute: 293>, <Iir_Kind.Quantity_Delayed_Attribute: 294>, <Iir_Kind.Delayed_Attribute: 295>, <Iir_Kind.Stable_Attribute: 296>, <Iir_Kind.Quiet_Attribute: 297>, <Iir_Kind.Transaction_Attribute: 298>, <Iir_Kind.Event_Attribute: 299>, <Iir_Kind.Active_Attribute: 300>, <Iir_Kind.Last_Event_Attribute: 301>, <Iir_Kind.Last_Active_Attribute: 302>, <Iir_Kind.Last_Value_Attribute: 303>, <Iir_Kind.Driving_Attribute: 304>, <Iir_Kind.Driving_Value_Attribute: 305>, <Iir_Kind.Behavior_Attribute: 306>, <Iir_Kind.Structure_Attribute: 307>, <Iir_Kind.Simple_Name_Attribute: 308>, <Iir_Kind.Instance_Name_Attribute: 309>, <Iir_Kind.Path_Name_Attribute: 310>, <Iir_Kind.Left_Array_Attribute: 311>, <Iir_Kind.Right_Array_Attribute: 312>, <Iir_Kind.High_Array_Attribute: 313>, <Iir_Kind.Low_Array_Attribute: 314>, <Iir_Kind.Length_Array_Attribute: 315>, <Iir_Kind.Ascending_Array_Attribute: 316>]
-@end deffn
-@geindex Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Attribute}@anchor{12a1}
-@deffn {Attribute} Attribute = [<Iir_Kind.Base_Attribute: 266>, <Iir_Kind.Subtype_Attribute: 267>, <Iir_Kind.Element_Attribute: 268>, <Iir_Kind.Across_Attribute: 269>, <Iir_Kind.Through_Attribute: 270>, <Iir_Kind.Nature_Reference_Attribute: 271>, <Iir_Kind.Left_Type_Attribute: 272>, <Iir_Kind.Right_Type_Attribute: 273>, <Iir_Kind.High_Type_Attribute: 274>, <Iir_Kind.Low_Type_Attribute: 275>, <Iir_Kind.Ascending_Type_Attribute: 276>, <Iir_Kind.Image_Attribute: 277>, <Iir_Kind.Value_Attribute: 278>, <Iir_Kind.Pos_Attribute: 279>, <Iir_Kind.Val_Attribute: 280>, <Iir_Kind.Succ_Attribute: 281>, <Iir_Kind.Pred_Attribute: 282>, <Iir_Kind.Leftof_Attribute: 283>, <Iir_Kind.Rightof_Attribute: 284>, <Iir_Kind.Signal_Slew_Attribute: 285>, <Iir_Kind.Quantity_Slew_Attribute: 286>, <Iir_Kind.Ramp_Attribute: 287>, <Iir_Kind.Zoh_Attribute: 288>, <Iir_Kind.Ltf_Attribute: 289>, <Iir_Kind.Ztf_Attribute: 290>, <Iir_Kind.Dot_Attribute: 291>, <Iir_Kind.Integ_Attribute: 292>, <Iir_Kind.Above_Attribute: 293>, <Iir_Kind.Quantity_Delayed_Attribute: 294>, <Iir_Kind.Delayed_Attribute: 295>, <Iir_Kind.Stable_Attribute: 296>, <Iir_Kind.Quiet_Attribute: 297>, <Iir_Kind.Transaction_Attribute: 298>, <Iir_Kind.Event_Attribute: 299>, <Iir_Kind.Active_Attribute: 300>, <Iir_Kind.Last_Event_Attribute: 301>, <Iir_Kind.Last_Active_Attribute: 302>, <Iir_Kind.Last_Value_Attribute: 303>, <Iir_Kind.Driving_Attribute: 304>, <Iir_Kind.Driving_Value_Attribute: 305>, <Iir_Kind.Behavior_Attribute: 306>, <Iir_Kind.Structure_Attribute: 307>, <Iir_Kind.Simple_Name_Attribute: 308>, <Iir_Kind.Instance_Name_Attribute: 309>, <Iir_Kind.Path_Name_Attribute: 310>, <Iir_Kind.Left_Array_Attribute: 311>, <Iir_Kind.Right_Array_Attribute: 312>, <Iir_Kind.High_Array_Attribute: 313>, <Iir_Kind.Low_Array_Attribute: 314>, <Iir_Kind.Length_Array_Attribute: 315>, <Iir_Kind.Ascending_Array_Attribute: 316>, <Iir_Kind.Range_Array_Attribute: 317>, <Iir_Kind.Reverse_Range_Array_Attribute: 318>]
-@end deffn
+@*Parameters:
-@geindex Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_Attribute}@anchor{12a2}
-@deffn {Attribute} Type_Attribute = [<Iir_Kind.Left_Type_Attribute: 272>, <Iir_Kind.Right_Type_Attribute: 273>, <Iir_Kind.High_Type_Attribute: 274>, <Iir_Kind.Low_Type_Attribute: 275>, <Iir_Kind.Ascending_Type_Attribute: 276>]
-@end deffn
+@itemize *
-@geindex Subtype_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subtype_Attribute}@anchor{12a3}
-@deffn {Attribute} Subtype_Attribute = [<Iir_Kind.Base_Attribute: 266>, <Iir_Kind.Subtype_Attribute: 267>, <Iir_Kind.Element_Attribute: 268>]
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Scalar_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Type_Attribute}@anchor{12a4}
-@deffn {Attribute} Scalar_Type_Attribute = [<Iir_Kind.Pos_Attribute: 279>, <Iir_Kind.Val_Attribute: 280>, <Iir_Kind.Succ_Attribute: 281>, <Iir_Kind.Pred_Attribute: 282>, <Iir_Kind.Leftof_Attribute: 283>, <Iir_Kind.Rightof_Attribute: 284>]
-@end deffn
+@item
+@code{value} (@code{Int32}) –
+@end itemize
-@geindex Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Attribute}@anchor{12a5}
-@deffn {Attribute} Array_Attribute = [<Iir_Kind.Left_Array_Attribute: 311>, <Iir_Kind.Right_Array_Attribute: 312>, <Iir_Kind.High_Array_Attribute: 313>, <Iir_Kind.Low_Array_Attribute: 314>, <Iir_Kind.Length_Array_Attribute: 315>, <Iir_Kind.Ascending_Array_Attribute: 316>, <Iir_Kind.Range_Array_Attribute: 317>, <Iir_Kind.Reverse_Range_Array_Attribute: 318>]
@end deffn
-@geindex Range_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Range_Attribute}@anchor{12a6}
-@deffn {Attribute} Range_Attribute = [<Iir_Kind.Range_Array_Attribute: 317>, <Iir_Kind.Reverse_Range_Array_Attribute: 318>]
-@end deffn
+@geindex Get_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Col}@anchor{92b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Col (obj)
-@geindex Signal_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Signal_Attribute}@anchor{12a7}
-@deffn {Attribute} Signal_Attribute = [<Iir_Kind.Delayed_Attribute: 295>, <Iir_Kind.Stable_Attribute: 296>, <Iir_Kind.Quiet_Attribute: 297>, <Iir_Kind.Transaction_Attribute: 298>]
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Signal_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Signal_Value_Attribute}@anchor{12a8}
-@deffn {Attribute} Signal_Value_Attribute = [<Iir_Kind.Event_Attribute: 299>, <Iir_Kind.Active_Attribute: 300>, <Iir_Kind.Last_Event_Attribute: 301>, <Iir_Kind.Last_Active_Attribute: 302>, <Iir_Kind.Last_Value_Attribute: 303>, <Iir_Kind.Driving_Attribute: 304>, <Iir_Kind.Driving_Value_Attribute: 305>]
-@end deffn
-@geindex Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Name_Attribute}@anchor{12a9}
-@deffn {Attribute} Name_Attribute = [<Iir_Kind.Simple_Name_Attribute: 308>, <Iir_Kind.Instance_Name_Attribute: 309>, <Iir_Kind.Path_Name_Attribute: 310>]
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Concurrent_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Concurrent_Statement}@anchor{12aa}
-@deffn {Attribute} Concurrent_Statement = [<Iir_Kind.Sensitized_Process_Statement: 205>, <Iir_Kind.Process_Statement: 206>, <Iir_Kind.Concurrent_Simple_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 208>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 209>, <Iir_Kind.Concurrent_Assertion_Statement: 210>, <Iir_Kind.Concurrent_Procedure_Call_Statement: 211>, <Iir_Kind.Concurrent_Break_Statement: 212>, <Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>, <Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>, <Iir_Kind.Block_Statement: 217>, <Iir_Kind.If_Generate_Statement: 218>, <Iir_Kind.Case_Generate_Statement: 219>, <Iir_Kind.For_Generate_Statement: 220>, <Iir_Kind.Component_Instantiation_Statement: 221>]
@end deffn
-@geindex Simple_Concurrent_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Simple_Concurrent_Statement}@anchor{12ab}
-@deffn {Attribute} Simple_Concurrent_Statement = [<Iir_Kind.Sensitized_Process_Statement: 205>, <Iir_Kind.Process_Statement: 206>, <Iir_Kind.Concurrent_Simple_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 208>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 209>, <Iir_Kind.Concurrent_Assertion_Statement: 210>, <Iir_Kind.Concurrent_Procedure_Call_Statement: 211>, <Iir_Kind.Concurrent_Break_Statement: 212>, <Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>, <Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>]
-@end deffn
+@geindex Set_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Col}@anchor{92c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Col (obj, value)
-@geindex Psl_Property_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Property_Directive}@anchor{12ac}
-@deffn {Attribute} Psl_Property_Directive = [<Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>]
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Psl_Sequence_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Sequence_Directive}@anchor{12ad}
-@deffn {Attribute} Psl_Sequence_Directive = [<Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>]
-@end deffn
-@geindex Psl_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Directive}@anchor{12ae}
-@deffn {Attribute} Psl_Directive = [<Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>, <Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>]
-@end deffn
+@*Parameters:
-@geindex Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Generate_Statement}@anchor{12af}
-@deffn {Attribute} Generate_Statement = [<Iir_Kind.If_Generate_Statement: 218>, <Iir_Kind.Case_Generate_Statement: 219>, <Iir_Kind.For_Generate_Statement: 220>]
-@end deffn
+@itemize *
-@geindex Concurrent_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Concurrent_Signal_Assignment}@anchor{12b0}
-@deffn {Attribute} Concurrent_Signal_Assignment = [<Iir_Kind.Concurrent_Simple_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 208>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 209>]
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex If_Case_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds If_Case_Generate_Statement}@anchor{12b1}
-@deffn {Attribute} If_Case_Generate_Statement = [<Iir_Kind.If_Generate_Statement: 218>, <Iir_Kind.Case_Generate_Statement: 219>]
-@end deffn
+@item
+@code{value} (@code{Int32}) –
+@end itemize
-@geindex Simultaneous_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Simultaneous_Statement}@anchor{12b2}
-@deffn {Attribute} Simultaneous_Statement = [<Iir_Kind.Simple_Simultaneous_Statement: 225>, <Iir_Kind.Simultaneous_Null_Statement: 226>, <Iir_Kind.Simultaneous_Procedural_Statement: 227>, <Iir_Kind.Simultaneous_Case_Statement: 228>, <Iir_Kind.Simultaneous_If_Statement: 229>]
@end deffn
-@geindex Sequential_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Sequential_Statement}@anchor{12b3}
-@deffn {Attribute} Sequential_Statement = [<Iir_Kind.Simple_Signal_Assignment_Statement: 231>, <Iir_Kind.Conditional_Signal_Assignment_Statement: 232>, <Iir_Kind.Selected_Waveform_Assignment_Statement: 233>, <Iir_Kind.Signal_Force_Assignment_Statement: 234>, <Iir_Kind.Signal_Release_Assignment_Statement: 235>, <Iir_Kind.Null_Statement: 236>, <Iir_Kind.Assertion_Statement: 237>, <Iir_Kind.Report_Statement: 238>, <Iir_Kind.Wait_Statement: 239>, <Iir_Kind.Variable_Assignment_Statement: 240>, <Iir_Kind.Conditional_Variable_Assignment_Statement: 241>, <Iir_Kind.Return_Statement: 242>, <Iir_Kind.For_Loop_Statement: 243>, <Iir_Kind.While_Loop_Statement: 244>, <Iir_Kind.Next_Statement: 245>, <Iir_Kind.Exit_Statement: 246>, <Iir_Kind.Case_Statement: 247>, <Iir_Kind.Procedure_Call_Statement: 248>, <Iir_Kind.Break_Statement: 249>, <Iir_Kind.If_Statement: 250>]
-@end deffn
+@geindex Get_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value}@anchor{92d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Value (obj)
-@geindex Next_Exit_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Next_Exit_Statement}@anchor{12b4}
-@deffn {Attribute} Next_Exit_Statement = [<Iir_Kind.Next_Statement: 245>, <Iir_Kind.Exit_Statement: 246>]
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Int64}, bound= c_long@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_long})
-@geindex Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Variable_Assignment_Statement}@anchor{12b5}
-@deffn {Attribute} Variable_Assignment_Statement = [<Iir_Kind.Variable_Assignment_Statement: 240>, <Iir_Kind.Conditional_Variable_Assignment_Statement: 241>]
-@end deffn
-@geindex Allocator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Allocator}@anchor{12b6}
-@deffn {Attribute} Allocator = [<Iir_Kind.Allocator_By_Expression: 191>, <Iir_Kind.Allocator_By_Subtype: 192>]
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Clause}@anchor{12b7}
-@deffn {Attribute} Clause = [<Iir_Kind.Library_Clause: 4>, <Iir_Kind.Use_Clause: 5>, <Iir_Kind.Context_Reference: 6>]
@end deffn
-@geindex Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Specification}@anchor{12b8}
-@deffn {Attribute} Specification = [<Iir_Kind.Attribute_Specification: 51>, <Iir_Kind.Disconnection_Specification: 52>, <Iir_Kind.Step_Limit_Specification: 53>, <Iir_Kind.Configuration_Specification: 54>]
-@end deffn
-@end deffn
+@geindex Set_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value}@anchor{92e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Value (obj, value)
-@geindex Iir_Mode (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode}@anchor{e3d}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Mode (value)
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-An enumeration.
-@subsubheading Inheritance
+@*Parameters:
-@image{inheritance-fc23e6bf4de861ecf2d0c37364c753f6eaf7b38e,,,[graphviz],png}
+@itemize *
-@subsubheading Members
+@item
+@code{obj} (@code{Iir}) –
+@item
+@code{value} (@code{Int64}) –
+@end itemize
-@geindex Unknown_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Unknown_Mode}@anchor{12b9}
-@deffn {Attribute} Unknown_Mode = 0
@end deffn
-@geindex Linkage_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Linkage_Mode}@anchor{12ba}
-@deffn {Attribute} Linkage_Mode = 1
-@end deffn
+@geindex Get_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enum_Pos}@anchor{92f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Enum_Pos (obj)
-@geindex Buffer_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Buffer_Mode}@anchor{12bb}
-@deffn {Attribute} Buffer_Mode = 2
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Out_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Out_Mode}@anchor{12bc}
-@deffn {Attribute} Out_Mode = 3
-@end deffn
-@geindex Inout_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Inout_Mode}@anchor{12bd}
-@deffn {Attribute} Inout_Mode = 4
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex In_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode In_Mode}@anchor{12be}
-@deffn {Attribute} In_Mode = 5
@end deffn
-@end deffn
-
-@geindex ScalarSize (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize}@anchor{e3e}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes.ScalarSize (value)
-
-An enumeration.
-@subsubheading Inheritance
+@geindex Set_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enum_Pos}@anchor{930}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Enum_Pos (obj, value)
-@image{inheritance-5a69a418d7f866a05f259a18b2bd9c275c8cfff5,,,[graphviz],png}
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@subsubheading Members
+@*Parameters:
-@geindex Scalar_8 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_8}@anchor{12bf}
-@deffn {Attribute} Scalar_8 = 0
-@end deffn
+@itemize *
-@geindex Scalar_16 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_16}@anchor{12c0}
-@deffn {Attribute} Scalar_16 = 1
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Scalar_32 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_32}@anchor{12c1}
-@deffn {Attribute} Scalar_32 = 2
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Scalar_64 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_64}@anchor{12c2}
-@deffn {Attribute} Scalar_64 = 3
-@end deffn
@end deffn
-@geindex Iir_Staticness (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness}@anchor{e3f}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Staticness (value)
-
-An enumeration.
-
-@subsubheading Inheritance
-
-@image{inheritance-67f462b3bfa946d6dc68ad6b2953dd767422048b,,,[graphviz],png}
-
-@subsubheading Members
-
+@geindex Get_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Physical_Literal}@anchor{931}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Physical_Literal (obj)
-@geindex Unknown (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Unknown}@anchor{12c3}
-@deffn {Attribute} Unknown = 0
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex PNone (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness PNone}@anchor{12c4}
-@deffn {Attribute} PNone = 1
-@end deffn
-@geindex Globally (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Globally}@anchor{12c5}
-@deffn {Attribute} Globally = 2
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Locally (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Locally}@anchor{12c6}
-@deffn {Attribute} Locally = 3
-@end deffn
@end deffn
-@geindex Iir_Constraint (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint}@anchor{e40}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Constraint (value)
-
-An enumeration.
+@geindex Set_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Physical_Literal}@anchor{932}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Physical_Literal (obj, value)
-@subsubheading Inheritance
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@image{inheritance-8b51132dcd1c80b1156d14dbe4271d5953916f21,,,[graphviz],png}
-@subsubheading Members
+@*Parameters:
+@itemize *
-@geindex Unconstrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Unconstrained}@anchor{12c7}
-@deffn {Attribute} Unconstrained = 0
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Partially_Constrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Partially_Constrained}@anchor{12c8}
-@deffn {Attribute} Partially_Constrained = 1
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Fully_Constrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Fully_Constrained}@anchor{12c9}
-@deffn {Attribute} Fully_Constrained = 2
-@end deffn
@end deffn
-@geindex Iir_Delay_Mechanism (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism}@anchor{e41}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism (value)
-
-An enumeration.
-
-@subsubheading Inheritance
+@geindex Get_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Fp_Value}@anchor{933}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Fp_Value (obj)
-@image{inheritance-bd120d73b2bd68f6ab9afe3e559792648aec2ea5,,,[graphviz],png}
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Fp64}, bound= c_double@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_double})
-@subsubheading Members
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Inertial_Delay (pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism Inertial_Delay}@anchor{12ca}
-@deffn {Attribute} Inertial_Delay = 0
@end deffn
-@geindex Transport_Delay (pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism Transport_Delay}@anchor{12cb}
-@deffn {Attribute} Transport_Delay = 1
-@end deffn
-@end deffn
+@geindex Set_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Fp_Value}@anchor{934}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Fp_Value (obj, value)
-@geindex DateStateType (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType}@anchor{e42}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes.DateStateType (value)
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-An enumeration.
-@subsubheading Inheritance
+@*Parameters:
-@image{inheritance-333f760efcdc08cb248beca56f3aab4eabf87feb,,,[graphviz],png}
+@itemize *
-@subsubheading Members
+@item
+@code{obj} (@code{Iir}) –
+@item
+@code{value} (@code{Fp64}) –
+@end itemize
-@geindex Extern (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Extern}@anchor{12cc}
-@deffn {Attribute} Extern = 0
@end deffn
-@geindex Disk (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Disk}@anchor{12cd}
-@deffn {Attribute} Disk = 1
-@end deffn
+@geindex Get_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Aggregate_List}@anchor{935}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Aggregate_List (obj)
-@geindex Parse (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Parse}@anchor{12ce}
-@deffn {Attribute} Parse = 2
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Analyze (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Analyze}@anchor{12cf}
-@deffn {Attribute} Analyze = 3
-@end deffn
-@end deffn
-@geindex NumberBaseType (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType}@anchor{e43}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes.NumberBaseType (value)
+@*Parameters:
+@code{obj} (@code{Iir}) –
-An enumeration.
+@end deffn
-@subsubheading Inheritance
+@geindex Set_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Aggregate_List}@anchor{936}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Aggregate_List (obj, value)
-@image{inheritance-fa92fa0fd9f86302cad74d4e6567b36c703f1e0a,,,[graphviz],png}
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@subsubheading Members
+@*Parameters:
-@geindex Base_None (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_None}@anchor{12d0}
-@deffn {Attribute} Base_None = 0
-@end deffn
+@itemize *
-@geindex Base_2 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_2}@anchor{12d1}
-@deffn {Attribute} Base_2 = 1
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Base_8 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_8}@anchor{12d2}
-@deffn {Attribute} Base_8 = 2
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Base_10 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_10}@anchor{12d3}
-@deffn {Attribute} Base_10 = 3
@end deffn
-@geindex Base_16 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_16}@anchor{12d4}
-@deffn {Attribute} Base_16 = 4
-@end deffn
-@end deffn
+@geindex Get_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String8_Id}@anchor{937}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_String8_Id (obj)
-@geindex Iir_Predefined (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined}@anchor{e44}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Predefined (value)
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{String8Id}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
-An enumeration.
-@subsubheading Inheritance
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@image{inheritance-08f1fb61020045018fcd55dafc8f634507bd3ec9,,,[graphviz],png}
+@end deffn
-@subsubheading Members
+@geindex Set_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String8_Id}@anchor{938}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_String8_Id (obj, value)
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Error (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Error}@anchor{12d5}
-@deffn {Attribute} Error = 0
-@end deffn
-@geindex Boolean_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_And}@anchor{12d6}
-@deffn {Attribute} Boolean_And = 1
-@end deffn
+@*Parameters:
-@geindex Boolean_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Or}@anchor{12d7}
-@deffn {Attribute} Boolean_Or = 2
-@end deffn
+@itemize *
-@geindex Boolean_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Nand}@anchor{12d8}
-@deffn {Attribute} Boolean_Nand = 3
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Boolean_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Nor}@anchor{12d9}
-@deffn {Attribute} Boolean_Nor = 4
-@end deffn
+@item
+@code{value} (@code{String8Id}) –
+@end itemize
-@geindex Boolean_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Xor}@anchor{12da}
-@deffn {Attribute} Boolean_Xor = 5
@end deffn
-@geindex Boolean_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Xnor}@anchor{12db}
-@deffn {Attribute} Boolean_Xnor = 6
-@end deffn
+@geindex Get_String_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String_Length}@anchor{939}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_String_Length (obj)
-@geindex Boolean_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Not}@anchor{12dc}
-@deffn {Attribute} Boolean_Not = 7
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Boolean_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Rising_Edge}@anchor{12dd}
-@deffn {Attribute} Boolean_Rising_Edge = 8
-@end deffn
-@geindex Boolean_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Falling_Edge}@anchor{12de}
-@deffn {Attribute} Boolean_Falling_Edge = 9
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Enum_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Equality}@anchor{12df}
-@deffn {Attribute} Enum_Equality = 10
@end deffn
-@geindex Enum_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Inequality}@anchor{12e0}
-@deffn {Attribute} Enum_Inequality = 11
-@end deffn
+@geindex Set_String_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String_Length}@anchor{93a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_String_Length (obj, value)
-@geindex Enum_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Less}@anchor{12e1}
-@deffn {Attribute} Enum_Less = 12
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Enum_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Less_Equal}@anchor{12e2}
-@deffn {Attribute} Enum_Less_Equal = 13
-@end deffn
-@geindex Enum_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Greater}@anchor{12e3}
-@deffn {Attribute} Enum_Greater = 14
-@end deffn
+@*Parameters:
-@geindex Enum_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Greater_Equal}@anchor{12e4}
-@deffn {Attribute} Enum_Greater_Equal = 15
-@end deffn
+@itemize *
-@geindex Enum_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Minimum}@anchor{12e5}
-@deffn {Attribute} Enum_Minimum = 16
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Enum_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Maximum}@anchor{12e6}
-@deffn {Attribute} Enum_Maximum = 17
-@end deffn
+@item
+@code{value} (@code{Int32}) –
+@end itemize
-@geindex Enum_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_To_String}@anchor{12e7}
-@deffn {Attribute} Enum_To_String = 18
@end deffn
-@geindex Bit_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_And}@anchor{12e8}
-@deffn {Attribute} Bit_And = 19
-@end deffn
+@geindex Get_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bit_String_Base}@anchor{93b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Bit_String_Base (obj)
-@geindex Bit_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Or}@anchor{12e9}
-@deffn {Attribute} Bit_Or = 20
-@end deffn
+@*Return type:
+@ref{c0c,,NumberBaseType}
-@geindex Bit_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Nand}@anchor{12ea}
-@deffn {Attribute} Bit_Nand = 21
-@end deffn
-@geindex Bit_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Nor}@anchor{12eb}
-@deffn {Attribute} Bit_Nor = 22
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Bit_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Xor}@anchor{12ec}
-@deffn {Attribute} Bit_Xor = 23
@end deffn
-@geindex Bit_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Xnor}@anchor{12ed}
-@deffn {Attribute} Bit_Xnor = 24
-@end deffn
+@geindex Set_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bit_String_Base}@anchor{93c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Bit_String_Base (obj, value)
-@geindex Bit_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Not}@anchor{12ee}
-@deffn {Attribute} Bit_Not = 25
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Bit_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Equality}@anchor{12ef}
-@deffn {Attribute} Bit_Match_Equality = 26
-@end deffn
-@geindex Bit_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Inequality}@anchor{12f0}
-@deffn {Attribute} Bit_Match_Inequality = 27
-@end deffn
+@*Parameters:
-@geindex Bit_Match_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Less}@anchor{12f1}
-@deffn {Attribute} Bit_Match_Less = 28
-@end deffn
+@itemize *
-@geindex Bit_Match_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Less_Equal}@anchor{12f2}
-@deffn {Attribute} Bit_Match_Less_Equal = 29
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Bit_Match_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Greater}@anchor{12f3}
-@deffn {Attribute} Bit_Match_Greater = 30
-@end deffn
+@item
+@code{value} (@ref{c0c,,NumberBaseType}) –
+@end itemize
-@geindex Bit_Match_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Greater_Equal}@anchor{12f4}
-@deffn {Attribute} Bit_Match_Greater_Equal = 31
@end deffn
-@geindex Bit_Condition (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Condition}@anchor{12f5}
-@deffn {Attribute} Bit_Condition = 32
-@end deffn
+@geindex Get_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signed}@anchor{93d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Signed (obj)
-@geindex Bit_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Rising_Edge}@anchor{12f6}
-@deffn {Attribute} Bit_Rising_Edge = 33
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Bit_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Falling_Edge}@anchor{12f7}
-@deffn {Attribute} Bit_Falling_Edge = 34
-@end deffn
-@geindex Integer_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Equality}@anchor{12f8}
-@deffn {Attribute} Integer_Equality = 35
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Integer_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Inequality}@anchor{12f9}
-@deffn {Attribute} Integer_Inequality = 36
@end deffn
-@geindex Integer_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Less}@anchor{12fa}
-@deffn {Attribute} Integer_Less = 37
-@end deffn
+@geindex Set_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signed}@anchor{93e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Signed (obj, value)
-@geindex Integer_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Less_Equal}@anchor{12fb}
-@deffn {Attribute} Integer_Less_Equal = 38
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Integer_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Greater}@anchor{12fc}
-@deffn {Attribute} Integer_Greater = 39
-@end deffn
-@geindex Integer_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Greater_Equal}@anchor{12fd}
-@deffn {Attribute} Integer_Greater_Equal = 40
-@end deffn
+@*Parameters:
-@geindex Integer_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Identity}@anchor{12fe}
-@deffn {Attribute} Integer_Identity = 41
-@end deffn
+@itemize *
-@geindex Integer_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Negation}@anchor{12ff}
-@deffn {Attribute} Integer_Negation = 42
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Integer_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Absolute}@anchor{1300}
-@deffn {Attribute} Integer_Absolute = 43
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Integer_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Plus}@anchor{1301}
-@deffn {Attribute} Integer_Plus = 44
@end deffn
-@geindex Integer_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Minus}@anchor{1302}
-@deffn {Attribute} Integer_Minus = 45
-@end deffn
+@geindex Get_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Sign}@anchor{93f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Sign (obj)
-@geindex Integer_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Mul}@anchor{1303}
-@deffn {Attribute} Integer_Mul = 46
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Integer_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Div}@anchor{1304}
-@deffn {Attribute} Integer_Div = 47
-@end deffn
-@geindex Integer_Mod (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Mod}@anchor{1305}
-@deffn {Attribute} Integer_Mod = 48
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Integer_Rem (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Rem}@anchor{1306}
-@deffn {Attribute} Integer_Rem = 49
@end deffn
-@geindex Integer_Exp (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Exp}@anchor{1307}
-@deffn {Attribute} Integer_Exp = 50
-@end deffn
+@geindex Set_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Sign}@anchor{940}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Sign (obj, value)
-@geindex Integer_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Minimum}@anchor{1308}
-@deffn {Attribute} Integer_Minimum = 51
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Integer_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Maximum}@anchor{1309}
-@deffn {Attribute} Integer_Maximum = 52
-@end deffn
-@geindex Integer_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_To_String}@anchor{130a}
-@deffn {Attribute} Integer_To_String = 53
-@end deffn
+@*Parameters:
-@geindex Floating_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Equality}@anchor{130b}
-@deffn {Attribute} Floating_Equality = 54
-@end deffn
+@itemize *
-@geindex Floating_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Inequality}@anchor{130c}
-@deffn {Attribute} Floating_Inequality = 55
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Floating_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Less}@anchor{130d}
-@deffn {Attribute} Floating_Less = 56
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Floating_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Less_Equal}@anchor{130e}
-@deffn {Attribute} Floating_Less_Equal = 57
@end deffn
-@geindex Floating_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Greater}@anchor{130f}
-@deffn {Attribute} Floating_Greater = 58
-@end deffn
+@geindex Get_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Length}@anchor{941}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Length (obj)
-@geindex Floating_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Greater_Equal}@anchor{1310}
-@deffn {Attribute} Floating_Greater_Equal = 59
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Floating_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Identity}@anchor{1311}
-@deffn {Attribute} Floating_Identity = 60
-@end deffn
-@geindex Floating_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Negation}@anchor{1312}
-@deffn {Attribute} Floating_Negation = 61
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Floating_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Absolute}@anchor{1313}
-@deffn {Attribute} Floating_Absolute = 62
@end deffn
-@geindex Floating_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Plus}@anchor{1314}
-@deffn {Attribute} Floating_Plus = 63
-@end deffn
+@geindex Set_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Length}@anchor{942}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Length (obj, value)
-@geindex Floating_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Minus}@anchor{1315}
-@deffn {Attribute} Floating_Minus = 64
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Floating_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Mul}@anchor{1316}
-@deffn {Attribute} Floating_Mul = 65
-@end deffn
-@geindex Floating_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Div}@anchor{1317}
-@deffn {Attribute} Floating_Div = 66
-@end deffn
+@*Parameters:
-@geindex Floating_Exp (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Exp}@anchor{1318}
-@deffn {Attribute} Floating_Exp = 67
-@end deffn
+@itemize *
-@geindex Floating_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Minimum}@anchor{1319}
-@deffn {Attribute} Floating_Minimum = 68
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Floating_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Maximum}@anchor{131a}
-@deffn {Attribute} Floating_Maximum = 69
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Floating_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_To_String}@anchor{131b}
-@deffn {Attribute} Floating_To_String = 70
@end deffn
-@geindex Real_To_String_Digits (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_To_String_Digits}@anchor{131c}
-@deffn {Attribute} Real_To_String_Digits = 71
-@end deffn
+@geindex Get_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Length}@anchor{943}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Length (obj)
-@geindex Real_To_String_Format (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_To_String_Format}@anchor{131d}
-@deffn {Attribute} Real_To_String_Format = 72
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Universal_R_I_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_R_I_Mul}@anchor{131e}
-@deffn {Attribute} Universal_R_I_Mul = 73
-@end deffn
-@geindex Universal_I_R_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_I_R_Mul}@anchor{131f}
-@deffn {Attribute} Universal_I_R_Mul = 74
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Universal_R_I_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_R_I_Div}@anchor{1320}
-@deffn {Attribute} Universal_R_I_Div = 75
@end deffn
-@geindex Physical_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Equality}@anchor{1321}
-@deffn {Attribute} Physical_Equality = 76
-@end deffn
+@geindex Set_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Length}@anchor{944}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Length (obj, value)
-@geindex Physical_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Inequality}@anchor{1322}
-@deffn {Attribute} Physical_Inequality = 77
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Physical_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Less}@anchor{1323}
-@deffn {Attribute} Physical_Less = 78
-@end deffn
-@geindex Physical_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Less_Equal}@anchor{1324}
-@deffn {Attribute} Physical_Less_Equal = 79
-@end deffn
+@*Parameters:
-@geindex Physical_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Greater}@anchor{1325}
-@deffn {Attribute} Physical_Greater = 80
-@end deffn
+@itemize *
-@geindex Physical_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Greater_Equal}@anchor{1326}
-@deffn {Attribute} Physical_Greater_Equal = 81
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Physical_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Identity}@anchor{1327}
-@deffn {Attribute} Physical_Identity = 82
-@end deffn
+@item
+@code{value} (@code{Int32}) –
+@end itemize
-@geindex Physical_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Negation}@anchor{1328}
-@deffn {Attribute} Physical_Negation = 83
@end deffn
-@geindex Physical_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Absolute}@anchor{1329}
-@deffn {Attribute} Physical_Absolute = 84
-@end deffn
+@geindex Get_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Origin}@anchor{945}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Origin (obj)
-@geindex Physical_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Plus}@anchor{132a}
-@deffn {Attribute} Physical_Plus = 85
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Physical_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Minus}@anchor{132b}
-@deffn {Attribute} Physical_Minus = 86
-@end deffn
-@geindex Physical_Integer_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Integer_Mul}@anchor{132c}
-@deffn {Attribute} Physical_Integer_Mul = 87
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Physical_Real_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Real_Mul}@anchor{132d}
-@deffn {Attribute} Physical_Real_Mul = 88
@end deffn
-@geindex Integer_Physical_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Physical_Mul}@anchor{132e}
-@deffn {Attribute} Integer_Physical_Mul = 89
-@end deffn
+@geindex Set_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Origin}@anchor{946}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Origin (obj, value)
-@geindex Real_Physical_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_Physical_Mul}@anchor{132f}
-@deffn {Attribute} Real_Physical_Mul = 90
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Physical_Integer_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Integer_Div}@anchor{1330}
-@deffn {Attribute} Physical_Integer_Div = 91
-@end deffn
-@geindex Physical_Real_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Real_Div}@anchor{1331}
-@deffn {Attribute} Physical_Real_Div = 92
-@end deffn
+@*Parameters:
-@geindex Physical_Physical_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Physical_Div}@anchor{1332}
-@deffn {Attribute} Physical_Physical_Div = 93
-@end deffn
+@itemize *
-@geindex Physical_Mod (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Mod}@anchor{1333}
-@deffn {Attribute} Physical_Mod = 94
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Physical_Rem (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Rem}@anchor{1334}
-@deffn {Attribute} Physical_Rem = 95
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Physical_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Minimum}@anchor{1335}
-@deffn {Attribute} Physical_Minimum = 96
@end deffn
-@geindex Physical_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Maximum}@anchor{1336}
-@deffn {Attribute} Physical_Maximum = 97
-@end deffn
+@geindex Get_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Origin}@anchor{947}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Range_Origin (obj)
-@geindex Physical_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_To_String}@anchor{1337}
-@deffn {Attribute} Physical_To_String = 98
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Time_To_String_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Time_To_String_Unit}@anchor{1338}
-@deffn {Attribute} Time_To_String_Unit = 99
-@end deffn
-@geindex Access_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Access_Equality}@anchor{1339}
-@deffn {Attribute} Access_Equality = 100
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Access_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Access_Inequality}@anchor{133a}
-@deffn {Attribute} Access_Inequality = 101
@end deffn
-@geindex Record_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Record_Equality}@anchor{133b}
-@deffn {Attribute} Record_Equality = 102
-@end deffn
+@geindex Set_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Origin}@anchor{948}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Range_Origin (obj, value)
-@geindex Record_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Record_Inequality}@anchor{133c}
-@deffn {Attribute} Record_Inequality = 103
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Array_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Equality}@anchor{133d}
-@deffn {Attribute} Array_Equality = 104
-@end deffn
-@geindex Array_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Inequality}@anchor{133e}
-@deffn {Attribute} Array_Inequality = 105
-@end deffn
+@*Parameters:
-@geindex Array_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Less}@anchor{133f}
-@deffn {Attribute} Array_Less = 106
-@end deffn
+@itemize *
-@geindex Array_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Less_Equal}@anchor{1340}
-@deffn {Attribute} Array_Less_Equal = 107
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Array_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Greater}@anchor{1341}
-@deffn {Attribute} Array_Greater = 108
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Array_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Greater_Equal}@anchor{1342}
-@deffn {Attribute} Array_Greater_Equal = 109
@end deffn
-@geindex Array_Array_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Array_Concat}@anchor{1343}
-@deffn {Attribute} Array_Array_Concat = 110
-@end deffn
+@geindex Get_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Subtype}@anchor{949}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Subtype (obj)
-@geindex Array_Element_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Element_Concat}@anchor{1344}
-@deffn {Attribute} Array_Element_Concat = 111
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Element_Array_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Element_Array_Concat}@anchor{1345}
-@deffn {Attribute} Element_Array_Concat = 112
-@end deffn
-@geindex Element_Element_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Element_Element_Concat}@anchor{1346}
-@deffn {Attribute} Element_Element_Concat = 113
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Array_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Minimum}@anchor{1347}
-@deffn {Attribute} Array_Minimum = 114
@end deffn
-@geindex Array_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Maximum}@anchor{1348}
-@deffn {Attribute} Array_Maximum = 115
-@end deffn
+@geindex Set_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Subtype}@anchor{94a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Subtype (obj, value)
-@geindex Vector_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Vector_Minimum}@anchor{1349}
-@deffn {Attribute} Vector_Minimum = 116
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Vector_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Vector_Maximum}@anchor{134a}
-@deffn {Attribute} Vector_Maximum = 117
-@end deffn
-@geindex Array_Sll (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sll}@anchor{134b}
-@deffn {Attribute} Array_Sll = 118
-@end deffn
+@*Parameters:
-@geindex Array_Srl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Srl}@anchor{134c}
-@deffn {Attribute} Array_Srl = 119
-@end deffn
+@itemize *
-@geindex Array_Sla (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sla}@anchor{134d}
-@deffn {Attribute} Array_Sla = 120
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Array_Sra (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sra}@anchor{134e}
-@deffn {Attribute} Array_Sra = 121
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Array_Rol (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Rol}@anchor{134f}
-@deffn {Attribute} Array_Rol = 122
@end deffn
-@geindex Array_Ror (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Ror}@anchor{1350}
-@deffn {Attribute} Array_Ror = 123
-@end deffn
+@geindex Get_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Subtype}@anchor{94b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Allocator_Subtype (obj)
-@geindex TF_Array_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_And}@anchor{1351}
-@deffn {Attribute} TF_Array_And = 124
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex TF_Array_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Or}@anchor{1352}
-@deffn {Attribute} TF_Array_Or = 125
-@end deffn
-@geindex TF_Array_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Nand}@anchor{1353}
-@deffn {Attribute} TF_Array_Nand = 126
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex TF_Array_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Nor}@anchor{1354}
-@deffn {Attribute} TF_Array_Nor = 127
@end deffn
-@geindex TF_Array_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Xor}@anchor{1355}
-@deffn {Attribute} TF_Array_Xor = 128
-@end deffn
+@geindex Set_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Subtype}@anchor{94c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Allocator_Subtype (obj, value)
-@geindex TF_Array_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Xnor}@anchor{1356}
-@deffn {Attribute} TF_Array_Xnor = 129
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex TF_Array_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Not}@anchor{1357}
-@deffn {Attribute} TF_Array_Not = 130
-@end deffn
-@geindex TF_Reduction_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_And}@anchor{1358}
-@deffn {Attribute} TF_Reduction_And = 131
-@end deffn
+@*Parameters:
-@geindex TF_Reduction_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Or}@anchor{1359}
-@deffn {Attribute} TF_Reduction_Or = 132
-@end deffn
+@itemize *
-@geindex TF_Reduction_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Nand}@anchor{135a}
-@deffn {Attribute} TF_Reduction_Nand = 133
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex TF_Reduction_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Nor}@anchor{135b}
-@deffn {Attribute} TF_Reduction_Nor = 134
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex TF_Reduction_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Xor}@anchor{135c}
-@deffn {Attribute} TF_Reduction_Xor = 135
@end deffn
-@geindex TF_Reduction_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Xnor}@anchor{135d}
-@deffn {Attribute} TF_Reduction_Xnor = 136
-@end deffn
+@geindex Get_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class}@anchor{94d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Class (obj)
-@geindex TF_Reduction_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Not}@anchor{135e}
-@deffn {Attribute} TF_Reduction_Not = 137
-@end deffn
+@*Return type:
+@ref{c0e,,Tok}
-@geindex TF_Array_Element_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_And}@anchor{135f}
-@deffn {Attribute} TF_Array_Element_And = 138
-@end deffn
-@geindex TF_Element_Array_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_And}@anchor{1360}
-@deffn {Attribute} TF_Element_Array_And = 139
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex TF_Array_Element_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Or}@anchor{1361}
-@deffn {Attribute} TF_Array_Element_Or = 140
@end deffn
-@geindex TF_Element_Array_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Or}@anchor{1362}
-@deffn {Attribute} TF_Element_Array_Or = 141
-@end deffn
+@geindex Set_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class}@anchor{94e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Class (obj, value)
-@geindex TF_Array_Element_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Nand}@anchor{1363}
-@deffn {Attribute} TF_Array_Element_Nand = 142
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex TF_Element_Array_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Nand}@anchor{1364}
-@deffn {Attribute} TF_Element_Array_Nand = 143
-@end deffn
-@geindex TF_Array_Element_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Nor}@anchor{1365}
-@deffn {Attribute} TF_Array_Element_Nor = 144
-@end deffn
+@*Parameters:
-@geindex TF_Element_Array_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Nor}@anchor{1366}
-@deffn {Attribute} TF_Element_Array_Nor = 145
-@end deffn
+@itemize *
-@geindex TF_Array_Element_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Xor}@anchor{1367}
-@deffn {Attribute} TF_Array_Element_Xor = 146
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex TF_Element_Array_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Xor}@anchor{1368}
-@deffn {Attribute} TF_Element_Array_Xor = 147
-@end deffn
+@item
+@code{value} (@ref{c0e,,Tok}) –
+@end itemize
-@geindex TF_Array_Element_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Xnor}@anchor{1369}
-@deffn {Attribute} TF_Array_Element_Xnor = 148
@end deffn
-@geindex TF_Element_Array_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Xnor}@anchor{136a}
-@deffn {Attribute} TF_Element_Array_Xnor = 149
-@end deffn
+@geindex Get_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name_List}@anchor{94f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Name_List (obj)
-@geindex Bit_Array_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Array_Match_Equality}@anchor{136b}
-@deffn {Attribute} Bit_Array_Match_Equality = 150
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Bit_Array_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Array_Match_Inequality}@anchor{136c}
-@deffn {Attribute} Bit_Array_Match_Inequality = 151
-@end deffn
-@geindex Array_Char_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Char_To_String}@anchor{136d}
-@deffn {Attribute} Array_Char_To_String = 152
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Bit_Vector_To_Ostring (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Vector_To_Ostring}@anchor{136e}
-@deffn {Attribute} Bit_Vector_To_Ostring = 153
@end deffn
-@geindex Bit_Vector_To_Hstring (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Vector_To_Hstring}@anchor{136f}
-@deffn {Attribute} Bit_Vector_To_Hstring = 154
-@end deffn
+@geindex Set_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name_List}@anchor{950}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Name_List (obj, value)
-@geindex Std_Ulogic_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Equality}@anchor{1370}
-@deffn {Attribute} Std_Ulogic_Match_Equality = 155
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Std_Ulogic_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Inequality}@anchor{1371}
-@deffn {Attribute} Std_Ulogic_Match_Inequality = 156
-@end deffn
-@geindex Std_Ulogic_Match_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Less}@anchor{1372}
-@deffn {Attribute} Std_Ulogic_Match_Less = 157
-@end deffn
+@*Parameters:
-@geindex Std_Ulogic_Match_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Less_Equal}@anchor{1373}
-@deffn {Attribute} Std_Ulogic_Match_Less_Equal = 158
-@end deffn
+@itemize *
-@geindex Std_Ulogic_Match_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Greater}@anchor{1374}
-@deffn {Attribute} Std_Ulogic_Match_Greater = 159
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Std_Ulogic_Match_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Greater_Equal}@anchor{1375}
-@deffn {Attribute} Std_Ulogic_Match_Greater_Equal = 160
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Std_Ulogic_Array_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Array_Match_Equality}@anchor{1376}
-@deffn {Attribute} Std_Ulogic_Array_Match_Equality = 161
@end deffn
-@geindex Std_Ulogic_Array_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Array_Match_Inequality}@anchor{1377}
-@deffn {Attribute} Std_Ulogic_Array_Match_Inequality = 162
-@end deffn
+@geindex Get_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Designator}@anchor{951}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Designator (obj)
-@geindex Deallocate (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Deallocate}@anchor{1378}
-@deffn {Attribute} Deallocate = 163
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex File_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Open}@anchor{1379}
-@deffn {Attribute} File_Open = 164
-@end deffn
-@geindex File_Open_Status (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Open_Status}@anchor{137a}
-@deffn {Attribute} File_Open_Status = 165
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex File_Close (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Close}@anchor{137b}
-@deffn {Attribute} File_Close = 166
@end deffn
-@geindex Read (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Read}@anchor{137c}
-@deffn {Attribute} Read = 167
-@end deffn
+@geindex Set_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Designator}@anchor{952}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Designator (obj, value)
-@geindex Read_Length (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Read_Length}@anchor{137d}
-@deffn {Attribute} Read_Length = 168
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Flush (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Flush}@anchor{137e}
-@deffn {Attribute} Flush = 169
-@end deffn
-@geindex Write (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Write}@anchor{137f}
-@deffn {Attribute} Write = 170
-@end deffn
+@*Parameters:
-@geindex Endfile (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Endfile}@anchor{1380}
-@deffn {Attribute} Endfile = 171
-@end deffn
+@itemize *
-@geindex Now_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Now_Function}@anchor{1381}
-@deffn {Attribute} Now_Function = 172
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Real_Now_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_Now_Function}@anchor{1382}
-@deffn {Attribute} Real_Now_Function = 173
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Frequency_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Frequency_Function}@anchor{1383}
-@deffn {Attribute} Frequency_Function = 174
@end deffn
-@geindex PNone (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined PNone}@anchor{1384}
-@deffn {Attribute} PNone = 175
-@end deffn
+@geindex Get_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification_Chain}@anchor{953}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Specification_Chain (obj)
-@geindex Foreign_Untruncated_Text_Read (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Untruncated_Text_Read}@anchor{1385}
-@deffn {Attribute} Foreign_Untruncated_Text_Read = 176
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Foreign_Textio_Read_Real (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Textio_Read_Real}@anchor{1386}
-@deffn {Attribute} Foreign_Textio_Read_Real = 177
-@end deffn
-@geindex Foreign_Textio_Write_Real (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Textio_Write_Real}@anchor{1387}
-@deffn {Attribute} Foreign_Textio_Write_Real = 178
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_Scalar_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_And}@anchor{1388}
-@deffn {Attribute} Ieee_1164_Scalar_And = 179
@end deffn
-@geindex Ieee_1164_Scalar_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Nand}@anchor{1389}
-@deffn {Attribute} Ieee_1164_Scalar_Nand = 180
-@end deffn
+@geindex Set_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification_Chain}@anchor{954}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Specification_Chain (obj, value)
-@geindex Ieee_1164_Scalar_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Or}@anchor{138a}
-@deffn {Attribute} Ieee_1164_Scalar_Or = 181
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_1164_Scalar_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Nor}@anchor{138b}
-@deffn {Attribute} Ieee_1164_Scalar_Nor = 182
-@end deffn
-@geindex Ieee_1164_Scalar_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Xor}@anchor{138c}
-@deffn {Attribute} Ieee_1164_Scalar_Xor = 183
-@end deffn
+@*Parameters:
-@geindex Ieee_1164_Scalar_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Xnor}@anchor{138d}
-@deffn {Attribute} Ieee_1164_Scalar_Xnor = 184
-@end deffn
+@itemize *
-@geindex Ieee_1164_Scalar_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Not}@anchor{138e}
-@deffn {Attribute} Ieee_1164_Scalar_Not = 185
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_Vector_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_And}@anchor{138f}
-@deffn {Attribute} Ieee_1164_Vector_And = 186
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_1164_Vector_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Nand}@anchor{1390}
-@deffn {Attribute} Ieee_1164_Vector_Nand = 187
@end deffn
-@geindex Ieee_1164_Vector_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Or}@anchor{1391}
-@deffn {Attribute} Ieee_1164_Vector_Or = 188
-@end deffn
+@geindex Get_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification}@anchor{955}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Specification (obj)
-@geindex Ieee_1164_Vector_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Nor}@anchor{1392}
-@deffn {Attribute} Ieee_1164_Vector_Nor = 189
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_1164_Vector_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Xor}@anchor{1393}
-@deffn {Attribute} Ieee_1164_Vector_Xor = 190
-@end deffn
-@geindex Ieee_1164_Vector_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Xnor}@anchor{1394}
-@deffn {Attribute} Ieee_1164_Vector_Xnor = 191
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_Vector_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Not}@anchor{1395}
-@deffn {Attribute} Ieee_1164_Vector_Not = 192
@end deffn
-@geindex Ieee_1164_To_Bit (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Bit}@anchor{1396}
-@deffn {Attribute} Ieee_1164_To_Bit = 193
-@end deffn
+@geindex Set_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification}@anchor{956}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Specification (obj, value)
-@geindex Ieee_1164_To_Bitvector (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Bitvector}@anchor{1397}
-@deffn {Attribute} Ieee_1164_To_Bitvector = 194
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_1164_To_Stdulogic (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogic}@anchor{1398}
-@deffn {Attribute} Ieee_1164_To_Stdulogic = 195
-@end deffn
-@geindex Ieee_1164_To_Stdlogicvector_Bv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdlogicvector_Bv}@anchor{1399}
-@deffn {Attribute} Ieee_1164_To_Stdlogicvector_Bv = 196
-@end deffn
+@*Parameters:
-@geindex Ieee_1164_To_Stdlogicvector_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdlogicvector_Suv}@anchor{139a}
-@deffn {Attribute} Ieee_1164_To_Stdlogicvector_Suv = 197
-@end deffn
+@itemize *
-@geindex Ieee_1164_To_Stdulogicvector_Bv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogicvector_Bv}@anchor{139b}
-@deffn {Attribute} Ieee_1164_To_Stdulogicvector_Bv = 198
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_To_Stdulogicvector_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogicvector_Slv}@anchor{139c}
-@deffn {Attribute} Ieee_1164_To_Stdulogicvector_Slv = 199
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_1164_To_X01_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Slv}@anchor{139d}
-@deffn {Attribute} Ieee_1164_To_X01_Slv = 200
@end deffn
-@geindex Ieee_1164_To_X01_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Suv}@anchor{139e}
-@deffn {Attribute} Ieee_1164_To_X01_Suv = 201
-@end deffn
+@geindex Get_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Static_Attribute_Flag}@anchor{957}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Static_Attribute_Flag (obj)
-@geindex Ieee_1164_To_X01_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Log}@anchor{139f}
-@deffn {Attribute} Ieee_1164_To_X01_Log = 202
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Ieee_1164_To_X01_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bv_Slv}@anchor{13a0}
-@deffn {Attribute} Ieee_1164_To_X01_Bv_Slv = 203
-@end deffn
-@geindex Ieee_1164_To_X01_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bv_Suv}@anchor{13a1}
-@deffn {Attribute} Ieee_1164_To_X01_Bv_Suv = 204
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_To_X01_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bit_Log}@anchor{13a2}
-@deffn {Attribute} Ieee_1164_To_X01_Bit_Log = 205
@end deffn
-@geindex Ieee_1164_To_X01Z_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Slv}@anchor{13a3}
-@deffn {Attribute} Ieee_1164_To_X01Z_Slv = 206
-@end deffn
+@geindex Set_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Static_Attribute_Flag}@anchor{958}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Static_Attribute_Flag (obj, value)
-@geindex Ieee_1164_To_X01Z_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Suv}@anchor{13a4}
-@deffn {Attribute} Ieee_1164_To_X01Z_Suv = 207
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_1164_To_X01Z_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Log}@anchor{13a5}
-@deffn {Attribute} Ieee_1164_To_X01Z_Log = 208
-@end deffn
-@geindex Ieee_1164_To_X01Z_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bv_Slv}@anchor{13a6}
-@deffn {Attribute} Ieee_1164_To_X01Z_Bv_Slv = 209
-@end deffn
+@*Parameters:
-@geindex Ieee_1164_To_X01Z_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bv_Suv}@anchor{13a7}
-@deffn {Attribute} Ieee_1164_To_X01Z_Bv_Suv = 210
-@end deffn
+@itemize *
-@geindex Ieee_1164_To_X01Z_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bit_Log}@anchor{13a8}
-@deffn {Attribute} Ieee_1164_To_X01Z_Bit_Log = 211
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_To_UX01_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Slv}@anchor{13a9}
-@deffn {Attribute} Ieee_1164_To_UX01_Slv = 212
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Ieee_1164_To_UX01_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Suv}@anchor{13aa}
-@deffn {Attribute} Ieee_1164_To_UX01_Suv = 213
@end deffn
-@geindex Ieee_1164_To_UX01_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Log}@anchor{13ab}
-@deffn {Attribute} Ieee_1164_To_UX01_Log = 214
-@end deffn
+@geindex Get_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_List}@anchor{959}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_List (obj)
-@geindex Ieee_1164_To_UX01_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bv_Slv}@anchor{13ac}
-@deffn {Attribute} Ieee_1164_To_UX01_Bv_Slv = 215
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_1164_To_UX01_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bv_Suv}@anchor{13ad}
-@deffn {Attribute} Ieee_1164_To_UX01_Bv_Suv = 216
-@end deffn
-@geindex Ieee_1164_To_UX01_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bit_Log}@anchor{13ae}
-@deffn {Attribute} Ieee_1164_To_UX01_Bit_Log = 217
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_Vector_Is_X (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Is_X}@anchor{13af}
-@deffn {Attribute} Ieee_1164_Vector_Is_X = 218
@end deffn
-@geindex Ieee_1164_Scalar_Is_X (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Is_X}@anchor{13b0}
-@deffn {Attribute} Ieee_1164_Scalar_Is_X = 219
-@end deffn
+@geindex Set_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_List}@anchor{95a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_List (obj, value)
-@geindex Ieee_1164_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Rising_Edge}@anchor{13b1}
-@deffn {Attribute} Ieee_1164_Rising_Edge = 220
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_1164_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Falling_Edge}@anchor{13b2}
-@deffn {Attribute} Ieee_1164_Falling_Edge = 221
-@end deffn
-@geindex Ieee_1164_And_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Suv_Log}@anchor{13b3}
-@deffn {Attribute} Ieee_1164_And_Suv_Log = 222
-@end deffn
+@*Parameters:
-@geindex Ieee_1164_And_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Log_Suv}@anchor{13b4}
-@deffn {Attribute} Ieee_1164_And_Log_Suv = 223
-@end deffn
+@itemize *
-@geindex Ieee_1164_Nand_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Suv_Log}@anchor{13b5}
-@deffn {Attribute} Ieee_1164_Nand_Suv_Log = 224
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_Nand_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Log_Suv}@anchor{13b6}
-@deffn {Attribute} Ieee_1164_Nand_Log_Suv = 225
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_1164_Or_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Suv_Log}@anchor{13b7}
-@deffn {Attribute} Ieee_1164_Or_Suv_Log = 226
@end deffn
-@geindex Ieee_1164_Or_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Log_Suv}@anchor{13b8}
-@deffn {Attribute} Ieee_1164_Or_Log_Suv = 227
-@end deffn
+@geindex Get_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Quantity_List}@anchor{95b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Quantity_List (obj)
-@geindex Ieee_1164_Nor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Suv_Log}@anchor{13b9}
-@deffn {Attribute} Ieee_1164_Nor_Suv_Log = 228
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_1164_Nor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Log_Suv}@anchor{13ba}
-@deffn {Attribute} Ieee_1164_Nor_Log_Suv = 229
-@end deffn
-@geindex Ieee_1164_Xor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Suv_Log}@anchor{13bb}
-@deffn {Attribute} Ieee_1164_Xor_Suv_Log = 230
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_Xor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Log_Suv}@anchor{13bc}
-@deffn {Attribute} Ieee_1164_Xor_Log_Suv = 231
@end deffn
-@geindex Ieee_1164_Xnor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Suv_Log}@anchor{13bd}
-@deffn {Attribute} Ieee_1164_Xnor_Suv_Log = 232
-@end deffn
+@geindex Set_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Quantity_List}@anchor{95c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Quantity_List (obj, value)
-@geindex Ieee_1164_Xnor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Log_Suv}@anchor{13be}
-@deffn {Attribute} Ieee_1164_Xnor_Log_Suv = 233
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_1164_And_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Suv}@anchor{13bf}
-@deffn {Attribute} Ieee_1164_And_Suv = 234
-@end deffn
-@geindex Ieee_1164_Nand_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Suv}@anchor{13c0}
-@deffn {Attribute} Ieee_1164_Nand_Suv = 235
-@end deffn
+@*Parameters:
-@geindex Ieee_1164_Or_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Suv}@anchor{13c1}
-@deffn {Attribute} Ieee_1164_Or_Suv = 236
-@end deffn
+@itemize *
-@geindex Ieee_1164_Nor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Suv}@anchor{13c2}
-@deffn {Attribute} Ieee_1164_Nor_Suv = 237
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_Xor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Suv}@anchor{13c3}
-@deffn {Attribute} Ieee_1164_Xor_Suv = 238
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_1164_Xnor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Suv}@anchor{13c4}
-@deffn {Attribute} Ieee_1164_Xnor_Suv = 239
@end deffn
-@geindex Ieee_1164_Vector_Sll (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Sll}@anchor{13c5}
-@deffn {Attribute} Ieee_1164_Vector_Sll = 240
-@end deffn
+@geindex Get_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Entity}@anchor{95d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Entity (obj)
-@geindex Ieee_1164_Vector_Srl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Srl}@anchor{13c6}
-@deffn {Attribute} Ieee_1164_Vector_Srl = 241
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_1164_Vector_Rol (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Rol}@anchor{13c7}
-@deffn {Attribute} Ieee_1164_Vector_Rol = 242
-@end deffn
-@geindex Ieee_1164_Vector_Ror (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Ror}@anchor{13c8}
-@deffn {Attribute} Ieee_1164_Vector_Ror = 243
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_1164_Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Condition_Operator}@anchor{13c9}
-@deffn {Attribute} Ieee_1164_Condition_Operator = 244
@end deffn
-@geindex Ieee_Numeric_Std_Toint_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Toint_Uns_Nat}@anchor{13ca}
-@deffn {Attribute} Ieee_Numeric_Std_Toint_Uns_Nat = 245
-@end deffn
+@geindex Set_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Entity}@anchor{95e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Entity (obj, value)
-@geindex Ieee_Numeric_Std_Toint_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Toint_Sgn_Int}@anchor{13cb}
-@deffn {Attribute} Ieee_Numeric_Std_Toint_Sgn_Int = 246
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Touns_Nat_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Touns_Nat_Nat_Uns}@anchor{13cc}
-@deffn {Attribute} Ieee_Numeric_Std_Touns_Nat_Nat_Uns = 247
-@end deffn
-@geindex Ieee_Numeric_Std_Touns_Nat_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Touns_Nat_Uns_Uns}@anchor{13cd}
-@deffn {Attribute} Ieee_Numeric_Std_Touns_Nat_Uns_Uns = 248
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn}@anchor{13ce}
-@deffn {Attribute} Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn = 249
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn}@anchor{13cf}
-@deffn {Attribute} Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn = 250
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Resize_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Uns_Nat}@anchor{13d0}
-@deffn {Attribute} Ieee_Numeric_Std_Resize_Uns_Nat = 251
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Resize_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Sgn_Nat}@anchor{13d1}
-@deffn {Attribute} Ieee_Numeric_Std_Resize_Sgn_Nat = 252
@end deffn
-@geindex Ieee_Numeric_Std_Resize_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Uns_Uns}@anchor{13d2}
-@deffn {Attribute} Ieee_Numeric_Std_Resize_Uns_Uns = 253
-@end deffn
+@geindex Get_Formal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal}@anchor{95f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Formal (obj)
-@geindex Ieee_Numeric_Std_Resize_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Sgn_Sgn}@anchor{13d3}
-@deffn {Attribute} Ieee_Numeric_Std_Resize_Sgn_Sgn = 254
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Numeric_Std_Add_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Uns}@anchor{13d4}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Uns = 255
-@end deffn
-@geindex Ieee_Numeric_Std_Add_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Nat}@anchor{13d5}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Nat = 256
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Add_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Nat_Uns}@anchor{13d6}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Nat_Uns = 257
@end deffn
-@geindex Ieee_Numeric_Std_Add_Uns_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Log}@anchor{13d7}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Log = 258
-@end deffn
+@geindex Set_Formal() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal}@anchor{960}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Formal (obj, value)
-@geindex Ieee_Numeric_Std_Add_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Log_Uns}@anchor{13d8}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Log_Uns = 259
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Add_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Sgn}@anchor{13d9}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Sgn = 260
-@end deffn
-@geindex Ieee_Numeric_Std_Add_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Int}@anchor{13da}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Int = 261
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Add_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Int_Sgn}@anchor{13db}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Int_Sgn = 262
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Add_Sgn_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Log}@anchor{13dc}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Log = 263
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Add_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Log_Sgn}@anchor{13dd}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Log_Sgn = 264
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Sub_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Uns}@anchor{13de}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Uns = 265
@end deffn
-@geindex Ieee_Numeric_Std_Sub_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Nat}@anchor{13df}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Nat = 266
-@end deffn
+@geindex Get_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual}@anchor{961}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual (obj)
-@geindex Ieee_Numeric_Std_Sub_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Nat_Uns}@anchor{13e0}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Nat_Uns = 267
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Numeric_Std_Sub_Uns_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Log}@anchor{13e1}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Log = 268
-@end deffn
-@geindex Ieee_Numeric_Std_Sub_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Log_Uns}@anchor{13e2}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Log_Uns = 269
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Sub_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Sgn}@anchor{13e3}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Sgn = 270
@end deffn
-@geindex Ieee_Numeric_Std_Sub_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Int}@anchor{13e4}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Int = 271
-@end deffn
+@geindex Set_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual}@anchor{962}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual (obj, value)
-@geindex Ieee_Numeric_Std_Sub_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Int_Sgn}@anchor{13e5}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Int_Sgn = 272
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Sub_Sgn_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Log}@anchor{13e6}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Log = 273
-@end deffn
-@geindex Ieee_Numeric_Std_Sub_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Log_Sgn}@anchor{13e7}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Log_Sgn = 274
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Mul_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Uns_Uns}@anchor{13e8}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Uns_Uns = 275
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Mul_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Uns_Nat}@anchor{13e9}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Uns_Nat = 276
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Mul_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Nat_Uns}@anchor{13ea}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Nat_Uns = 277
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Mul_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Sgn_Sgn}@anchor{13eb}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Sgn_Sgn = 278
@end deffn
-@geindex Ieee_Numeric_Std_Mul_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Sgn_Int}@anchor{13ec}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Sgn_Int = 279
-@end deffn
+@geindex Get_Open_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Open_Actual}@anchor{963}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Open_Actual (obj)
-@geindex Ieee_Numeric_Std_Mul_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Int_Sgn}@anchor{13ed}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Int_Sgn = 280
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Numeric_Std_Div_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Uns_Uns}@anchor{13ee}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Uns_Uns = 281
-@end deffn
-@geindex Ieee_Numeric_Std_Div_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Uns_Nat}@anchor{13ef}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Uns_Nat = 282
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Div_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Nat_Uns}@anchor{13f0}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Nat_Uns = 283
@end deffn
-@geindex Ieee_Numeric_Std_Div_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Sgn_Sgn}@anchor{13f1}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Sgn_Sgn = 284
-@end deffn
+@geindex Set_Open_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Open_Actual}@anchor{964}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Open_Actual (obj, value)
-@geindex Ieee_Numeric_Std_Div_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Sgn_Int}@anchor{13f2}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Sgn_Int = 285
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Div_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Int_Sgn}@anchor{13f3}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Int_Sgn = 286
-@end deffn
-@geindex Ieee_Numeric_Std_Rem_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Uns_Uns}@anchor{13f4}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Uns_Uns = 287
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Rem_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Uns_Nat}@anchor{13f5}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Uns_Nat = 288
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Rem_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Nat_Uns}@anchor{13f6}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Nat_Uns = 289
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Rem_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Sgn_Sgn}@anchor{13f7}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Sgn_Sgn = 290
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Rem_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Sgn_Int}@anchor{13f8}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Sgn_Int = 291
@end deffn
-@geindex Ieee_Numeric_Std_Rem_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Int_Sgn}@anchor{13f9}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Int_Sgn = 292
-@end deffn
+@geindex Get_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Conversion}@anchor{965}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Conversion (obj)
-@geindex Ieee_Numeric_Std_Mod_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Uns_Uns}@anchor{13fa}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Uns_Uns = 293
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Numeric_Std_Mod_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Uns_Nat}@anchor{13fb}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Uns_Nat = 294
-@end deffn
-@geindex Ieee_Numeric_Std_Mod_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Nat_Uns}@anchor{13fc}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Nat_Uns = 295
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Mod_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Sgn_Sgn}@anchor{13fd}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Sgn_Sgn = 296
@end deffn
-@geindex Ieee_Numeric_Std_Mod_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Sgn_Int}@anchor{13fe}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Sgn_Int = 297
-@end deffn
+@geindex Set_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Conversion}@anchor{966}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Conversion (obj, value)
-@geindex Ieee_Numeric_Std_Mod_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Int_Sgn}@anchor{13ff}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Int_Sgn = 298
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Uns_Uns}@anchor{1400}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Uns_Uns = 299
-@end deffn
-@geindex Ieee_Numeric_Std_Gt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Uns_Nat}@anchor{1401}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Uns_Nat = 300
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Gt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Nat_Uns}@anchor{1402}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Nat_Uns = 301
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Sgn_Sgn}@anchor{1403}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Sgn_Sgn = 302
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Sgn_Int}@anchor{1404}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Sgn_Int = 303
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Int_Sgn}@anchor{1405}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Int_Sgn = 304
@end deffn
-@geindex Ieee_Numeric_Std_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Uns_Uns}@anchor{1406}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Uns_Uns = 305
-@end deffn
+@geindex Get_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal_Conversion}@anchor{967}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Formal_Conversion (obj)
-@geindex Ieee_Numeric_Std_Lt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Uns_Nat}@anchor{1407}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Uns_Nat = 306
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Numeric_Std_Lt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Nat_Uns}@anchor{1408}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Nat_Uns = 307
-@end deffn
-@geindex Ieee_Numeric_Std_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Sgn_Sgn}@anchor{1409}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Sgn_Sgn = 308
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Sgn_Int}@anchor{140a}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Sgn_Int = 309
@end deffn
-@geindex Ieee_Numeric_Std_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Int_Sgn}@anchor{140b}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Int_Sgn = 310
-@end deffn
+@geindex Set_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal_Conversion}@anchor{968}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Formal_Conversion (obj, value)
-@geindex Ieee_Numeric_Std_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Uns_Uns}@anchor{140c}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Uns_Uns = 311
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Le_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Uns_Nat}@anchor{140d}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Uns_Nat = 312
-@end deffn
-@geindex Ieee_Numeric_Std_Le_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Nat_Uns}@anchor{140e}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Nat_Uns = 313
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Sgn_Sgn}@anchor{140f}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Sgn_Sgn = 314
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Sgn_Int}@anchor{1410}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Sgn_Int = 315
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Int_Sgn}@anchor{1411}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Int_Sgn = 316
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Uns_Uns}@anchor{1412}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Uns_Uns = 317
@end deffn
-@geindex Ieee_Numeric_Std_Ge_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Uns_Nat}@anchor{1413}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Uns_Nat = 318
-@end deffn
+@geindex Get_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Whole_Association_Flag}@anchor{969}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Whole_Association_Flag (obj)
-@geindex Ieee_Numeric_Std_Ge_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Nat_Uns}@anchor{1414}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Nat_Uns = 319
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Ieee_Numeric_Std_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Sgn_Sgn}@anchor{1415}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Sgn_Sgn = 320
-@end deffn
-@geindex Ieee_Numeric_Std_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Sgn_Int}@anchor{1416}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Sgn_Int = 321
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Int_Sgn}@anchor{1417}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Int_Sgn = 322
@end deffn
-@geindex Ieee_Numeric_Std_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Uns_Uns}@anchor{1418}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Uns_Uns = 323
-@end deffn
+@geindex Set_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Whole_Association_Flag}@anchor{96a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Whole_Association_Flag (obj, value)
-@geindex Ieee_Numeric_Std_Eq_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Uns_Nat}@anchor{1419}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Uns_Nat = 324
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Eq_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Nat_Uns}@anchor{141a}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Nat_Uns = 325
-@end deffn
-@geindex Ieee_Numeric_Std_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Sgn_Sgn}@anchor{141b}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Sgn_Sgn = 326
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Sgn_Int}@anchor{141c}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Sgn_Int = 327
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Int_Sgn}@anchor{141d}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Int_Sgn = 328
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Uns_Uns}@anchor{141e}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Uns_Uns = 329
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Ne_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Uns_Nat}@anchor{141f}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Uns_Nat = 330
@end deffn
-@geindex Ieee_Numeric_Std_Ne_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Nat_Uns}@anchor{1420}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Nat_Uns = 331
-@end deffn
+@geindex Get_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Collapse_Signal_Flag}@anchor{96b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Collapse_Signal_Flag (obj)
-@geindex Ieee_Numeric_Std_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Sgn_Sgn}@anchor{1421}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Sgn_Sgn = 332
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Ieee_Numeric_Std_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Sgn_Int}@anchor{1422}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Sgn_Int = 333
-@end deffn
-@geindex Ieee_Numeric_Std_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Int_Sgn}@anchor{1423}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Int_Sgn = 334
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Match_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Uns_Uns}@anchor{1424}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Uns_Uns = 335
@end deffn
-@geindex Ieee_Numeric_Std_Match_Gt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Uns_Nat}@anchor{1425}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Uns_Nat = 336
-@end deffn
+@geindex Set_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Collapse_Signal_Flag}@anchor{96c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Collapse_Signal_Flag (obj, value)
-@geindex Ieee_Numeric_Std_Match_Gt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Nat_Uns}@anchor{1426}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Nat_Uns = 337
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Match_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Sgn_Sgn}@anchor{1427}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Sgn_Sgn = 338
-@end deffn
-@geindex Ieee_Numeric_Std_Match_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Sgn_Int}@anchor{1428}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Sgn_Int = 339
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Match_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Int_Sgn}@anchor{1429}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Int_Sgn = 340
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Match_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Uns_Uns}@anchor{142a}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Uns_Uns = 341
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Match_Lt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Uns_Nat}@anchor{142b}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Uns_Nat = 342
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Match_Lt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Nat_Uns}@anchor{142c}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Nat_Uns = 343
@end deffn
-@geindex Ieee_Numeric_Std_Match_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Sgn_Sgn}@anchor{142d}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Sgn_Sgn = 344
-@end deffn
+@geindex Get_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Artificial_Flag}@anchor{96d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Artificial_Flag (obj)
-@geindex Ieee_Numeric_Std_Match_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Sgn_Int}@anchor{142e}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Sgn_Int = 345
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Ieee_Numeric_Std_Match_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Int_Sgn}@anchor{142f}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Int_Sgn = 346
-@end deffn
-@geindex Ieee_Numeric_Std_Match_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Uns_Uns}@anchor{1430}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Uns_Uns = 347
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Match_Le_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Uns_Nat}@anchor{1431}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Uns_Nat = 348
@end deffn
-@geindex Ieee_Numeric_Std_Match_Le_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Nat_Uns}@anchor{1432}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Nat_Uns = 349
-@end deffn
+@geindex Set_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Artificial_Flag}@anchor{96e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Artificial_Flag (obj, value)
-@geindex Ieee_Numeric_Std_Match_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Sgn_Sgn}@anchor{1433}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Sgn_Sgn = 350
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Match_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Sgn_Int}@anchor{1434}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Sgn_Int = 351
-@end deffn
-@geindex Ieee_Numeric_Std_Match_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Int_Sgn}@anchor{1435}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Int_Sgn = 352
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Match_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Uns_Uns}@anchor{1436}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Uns_Uns = 353
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Match_Ge_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Uns_Nat}@anchor{1437}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Uns_Nat = 354
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Match_Ge_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Nat_Uns}@anchor{1438}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Nat_Uns = 355
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Match_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Sgn_Sgn}@anchor{1439}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Sgn_Sgn = 356
@end deffn
-@geindex Ieee_Numeric_Std_Match_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Sgn_Int}@anchor{143a}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Sgn_Int = 357
-@end deffn
+@geindex Get_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Open_Flag}@anchor{96f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Open_Flag (obj)
-@geindex Ieee_Numeric_Std_Match_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Int_Sgn}@anchor{143b}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Int_Sgn = 358
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Ieee_Numeric_Std_Match_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Uns_Uns}@anchor{143c}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Uns_Uns = 359
-@end deffn
-@geindex Ieee_Numeric_Std_Match_Eq_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Uns_Nat}@anchor{143d}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Uns_Nat = 360
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Match_Eq_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Nat_Uns}@anchor{143e}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Nat_Uns = 361
@end deffn
-@geindex Ieee_Numeric_Std_Match_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Sgn_Sgn}@anchor{143f}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Sgn_Sgn = 362
-@end deffn
+@geindex Set_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Open_Flag}@anchor{970}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Open_Flag (obj, value)
-@geindex Ieee_Numeric_Std_Match_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Sgn_Int}@anchor{1440}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Sgn_Int = 363
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Match_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Int_Sgn}@anchor{1441}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Int_Sgn = 364
-@end deffn
-@geindex Ieee_Numeric_Std_Match_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Uns_Uns}@anchor{1442}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Uns_Uns = 365
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Match_Ne_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Uns_Nat}@anchor{1443}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Uns_Nat = 366
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Match_Ne_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Nat_Uns}@anchor{1444}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Nat_Uns = 367
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Match_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Sgn_Sgn}@anchor{1445}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Sgn_Sgn = 368
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Match_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Sgn_Int}@anchor{1446}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Sgn_Int = 369
@end deffn
-@geindex Ieee_Numeric_Std_Match_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Int_Sgn}@anchor{1447}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Int_Sgn = 370
-@end deffn
+@geindex Get_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_After_Drivers_Flag}@anchor{971}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_After_Drivers_Flag (obj)
-@geindex Ieee_Numeric_Std_Sll_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sll_Uns_Int}@anchor{1448}
-@deffn {Attribute} Ieee_Numeric_Std_Sll_Uns_Int = 371
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Ieee_Numeric_Std_Sll_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sll_Sgn_Int}@anchor{1449}
-@deffn {Attribute} Ieee_Numeric_Std_Sll_Sgn_Int = 372
-@end deffn
-@geindex Ieee_Numeric_Std_Srl_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Srl_Uns_Int}@anchor{144a}
-@deffn {Attribute} Ieee_Numeric_Std_Srl_Uns_Int = 373
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Srl_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Srl_Sgn_Int}@anchor{144b}
-@deffn {Attribute} Ieee_Numeric_Std_Srl_Sgn_Int = 374
@end deffn
-@geindex Ieee_Numeric_Std_Sla_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sla_Uns_Int}@anchor{144c}
-@deffn {Attribute} Ieee_Numeric_Std_Sla_Uns_Int = 375
-@end deffn
+@geindex Set_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_After_Drivers_Flag}@anchor{972}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_After_Drivers_Flag (obj, value)
-@geindex Ieee_Numeric_Std_Sla_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sla_Sgn_Int}@anchor{144d}
-@deffn {Attribute} Ieee_Numeric_Std_Sla_Sgn_Int = 376
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Sra_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sra_Uns_Int}@anchor{144e}
-@deffn {Attribute} Ieee_Numeric_Std_Sra_Uns_Int = 377
-@end deffn
-@geindex Ieee_Numeric_Std_Sra_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sra_Sgn_Int}@anchor{144f}
-@deffn {Attribute} Ieee_Numeric_Std_Sra_Sgn_Int = 378
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Rol_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rol_Uns_Int}@anchor{1450}
-@deffn {Attribute} Ieee_Numeric_Std_Rol_Uns_Int = 379
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Rol_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rol_Sgn_Int}@anchor{1451}
-@deffn {Attribute} Ieee_Numeric_Std_Rol_Sgn_Int = 380
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Ror_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ror_Uns_Int}@anchor{1452}
-@deffn {Attribute} Ieee_Numeric_Std_Ror_Uns_Int = 381
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Ror_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ror_Sgn_Int}@anchor{1453}
-@deffn {Attribute} Ieee_Numeric_Std_Ror_Sgn_Int = 382
@end deffn
-@geindex Ieee_Numeric_Std_And_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Uns_Uns}@anchor{1454}
-@deffn {Attribute} Ieee_Numeric_Std_And_Uns_Uns = 383
-@end deffn
+@geindex Get_We_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_We_Value}@anchor{973}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_We_Value (obj)
-@geindex Ieee_Numeric_Std_And_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Sgn_Sgn}@anchor{1455}
-@deffn {Attribute} Ieee_Numeric_Std_And_Sgn_Sgn = 384
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Numeric_Std_Or_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Uns_Uns}@anchor{1456}
-@deffn {Attribute} Ieee_Numeric_Std_Or_Uns_Uns = 385
-@end deffn
-@geindex Ieee_Numeric_Std_Or_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Sgn_Sgn}@anchor{1457}
-@deffn {Attribute} Ieee_Numeric_Std_Or_Sgn_Sgn = 386
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Nand_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Uns_Uns}@anchor{1458}
-@deffn {Attribute} Ieee_Numeric_Std_Nand_Uns_Uns = 387
@end deffn
-@geindex Ieee_Numeric_Std_Nand_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Sgn_Sgn}@anchor{1459}
-@deffn {Attribute} Ieee_Numeric_Std_Nand_Sgn_Sgn = 388
-@end deffn
+@geindex Set_We_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_We_Value}@anchor{974}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_We_Value (obj, value)
-@geindex Ieee_Numeric_Std_Nor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Uns_Uns}@anchor{145a}
-@deffn {Attribute} Ieee_Numeric_Std_Nor_Uns_Uns = 389
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Nor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Sgn_Sgn}@anchor{145b}
-@deffn {Attribute} Ieee_Numeric_Std_Nor_Sgn_Sgn = 390
-@end deffn
-@geindex Ieee_Numeric_Std_Xor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Uns_Uns}@anchor{145c}
-@deffn {Attribute} Ieee_Numeric_Std_Xor_Uns_Uns = 391
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Xor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Sgn_Sgn}@anchor{145d}
-@deffn {Attribute} Ieee_Numeric_Std_Xor_Sgn_Sgn = 392
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Xnor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Uns_Uns}@anchor{145e}
-@deffn {Attribute} Ieee_Numeric_Std_Xnor_Uns_Uns = 393
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Xnor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Sgn_Sgn}@anchor{145f}
-@deffn {Attribute} Ieee_Numeric_Std_Xnor_Sgn_Sgn = 394
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Not_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Not_Uns}@anchor{1460}
-@deffn {Attribute} Ieee_Numeric_Std_Not_Uns = 395
@end deffn
-@geindex Ieee_Numeric_Std_Not_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Not_Sgn}@anchor{1461}
-@deffn {Attribute} Ieee_Numeric_Std_Not_Sgn = 396
-@end deffn
+@geindex Get_Time() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Time}@anchor{975}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Time (obj)
-@geindex Ieee_Numeric_Std_Abs_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Abs_Sgn}@anchor{1462}
-@deffn {Attribute} Ieee_Numeric_Std_Abs_Sgn = 397
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Numeric_Std_Neg_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Neg_Uns}@anchor{1463}
-@deffn {Attribute} Ieee_Numeric_Std_Neg_Uns = 398
-@end deffn
-@geindex Ieee_Numeric_Std_Neg_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Neg_Sgn}@anchor{1464}
-@deffn {Attribute} Ieee_Numeric_Std_Neg_Sgn = 399
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Min_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Uns_Uns}@anchor{1465}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Uns_Uns = 400
@end deffn
-@geindex Ieee_Numeric_Std_Min_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Uns_Nat}@anchor{1466}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Uns_Nat = 401
-@end deffn
+@geindex Set_Time() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Time}@anchor{976}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Time (obj, value)
-@geindex Ieee_Numeric_Std_Min_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Nat_Uns}@anchor{1467}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Nat_Uns = 402
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Min_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Sgn_Sgn}@anchor{1468}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Sgn_Sgn = 403
-@end deffn
-@geindex Ieee_Numeric_Std_Min_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Sgn_Int}@anchor{1469}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Sgn_Int = 404
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Min_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Int_Sgn}@anchor{146a}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Int_Sgn = 405
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Max_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Uns_Uns}@anchor{146b}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Uns_Uns = 406
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Max_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Uns_Nat}@anchor{146c}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Uns_Nat = 407
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Max_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Nat_Uns}@anchor{146d}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Nat_Uns = 408
@end deffn
-@geindex Ieee_Numeric_Std_Max_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Sgn_Sgn}@anchor{146e}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Sgn_Sgn = 409
-@end deffn
+@geindex Get_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Expr}@anchor{977}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Expr (obj)
-@geindex Ieee_Numeric_Std_Max_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Sgn_Int}@anchor{146f}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Sgn_Int = 410
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Numeric_Std_Max_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Int_Sgn}@anchor{1470}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Int_Sgn = 411
-@end deffn
-@geindex Ieee_Numeric_Std_Shf_Left_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Left_Uns_Nat}@anchor{1471}
-@deffn {Attribute} Ieee_Numeric_Std_Shf_Left_Uns_Nat = 412
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Shf_Right_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Right_Uns_Nat}@anchor{1472}
-@deffn {Attribute} Ieee_Numeric_Std_Shf_Right_Uns_Nat = 413
@end deffn
-@geindex Ieee_Numeric_Std_Shf_Left_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Left_Sgn_Nat}@anchor{1473}
-@deffn {Attribute} Ieee_Numeric_Std_Shf_Left_Sgn_Nat = 414
-@end deffn
+@geindex Set_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Expr}@anchor{978}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Expr (obj, value)
-@geindex Ieee_Numeric_Std_Shf_Right_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Right_Sgn_Nat}@anchor{1474}
-@deffn {Attribute} Ieee_Numeric_Std_Shf_Right_Sgn_Nat = 415
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Rot_Left_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Left_Uns_Nat}@anchor{1475}
-@deffn {Attribute} Ieee_Numeric_Std_Rot_Left_Uns_Nat = 416
-@end deffn
-@geindex Ieee_Numeric_Std_Rot_Right_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Right_Uns_Nat}@anchor{1476}
-@deffn {Attribute} Ieee_Numeric_Std_Rot_Right_Uns_Nat = 417
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Rot_Left_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Left_Sgn_Nat}@anchor{1477}
-@deffn {Attribute} Ieee_Numeric_Std_Rot_Left_Sgn_Nat = 418
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Rot_Right_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Right_Sgn_Nat}@anchor{1478}
-@deffn {Attribute} Ieee_Numeric_Std_Rot_Right_Sgn_Nat = 419
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_And_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Sgn}@anchor{1479}
-@deffn {Attribute} Ieee_Numeric_Std_And_Sgn = 420
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Nand_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Sgn}@anchor{147a}
-@deffn {Attribute} Ieee_Numeric_Std_Nand_Sgn = 421
@end deffn
-@geindex Ieee_Numeric_Std_Or_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Sgn}@anchor{147b}
-@deffn {Attribute} Ieee_Numeric_Std_Or_Sgn = 422
-@end deffn
+@geindex Get_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Block}@anchor{979}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Block (obj)
-@geindex Ieee_Numeric_Std_Nor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Sgn}@anchor{147c}
-@deffn {Attribute} Ieee_Numeric_Std_Nor_Sgn = 423
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Numeric_Std_Xor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Sgn}@anchor{147d}
-@deffn {Attribute} Ieee_Numeric_Std_Xor_Sgn = 424
-@end deffn
-@geindex Ieee_Numeric_Std_Xnor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Sgn}@anchor{147e}
-@deffn {Attribute} Ieee_Numeric_Std_Xnor_Sgn = 425
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_And_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Uns}@anchor{147f}
-@deffn {Attribute} Ieee_Numeric_Std_And_Uns = 426
@end deffn
-@geindex Ieee_Numeric_Std_Nand_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Uns}@anchor{1480}
-@deffn {Attribute} Ieee_Numeric_Std_Nand_Uns = 427
-@end deffn
+@geindex Set_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Block}@anchor{97a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Block (obj, value)
-@geindex Ieee_Numeric_Std_Or_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Uns}@anchor{1481}
-@deffn {Attribute} Ieee_Numeric_Std_Or_Uns = 428
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_Nor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Uns}@anchor{1482}
-@deffn {Attribute} Ieee_Numeric_Std_Nor_Uns = 429
-@end deffn
-@geindex Ieee_Numeric_Std_Xor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Uns}@anchor{1483}
-@deffn {Attribute} Ieee_Numeric_Std_Xor_Uns = 430
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Xnor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Uns}@anchor{1484}
-@deffn {Attribute} Ieee_Numeric_Std_Xnor_Uns = 431
-@end deffn
+@itemize *
-@geindex Ieee_Numeric_Std_Find_Leftmost_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Leftmost_Uns}@anchor{1485}
-@deffn {Attribute} Ieee_Numeric_Std_Find_Leftmost_Uns = 432
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Find_Rightmost_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Rightmost_Uns}@anchor{1486}
-@deffn {Attribute} Ieee_Numeric_Std_Find_Rightmost_Uns = 433
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Numeric_Std_Find_Leftmost_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Leftmost_Sgn}@anchor{1487}
-@deffn {Attribute} Ieee_Numeric_Std_Find_Leftmost_Sgn = 434
@end deffn
-@geindex Ieee_Numeric_Std_Find_Rightmost_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Rightmost_Sgn}@anchor{1488}
-@deffn {Attribute} Ieee_Numeric_Std_Find_Rightmost_Sgn = 435
-@end deffn
+@geindex Get_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Chain}@anchor{97b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Chain (obj)
-@geindex Ieee_Numeric_Std_Match_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Log}@anchor{1489}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Log = 436
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Numeric_Std_Match_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Uns}@anchor{148a}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Uns = 437
-@end deffn
-@geindex Ieee_Numeric_Std_Match_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Sgn}@anchor{148b}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Sgn = 438
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Numeric_Std_Match_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Slv}@anchor{148c}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Slv = 439
@end deffn
-@geindex Ieee_Numeric_Std_Match_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Suv}@anchor{148d}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Suv = 440
-@end deffn
+@geindex Set_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Chain}@anchor{97c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Chain (obj, value)
-@geindex Ieee_Numeric_Std_To_01_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_To_01_Uns}@anchor{148e}
-@deffn {Attribute} Ieee_Numeric_Std_To_01_Uns = 441
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Numeric_Std_To_01_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_To_01_Sgn}@anchor{148f}
-@deffn {Attribute} Ieee_Numeric_Std_To_01_Sgn = 442
-@end deffn
-@geindex Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat}@anchor{1490}
-@deffn {Attribute} Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat = 443
-@end deffn
+@*Parameters:
-@geindex Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv}@anchor{1491}
-@deffn {Attribute} Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv = 444
-@end deffn
+@itemize *
-@geindex Ieee_Math_Real_Ceil (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Ceil}@anchor{1492}
-@deffn {Attribute} Ieee_Math_Real_Ceil = 445
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Math_Real_Floor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Floor}@anchor{1493}
-@deffn {Attribute} Ieee_Math_Real_Floor = 446
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Math_Real_Round (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Round}@anchor{1494}
-@deffn {Attribute} Ieee_Math_Real_Round = 447
@end deffn
-@geindex Ieee_Math_Real_Log2 (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Log2}@anchor{1495}
-@deffn {Attribute} Ieee_Math_Real_Log2 = 448
-@end deffn
+@geindex Get_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Name}@anchor{97d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Name (obj)
-@geindex Ieee_Math_Real_Sin (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Sin}@anchor{1496}
-@deffn {Attribute} Ieee_Math_Real_Sin = 449
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Math_Real_Cos (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Cos}@anchor{1497}
-@deffn {Attribute} Ieee_Math_Real_Cos = 450
-@end deffn
-@geindex Ieee_Math_Real_Arctan (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Arctan}@anchor{1498}
-@deffn {Attribute} Ieee_Math_Real_Arctan = 451
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Math_Real_Pow (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Pow}@anchor{1499}
-@deffn {Attribute} Ieee_Math_Real_Pow = 452
@end deffn
-@geindex Ieee_Std_Logic_Unsigned_Add_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Slv}@anchor{149a}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Slv = 453
-@end deffn
+@geindex Set_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Name}@anchor{97e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Name (obj, value)
-@geindex Ieee_Std_Logic_Unsigned_Add_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Int}@anchor{149b}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Int = 454
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Unsigned_Add_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Int_Slv}@anchor{149c}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Int_Slv = 455
-@end deffn
-@geindex Ieee_Std_Logic_Unsigned_Add_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Log}@anchor{149d}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Log = 456
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Unsigned_Add_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Log_Slv}@anchor{149e}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Log_Slv = 457
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Slv}@anchor{149f}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Slv = 458
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Int}@anchor{14a0}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Int = 459
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Unsigned_Sub_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Int_Slv}@anchor{14a1}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Int_Slv = 460
@end deffn
-@geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Log}@anchor{14a2}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Log = 461
-@end deffn
+@geindex Get_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Expression}@anchor{97f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Expression (obj)
-@geindex Ieee_Std_Logic_Unsigned_Sub_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Log_Slv}@anchor{14a3}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Log_Slv = 462
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Unsigned_Id_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Id_Slv}@anchor{14a4}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Id_Slv = 463
-@end deffn
-@geindex Ieee_Std_Logic_Unsigned_Mul_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Mul_Slv_Slv}@anchor{14a5}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Mul_Slv_Slv = 464
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Unsigned_Lt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Slv_Slv}@anchor{14a6}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Slv_Slv = 465
@end deffn
-@geindex Ieee_Std_Logic_Unsigned_Lt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Slv_Int}@anchor{14a7}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Slv_Int = 466
-@end deffn
+@geindex Set_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Expression}@anchor{980}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Expression (obj, value)
-@geindex Ieee_Std_Logic_Unsigned_Lt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Int_Slv}@anchor{14a8}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Int_Slv = 467
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Unsigned_Le_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Slv_Slv}@anchor{14a9}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Slv_Slv = 468
-@end deffn
-@geindex Ieee_Std_Logic_Unsigned_Le_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Slv_Int}@anchor{14aa}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Slv_Int = 469
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Unsigned_Le_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Int_Slv}@anchor{14ab}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Int_Slv = 470
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Unsigned_Gt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Slv_Slv}@anchor{14ac}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Slv_Slv = 471
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Unsigned_Gt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Slv_Int}@anchor{14ad}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Slv_Int = 472
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Unsigned_Gt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Int_Slv}@anchor{14ae}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Int_Slv = 473
@end deffn
-@geindex Ieee_Std_Logic_Unsigned_Ge_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Slv_Slv}@anchor{14af}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Slv_Slv = 474
-@end deffn
+@geindex Get_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Range}@anchor{981}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Range (obj)
-@geindex Ieee_Std_Logic_Unsigned_Ge_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Slv_Int}@anchor{14b0}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Slv_Int = 475
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Unsigned_Ge_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Int_Slv}@anchor{14b1}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Int_Slv = 476
-@end deffn
-@geindex Ieee_Std_Logic_Unsigned_Eq_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Slv_Slv}@anchor{14b2}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Slv_Slv = 477
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Unsigned_Eq_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Slv_Int}@anchor{14b3}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Slv_Int = 478
@end deffn
-@geindex Ieee_Std_Logic_Unsigned_Eq_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Int_Slv}@anchor{14b4}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Int_Slv = 479
-@end deffn
+@geindex Set_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Range}@anchor{982}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Range (obj, value)
-@geindex Ieee_Std_Logic_Unsigned_Ne_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Slv_Slv}@anchor{14b5}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Slv_Slv = 480
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Unsigned_Ne_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Slv_Int}@anchor{14b6}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Slv_Int = 481
-@end deffn
-@geindex Ieee_Std_Logic_Unsigned_Ne_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Int_Slv}@anchor{14b7}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Int_Slv = 482
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Unsigned_Conv_Integer (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Conv_Integer}@anchor{14b8}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Conv_Integer = 483
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Unsigned_Shl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Shl}@anchor{14b9}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Shl = 484
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Unsigned_Shr (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Shr}@anchor{14ba}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Shr = 485
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Signed_Add_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Slv}@anchor{14bb}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Slv = 486
@end deffn
-@geindex Ieee_Std_Logic_Signed_Add_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Int}@anchor{14bc}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Int = 487
-@end deffn
+@geindex Get_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Same_Alternative_Flag}@anchor{983}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Same_Alternative_Flag (obj)
-@geindex Ieee_Std_Logic_Signed_Add_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Int_Slv}@anchor{14bd}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Int_Slv = 488
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Ieee_Std_Logic_Signed_Add_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Log}@anchor{14be}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Log = 489
-@end deffn
-@geindex Ieee_Std_Logic_Signed_Add_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Log_Slv}@anchor{14bf}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Log_Slv = 490
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Signed_Sub_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Slv}@anchor{14c0}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Slv = 491
@end deffn
-@geindex Ieee_Std_Logic_Signed_Sub_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Int}@anchor{14c1}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Int = 492
-@end deffn
+@geindex Set_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Same_Alternative_Flag}@anchor{984}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Same_Alternative_Flag (obj, value)
-@geindex Ieee_Std_Logic_Signed_Sub_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Int_Slv}@anchor{14c2}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Int_Slv = 493
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Signed_Sub_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Log}@anchor{14c3}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Log = 494
-@end deffn
-@geindex Ieee_Std_Logic_Signed_Sub_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Log_Slv}@anchor{14c4}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Log_Slv = 495
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Signed_Id_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Id_Slv}@anchor{14c5}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Id_Slv = 496
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Signed_Neg_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Neg_Slv}@anchor{14c6}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Neg_Slv = 497
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Signed_Abs_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Abs_Slv}@anchor{14c7}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Abs_Slv = 498
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Ieee_Std_Logic_Signed_Mul_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Mul_Slv_Slv}@anchor{14c8}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Mul_Slv_Slv = 499
@end deffn
-@geindex Ieee_Std_Logic_Signed_Lt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Slv_Slv}@anchor{14c9}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Slv_Slv = 500
-@end deffn
+@geindex Get_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Type_Flag}@anchor{985}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Type_Flag (obj)
-@geindex Ieee_Std_Logic_Signed_Lt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Slv_Int}@anchor{14ca}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Slv_Int = 501
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Ieee_Std_Logic_Signed_Lt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Int_Slv}@anchor{14cb}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Int_Slv = 502
-@end deffn
-@geindex Ieee_Std_Logic_Signed_Le_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Slv_Slv}@anchor{14cc}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Slv_Slv = 503
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Signed_Le_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Slv_Int}@anchor{14cd}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Slv_Int = 504
@end deffn
-@geindex Ieee_Std_Logic_Signed_Le_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Int_Slv}@anchor{14ce}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Int_Slv = 505
-@end deffn
+@geindex Set_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Type_Flag}@anchor{986}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Type_Flag (obj, value)
-@geindex Ieee_Std_Logic_Signed_Gt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Slv_Slv}@anchor{14cf}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Slv_Slv = 506
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Signed_Gt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Slv_Int}@anchor{14d0}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Slv_Int = 507
-@end deffn
-@geindex Ieee_Std_Logic_Signed_Gt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Int_Slv}@anchor{14d1}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Int_Slv = 508
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Signed_Ge_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Slv_Slv}@anchor{14d2}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Slv_Slv = 509
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Signed_Ge_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Slv_Int}@anchor{14d3}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Slv_Int = 510
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Signed_Ge_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Int_Slv}@anchor{14d4}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Int_Slv = 511
-@end deffn
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
-@geindex Ieee_Std_Logic_Signed_Eq_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Slv_Slv}@anchor{14d5}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Slv_Slv = 512
@end deffn
-@geindex Ieee_Std_Logic_Signed_Eq_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Slv_Int}@anchor{14d6}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Slv_Int = 513
-@end deffn
+@geindex Get_Architecture() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Architecture}@anchor{987}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Architecture (obj)
-@geindex Ieee_Std_Logic_Signed_Eq_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Int_Slv}@anchor{14d7}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Int_Slv = 514
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Signed_Ne_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Slv_Slv}@anchor{14d8}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Slv_Slv = 515
-@end deffn
-@geindex Ieee_Std_Logic_Signed_Ne_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Slv_Int}@anchor{14d9}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Slv_Int = 516
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Signed_Ne_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Int_Slv}@anchor{14da}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Int_Slv = 517
@end deffn
-@geindex Ieee_Std_Logic_Signed_Conv_Integer (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Conv_Integer}@anchor{14db}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Conv_Integer = 518
-@end deffn
+@geindex Set_Architecture() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Architecture}@anchor{988}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Architecture (obj, value)
-@geindex Ieee_Std_Logic_Signed_Shl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Shl}@anchor{14dc}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Shl = 519
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Signed_Shr (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Shr}@anchor{14dd}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Shr = 520
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Int}@anchor{14de}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Int = 521
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Uns}@anchor{14df}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Uns = 522
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn}@anchor{14e0}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn = 523
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Log}@anchor{14e1}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Log = 524
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Arith_Conv_Integer_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Int}@anchor{14e2}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Int = 525
@end deffn
-@geindex Ieee_Std_Logic_Arith_Conv_Integer_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Uns}@anchor{14e3}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Uns = 526
-@end deffn
+@geindex Get_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Specification}@anchor{989}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Specification (obj)
-@geindex Ieee_Std_Logic_Arith_Conv_Integer_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Sgn}@anchor{14e4}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Sgn = 527
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Arith_Conv_Integer_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Log}@anchor{14e5}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Log = 528
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Conv_Vector_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Int}@anchor{14e6}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Int = 529
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Conv_Vector_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Uns}@anchor{14e7}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Uns = 530
@end deffn
-@geindex Ieee_Std_Logic_Arith_Conv_Vector_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Sgn}@anchor{14e8}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Sgn = 531
-@end deffn
+@geindex Set_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Specification}@anchor{98a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Specification (obj, value)
-@geindex Ieee_Std_Logic_Arith_Conv_Vector_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Log}@anchor{14e9}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Log = 532
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Arith_Ext (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ext}@anchor{14ea}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ext = 533
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Sxt (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sxt}@anchor{14eb}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sxt = 534
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Arith_Id_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Uns_Uns}@anchor{14ec}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Uns_Uns = 535
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Arith_Id_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Sgn_Sgn}@anchor{14ed}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Sgn_Sgn = 536
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Neg_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Neg_Sgn_Sgn}@anchor{14ee}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Neg_Sgn_Sgn = 537
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Arith_Abs_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Abs_Sgn_Sgn}@anchor{14ef}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Abs_Sgn_Sgn = 538
@end deffn
-@geindex Ieee_Std_Logic_Arith_Shl_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shl_Uns}@anchor{14f0}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Shl_Uns = 539
-@end deffn
+@geindex Get_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prev_Block_Configuration}@anchor{98b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Prev_Block_Configuration (obj)
-@geindex Ieee_Std_Logic_Arith_Shl_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shl_Sgn}@anchor{14f1}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Shl_Sgn = 540
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Arith_Shr_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shr_Uns}@anchor{14f2}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Shr_Uns = 541
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Shr_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shr_Sgn}@anchor{14f3}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Shr_Sgn = 542
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Id_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Uns_Slv}@anchor{14f4}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Uns_Slv = 543
@end deffn
-@geindex Ieee_Std_Logic_Arith_Id_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Sgn_Slv}@anchor{14f5}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Sgn_Slv = 544
-@end deffn
+@geindex Set_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prev_Block_Configuration}@anchor{98c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Prev_Block_Configuration (obj, value)
-@geindex Ieee_Std_Logic_Arith_Neg_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Neg_Sgn_Slv}@anchor{14f6}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Neg_Sgn_Slv = 545
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Arith_Abs_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Abs_Sgn_Slv}@anchor{14f7}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Abs_Sgn_Slv = 546
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns}@anchor{14f8}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns = 547
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn}@anchor{14f9}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn = 548
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn}@anchor{14fa}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn = 549
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn}@anchor{14fb}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn = 550
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv}@anchor{14fc}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv = 551
@end deffn
-@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv}@anchor{14fd}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv = 552
-@end deffn
+@geindex Get_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Item_Chain}@anchor{98d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Item_Chain (obj)
-@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv}@anchor{14fe}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv = 553
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv}@anchor{14ff}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv = 554
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns}@anchor{1500}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns = 555
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn}@anchor{1501}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn = 556
@end deffn
-@geindex Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn}@anchor{1502}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn = 557
-@end deffn
+@geindex Set_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Item_Chain}@anchor{98e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Item_Chain (obj, value)
-@geindex Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn}@anchor{1503}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn = 558
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Arith_Add_Uns_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Int_Uns}@anchor{1504}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Int_Uns = 559
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Add_Int_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Uns_Uns}@anchor{1505}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Uns_Uns = 560
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn}@anchor{1506}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn = 561
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn}@anchor{1507}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn = 562
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Add_Uns_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Log_Uns}@anchor{1508}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Log_Uns = 563
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Arith_Add_Log_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Uns_Uns}@anchor{1509}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Uns_Uns = 564
@end deffn
-@geindex Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn}@anchor{150a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn = 565
-@end deffn
+@geindex Get_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Chain}@anchor{98f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Value_Chain (obj)
-@geindex Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn}@anchor{150b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn = 566
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv}@anchor{150c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv = 567
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv}@anchor{150d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv = 568
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv}@anchor{150e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv = 569
@end deffn
-@geindex Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv}@anchor{150f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv = 570
-@end deffn
+@geindex Set_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Chain}@anchor{990}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Value_Chain (obj, value)
-@geindex Ieee_Std_Logic_Arith_Add_Uns_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Int_Slv}@anchor{1510}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Int_Slv = 571
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Arith_Add_Int_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Uns_Slv}@anchor{1511}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Uns_Slv = 572
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv}@anchor{1512}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv = 573
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv}@anchor{1513}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv = 574
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Arith_Add_Uns_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Log_Slv}@anchor{1514}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Log_Slv = 575
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Add_Log_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Uns_Slv}@anchor{1515}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Uns_Slv = 576
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv}@anchor{1516}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv = 577
@end deffn
-@geindex Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv}@anchor{1517}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv = 578
-@end deffn
+@geindex Get_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Spec_Chain}@anchor{991}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Spec_Chain (obj)
-@geindex Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns}@anchor{1518}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns = 579
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn}@anchor{1519}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn = 580
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn}@anchor{151a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn = 581
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn}@anchor{151b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn = 582
@end deffn
-@geindex Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns}@anchor{151c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns = 583
-@end deffn
+@geindex Set_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Spec_Chain}@anchor{992}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Spec_Chain (obj, value)
-@geindex Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns}@anchor{151d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns = 584
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn}@anchor{151e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn = 585
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn}@anchor{151f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn = 586
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns}@anchor{1520}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns = 587
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns}@anchor{1521}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns = 588
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn}@anchor{1522}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn = 589
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn}@anchor{1523}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn = 590
@end deffn
-@geindex Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv}@anchor{1524}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv = 591
-@end deffn
+@geindex Get_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value_Chain}@anchor{993}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Value_Chain (obj)
-@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv}@anchor{1525}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv = 592
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv}@anchor{1526}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv = 593
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv}@anchor{1527}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv = 594
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv}@anchor{1528}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv = 595
@end deffn
-@geindex Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv}@anchor{1529}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv = 596
-@end deffn
+@geindex Set_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value_Chain}@anchor{994}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Value_Chain (obj, value)
-@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv}@anchor{152a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv = 597
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv}@anchor{152b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv = 598
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv}@anchor{152c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv = 599
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv}@anchor{152d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv = 600
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv}@anchor{152e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv = 601
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv}@anchor{152f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv = 602
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Arith_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Uns}@anchor{1530}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Uns = 603
@end deffn
-@geindex Ieee_Std_Logic_Arith_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Sgn}@anchor{1531}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Sgn = 604
-@end deffn
+@geindex Get_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Spec_Chain}@anchor{995}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Value_Spec_Chain (obj)
-@geindex Ieee_Std_Logic_Arith_Lt_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Sgn}@anchor{1532}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Sgn = 605
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Arith_Lt_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Uns}@anchor{1533}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Uns = 606
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Lt_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Int}@anchor{1534}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Int = 607
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Lt_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Int_Uns}@anchor{1535}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Int_Uns = 608
@end deffn
-@geindex Ieee_Std_Logic_Arith_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Int}@anchor{1536}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Int = 609
-@end deffn
+@geindex Set_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Spec_Chain}@anchor{996}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Value_Spec_Chain (obj, value)
-@geindex Ieee_Std_Logic_Arith_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Int_Sgn}@anchor{1537}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Int_Sgn = 610
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Arith_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Uns}@anchor{1538}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Uns = 611
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Sgn}@anchor{1539}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Sgn = 612
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Arith_Le_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Sgn}@anchor{153a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Sgn = 613
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Arith_Le_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Uns}@anchor{153b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Uns = 614
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Le_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Int}@anchor{153c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Int = 615
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Arith_Le_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Int_Uns}@anchor{153d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Int_Uns = 616
@end deffn
-@geindex Ieee_Std_Logic_Arith_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Int}@anchor{153e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Int = 617
-@end deffn
+@geindex Get_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name}@anchor{997}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Name (obj)
-@geindex Ieee_Std_Logic_Arith_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Int_Sgn}@anchor{153f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Int_Sgn = 618
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Arith_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Uns}@anchor{1540}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Uns = 619
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Sgn}@anchor{1541}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Sgn = 620
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Gt_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Sgn}@anchor{1542}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Sgn = 621
@end deffn
-@geindex Ieee_Std_Logic_Arith_Gt_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Uns}@anchor{1543}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Uns = 622
-@end deffn
+@geindex Set_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name}@anchor{998}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Name (obj, value)
-@geindex Ieee_Std_Logic_Arith_Gt_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Int}@anchor{1544}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Int = 623
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Arith_Gt_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Int_Uns}@anchor{1545}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Int_Uns = 624
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Int}@anchor{1546}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Int = 625
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Arith_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Int_Sgn}@anchor{1547}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Int_Sgn = 626
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Arith_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Uns}@anchor{1548}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Uns = 627
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Sgn}@anchor{1549}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Sgn = 628
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Arith_Ge_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Sgn}@anchor{154a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Sgn = 629
@end deffn
-@geindex Ieee_Std_Logic_Arith_Ge_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Uns}@anchor{154b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Uns = 630
-@end deffn
+@geindex Get_Package() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package}@anchor{999}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package (obj)
-@geindex Ieee_Std_Logic_Arith_Ge_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Int}@anchor{154c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Int = 631
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Arith_Ge_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Int_Uns}@anchor{154d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Int_Uns = 632
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Int}@anchor{154e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Int = 633
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Int_Sgn}@anchor{154f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Int_Sgn = 634
@end deffn
-@geindex Ieee_Std_Logic_Arith_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Uns}@anchor{1550}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Uns = 635
-@end deffn
+@geindex Set_Package() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package}@anchor{99a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package (obj, value)
-@geindex Ieee_Std_Logic_Arith_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Sgn}@anchor{1551}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Sgn = 636
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Arith_Eq_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Sgn}@anchor{1552}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Sgn = 637
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Eq_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Uns}@anchor{1553}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Uns = 638
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Arith_Eq_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Int}@anchor{1554}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Int = 639
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Arith_Eq_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Int_Uns}@anchor{1555}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Int_Uns = 640
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Int}@anchor{1556}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Int = 641
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Arith_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Int_Sgn}@anchor{1557}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Int_Sgn = 642
@end deffn
-@geindex Ieee_Std_Logic_Arith_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Uns}@anchor{1558}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Uns = 643
-@end deffn
+@geindex Get_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Body}@anchor{99b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Body (obj)
-@geindex Ieee_Std_Logic_Arith_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Sgn}@anchor{1559}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Sgn = 644
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Arith_Ne_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Sgn}@anchor{155a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Sgn = 645
-@end deffn
-@geindex Ieee_Std_Logic_Arith_Ne_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Uns}@anchor{155b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Uns = 646
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Arith_Ne_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Int}@anchor{155c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Int = 647
@end deffn
-@geindex Ieee_Std_Logic_Arith_Ne_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Int_Uns}@anchor{155d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Int_Uns = 648
-@end deffn
+@geindex Set_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Body}@anchor{99c}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Body (obj, value)
-@geindex Ieee_Std_Logic_Arith_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Int}@anchor{155e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Int = 649
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Ieee_Std_Logic_Arith_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Int_Sgn}@anchor{155f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Int_Sgn = 650
-@end deffn
-@geindex Ieee_Std_Logic_Misc_And_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_And_Reduce_Slv}@anchor{1560}
-@deffn {Attribute} Ieee_Std_Logic_Misc_And_Reduce_Slv = 651
-@end deffn
+@*Parameters:
-@geindex Ieee_Std_Logic_Misc_And_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_And_Reduce_Suv}@anchor{1561}
-@deffn {Attribute} Ieee_Std_Logic_Misc_And_Reduce_Suv = 652
-@end deffn
+@itemize *
-@geindex Ieee_Std_Logic_Misc_Nand_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nand_Reduce_Slv}@anchor{1562}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Nand_Reduce_Slv = 653
-@end deffn
+@item
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Misc_Nand_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nand_Reduce_Suv}@anchor{1563}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Nand_Reduce_Suv = 654
-@end deffn
+@item
+@code{value} (@code{Iir}) –
+@end itemize
-@geindex Ieee_Std_Logic_Misc_Or_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Or_Reduce_Slv}@anchor{1564}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Or_Reduce_Slv = 655
@end deffn
-@geindex Ieee_Std_Logic_Misc_Or_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Or_Reduce_Suv}@anchor{1565}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Or_Reduce_Suv = 656
-@end deffn
+@geindex Get_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Package_Body}@anchor{99d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instance_Package_Body (obj)
-@geindex Ieee_Std_Logic_Misc_Nor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nor_Reduce_Slv}@anchor{1566}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Nor_Reduce_Slv = 657
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Ieee_Std_Logic_Misc_Nor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nor_Reduce_Suv}@anchor{1567}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Nor_Reduce_Suv = 658
-@end deffn
-@geindex Ieee_Std_Logic_Misc_Xor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xor_Reduce_Slv}@anchor{1568}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Xor_Reduce_Slv = 659
-@end deffn
+@*Parameters:
+@code{obj} (@code{Iir}) –
-@geindex Ieee_Std_Logic_Misc_Xor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xor_Reduce_Suv}@anchor{1569}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Xor_Reduce_Suv = 660
@end deffn
-@geindex Ieee_Std_Logic_Misc_Xnor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xnor_Reduce_Slv}@anchor{156a}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Xnor_Reduce_Slv = 661
-@end deffn
+@geindex Set_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Package_Body}@anchor{99e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instance_Package_Body (obj, value)
-@geindex Ieee_Std_Logic_Misc_Xnor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xnor_Reduce_Suv}@anchor{156b}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Xnor_Reduce_Suv = 662
-@end deffn
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@c #-----------------------------------
-@strong{Functions}
+@*Parameters:
-@geindex Get_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Kind}@anchor{e45}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Kind (node)
+@itemize *
-Get node kind.
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Location() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Location}@anchor{e46}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Location (node)
+@geindex Get_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Body}@anchor{99f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Need_Body (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@end deffn
-@geindex Get_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_First_Design_Unit}@anchor{e47}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_First_Design_Unit (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_First_Design_Unit}@anchor{e48}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_First_Design_Unit (obj, value)
+@geindex Set_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Body}@anchor{9a0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Need_Body (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Last_Design_Unit}@anchor{e49}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Last_Design_Unit (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Last_Design_Unit}@anchor{e4a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Last_Design_Unit (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
-@geindex Get_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Declaration}@anchor{e4b}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Declaration (obj)
+@geindex Get_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Macro_Expanded_Flag}@anchor{9a1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Macro_Expanded_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@end deffn
-@geindex Set_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Declaration}@anchor{e4c}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Declaration (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Checksum}@anchor{e4d}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Checksum (obj)
+@geindex Set_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Macro_Expanded_Flag}@anchor{9a2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Macro_Expanded_Flag (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{FileChecksumId}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-
-@geindex Set_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Checksum}@anchor{e4e}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Checksum (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Time_Stamp}@anchor{e4f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Analysis_Time_Stamp (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{TimeStampId}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
-@geindex Set_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Time_Stamp}@anchor{e50}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Analysis_Time_Stamp (obj, value)
+@geindex Get_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Instance_Bodies}@anchor{9a3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Need_Instance_Bodies (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@end deffn
-@geindex Get_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Source}@anchor{e51}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Source (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Source}@anchor{e52}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Source (obj, value)
+@geindex Set_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Instance_Bodies}@anchor{9a4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Need_Instance_Bodies (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Library() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library}@anchor{e53}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Library() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library}@anchor{e54}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
-@geindex Get_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Dependence_List}@anchor{e55}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Dependence_List (obj)
+@geindex Get_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hierarchical_Name}@anchor{9a5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hierarchical_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Set_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Dependence_List}@anchor{e56}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Dependence_List (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Filename}@anchor{e57}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Filename (obj)
+@geindex Set_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hierarchical_Name}@anchor{9a6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hierarchical_Name (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Set_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Filename}@anchor{e58}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Filename (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Directory}@anchor{e59}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Directory (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Directory}@anchor{e5a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Directory (obj, value)
+@geindex Get_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vunit_Item_Chain}@anchor{9a7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Vunit_Item_Chain (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_Design_File() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File}@anchor{e5b}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Design_File() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File}@anchor{e5c}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File (obj, value)
+@geindex Set_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vunit_Item_Chain}@anchor{9a8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Vunit_Item_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-
-@geindex Get_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Chain}@anchor{e5d}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Chain (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Chain}@anchor{e5e}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Chain (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Directory}@anchor{e5f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Directory (obj)
+@geindex Get_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bound_Vunit_Chain}@anchor{9a9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Bound_Vunit_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-
-@geindex Set_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Directory}@anchor{e60}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Directory (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Date() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date}@anchor{e61}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Date (obj)
+@geindex Set_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bound_Vunit_Chain}@anchor{9aa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Bound_Vunit_Chain (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{DateType}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Set_Date() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date}@anchor{e62}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Date (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Items}@anchor{e63}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Context_Items (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Items}@anchor{e64}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Context_Items (obj, value)
+@geindex Get_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Verification_Block_Configuration}@anchor{9ab}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Verification_Block_Configuration (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Dependence_List}@anchor{e65}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Dependence_List (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Dependence_List}@anchor{e66}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Dependence_List (obj, value)
+@geindex Set_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Verification_Block_Configuration}@anchor{9ac}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Verification_Block_Configuration (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Checks_List}@anchor{e67}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Analysis_Checks_List (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Checks_List}@anchor{e68}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Analysis_Checks_List (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Date_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date_State}@anchor{e69}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Date_State (obj)
+@geindex Get_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Configuration}@anchor{9ad}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Configuration (obj)
@*Return type:
-@ref{e42,,DateStateType}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Set_Date_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date_State}@anchor{e6a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Date_State (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Target_State}@anchor{e6b}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guarded_Target_State (obj)
+@geindex Set_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Configuration}@anchor{9ae}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Configuration (obj, value)
@*Return type:
-@ref{a29,,TriStateType}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-
-@geindex Set_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Target_State}@anchor{e6c}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guarded_Target_State (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Unit}@anchor{e6d}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Unit (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Unit}@anchor{e6e}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Unit (obj, value)
+@geindex Get_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Concurrent_Statement_Chain}@anchor{9af}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Concurrent_Statement_Chain (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-
-@geindex Get_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hash_Chain}@anchor{e6f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hash_Chain (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hash_Chain}@anchor{e70}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hash_Chain (obj, value)
+@geindex Set_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Concurrent_Statement_Chain}@anchor{9b0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Concurrent_Statement_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Pos}@anchor{e71}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Pos (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourcePtr}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Pos}@anchor{e72}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Pos (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Line}@anchor{e73}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Line (obj)
+@geindex Get_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Chain}@anchor{9b1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Set_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Line}@anchor{e74}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Line (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Col}@anchor{e75}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Col (obj)
+@geindex Set_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Chain}@anchor{9b2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Chain (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Set_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Col}@anchor{e76}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Col (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value}@anchor{e77}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Value (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int64}, bound= c_long@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_long})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value}@anchor{e78}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Value (obj, value)
+@geindex Get_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Chain}@anchor{9b3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Port_Chain (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enum_Pos}@anchor{e79}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Enum_Pos (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enum_Pos}@anchor{e7a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Enum_Pos (obj, value)
+@geindex Set_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Chain}@anchor{9b4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Port_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-
-@geindex Get_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Physical_Literal}@anchor{e7b}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Physical_Literal (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Physical_Literal}@anchor{e7c}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Physical_Literal (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Fp_Value}@anchor{e7d}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Fp_Value (obj)
+@geindex Get_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Chain}@anchor{9b5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generic_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Fp64}, bound= c_double@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_double})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-
-@geindex Set_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Fp_Value}@anchor{e7e}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Fp_Value (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Aggregate_List}@anchor{e7f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Aggregate_List (obj)
+@geindex Set_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Chain}@anchor{9b6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generic_Chain (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Set_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Aggregate_List}@anchor{e80}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Aggregate_List (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String8_Id}@anchor{e81}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_String8_Id (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{String8Id}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String8_Id}@anchor{e82}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_String8_Id (obj, value)
+@geindex Get_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type}@anchor{9b7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_String_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String_Length}@anchor{e83}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_String_Length (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_String_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String_Length}@anchor{e84}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_String_Length (obj, value)
+@geindex Set_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type}@anchor{9b8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bit_String_Base}@anchor{e85}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Bit_String_Base (obj)
-@*Return type:
-@ref{e43,,NumberBaseType}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bit_String_Base}@anchor{e86}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Bit_String_Base (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signed}@anchor{e87}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Signed (obj)
+@geindex Get_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Indication}@anchor{9b9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Indication (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Set_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signed}@anchor{e88}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Signed (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Sign}@anchor{e89}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Sign (obj)
+@geindex Set_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Indication}@anchor{9ba}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Indication (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-
-@geindex Set_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Sign}@anchor{e8a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Sign (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Length}@anchor{e8b}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Length (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Length}@anchor{e8c}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Length (obj, value)
+@geindex Get_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Discrete_Range}@anchor{9bb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Discrete_Range (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-
-@geindex Get_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Length}@anchor{e8d}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Length (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Length}@anchor{e8e}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Length (obj, value)
+@geindex Set_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Discrete_Range}@anchor{9bc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Discrete_Range (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Origin}@anchor{e8f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Origin (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Origin}@anchor{e90}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Origin (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Origin}@anchor{e91}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Range_Origin (obj)
+@geindex Get_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Definition}@anchor{9bd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Definition (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Set_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Origin}@anchor{e92}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Range_Origin (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Subtype}@anchor{e93}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Subtype (obj)
+@geindex Set_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Definition}@anchor{9be}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Definition (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-
-@geindex Set_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Subtype}@anchor{e94}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Subtype (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Subtype}@anchor{e95}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Allocator_Subtype (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Subtype}@anchor{e96}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Allocator_Subtype (obj, value)
+@geindex Get_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Definition}@anchor{9bf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Definition (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class}@anchor{e97}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Class (obj)
-
-@*Return type:
-@ref{156c,,Tok}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class}@anchor{e98}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Class (obj, value)
+@geindex Set_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Definition}@anchor{9c0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Definition (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name_List}@anchor{e99}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Name_List (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name_List}@anchor{e9a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Name_List (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Designator}@anchor{e9b}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Designator (obj)
+@geindex Get_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Declaration}@anchor{9c1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Incomplete_Type_Declaration (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Set_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Designator}@anchor{e9c}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Designator (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification_Chain}@anchor{e9d}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Specification_Chain (obj)
+@geindex Set_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Declaration}@anchor{9c2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Incomplete_Type_Declaration (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification_Chain}@anchor{e9e}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Specification_Chain (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification}@anchor{e9f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Specification (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification}@anchor{ea0}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Specification (obj, value)
+@geindex Get_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Type_Subprograms}@anchor{9c3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Type_Subprograms (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Static_Attribute_Flag}@anchor{ea1}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Static_Attribute_Flag (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Static_Attribute_Flag}@anchor{ea2}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Static_Attribute_Flag (obj, value)
+@geindex Set_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Type_Subprograms}@anchor{9c4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Type_Subprograms (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-
-@geindex Get_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_List}@anchor{ea3}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_List (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_List}@anchor{ea4}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_List (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Quantity_List}@anchor{ea5}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Quantity_List (obj)
+@geindex Get_Interface_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Type_Definition}@anchor{9c5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Type_Definition (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Set_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Quantity_List}@anchor{ea6}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Quantity_List (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Entity}@anchor{ea7}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Entity (obj)
+@geindex Set_Interface_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Type_Definition}@anchor{9c6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Type_Definition (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Entity}@anchor{ea8}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Entity (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Formal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal}@anchor{ea9}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Formal (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Formal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal}@anchor{eaa}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Formal (obj, value)
+@geindex Get_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Definition}@anchor{9c7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Definition (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-
-@geindex Get_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual}@anchor{eab}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual}@anchor{eac}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual (obj, value)
+@geindex Set_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Definition}@anchor{9c8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Definition (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Conversion}@anchor{ead}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Conversion (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Conversion}@anchor{eae}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Conversion (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal_Conversion}@anchor{eaf}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Formal_Conversion (obj)
+@geindex Get_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature}@anchor{9c9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Set_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal_Conversion}@anchor{eb0}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Formal_Conversion (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Whole_Association_Flag}@anchor{eb1}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Whole_Association_Flag (obj)
+@geindex Set_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature}@anchor{9ca}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Whole_Association_Flag}@anchor{eb2}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Whole_Association_Flag (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Collapse_Signal_Flag}@anchor{eb3}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Collapse_Signal_Flag (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Collapse_Signal_Flag}@anchor{eb4}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Collapse_Signal_Flag (obj, value)
+@geindex Get_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Indication}@anchor{9cb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subnature_Indication (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Artificial_Flag}@anchor{eb5}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Artificial_Flag (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Artificial_Flag}@anchor{eb6}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Artificial_Flag (obj, value)
+@geindex Set_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Indication}@anchor{9cc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subnature_Indication (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Get_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Open_Flag}@anchor{eb7}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Open_Flag (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Open_Flag}@anchor{eb8}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Open_Flag (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_After_Drivers_Flag}@anchor{eb9}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_After_Drivers_Flag (obj)
+@geindex Get_Reference_Terminal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reference_Terminal_Flag}@anchor{9cd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Reference_Terminal_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@end deffn
-@geindex Set_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_After_Drivers_Flag}@anchor{eba}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_After_Drivers_Flag (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_We_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_We_Value}@anchor{ebb}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_We_Value (obj)
+@geindex Set_Reference_Terminal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reference_Terminal_Flag}@anchor{9ce}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Reference_Terminal_Flag (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Set_We_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_We_Value}@anchor{ebc}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_We_Value (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Time() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Time}@anchor{ebd}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Time (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
-@geindex Set_Time() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Time}@anchor{ebe}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Time (obj, value)
+@geindex Get_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Mode}@anchor{9cf}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Mode (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Expr}@anchor{ebf}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Expr (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Expr}@anchor{ec0}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Expr (obj, value)
+@geindex Set_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Mode}@anchor{9d0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Mode (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Get_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Block}@anchor{ec1}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Block (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Block}@anchor{ec2}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Block (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Chain}@anchor{ec3}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Chain (obj)
+@geindex Get_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Signal_Flag}@anchor{9d1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guarded_Signal_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Set_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Chain}@anchor{ec4}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Chain (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Name}@anchor{ec5}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Name (obj)
+@geindex Set_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Signal_Flag}@anchor{9d2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guarded_Signal_Flag (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Set_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Name}@anchor{ec6}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Name (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Expression}@anchor{ec7}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Expression (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
-@geindex Set_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Expression}@anchor{ec8}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Expression (obj, value)
+@geindex Get_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Kind}@anchor{9d3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Kind (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Range}@anchor{ec9}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Range (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Range}@anchor{eca}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Range (obj, value)
+@geindex Set_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Kind}@anchor{9d4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Kind (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Get_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Same_Alternative_Flag}@anchor{ecb}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Same_Alternative_Flag (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Same_Alternative_Flag}@anchor{ecc}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Same_Alternative_Flag (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Type_Flag}@anchor{ecd}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Type_Flag (obj)
+@geindex Get_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Name}@anchor{9d5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Base_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Set_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Type_Flag}@anchor{ece}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Type_Flag (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Architecture() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Architecture}@anchor{ecf}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Architecture (obj)
+@geindex Set_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Name}@anchor{9d6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Base_Name (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Architecture() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Architecture}@anchor{ed0}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Architecture (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Specification}@anchor{ed1}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Specification (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Specification}@anchor{ed2}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Specification (obj, value)
+@geindex Get_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Declaration_Chain}@anchor{9d7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Declaration_Chain (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Get_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prev_Block_Configuration}@anchor{ed3}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Prev_Block_Configuration (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prev_Block_Configuration}@anchor{ed4}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Prev_Block_Configuration (obj, value)
+@geindex Set_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Declaration_Chain}@anchor{9d8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Declaration_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Item_Chain}@anchor{ed5}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Item_Chain (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Item_Chain}@anchor{ed6}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Item_Chain (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Chain}@anchor{ed7}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Value_Chain (obj)
+@geindex Get_Default_Subprogram() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Subprogram}@anchor{9d9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Subprogram (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Set_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Chain}@anchor{ed8}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Value_Chain (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Spec_Chain}@anchor{ed9}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Spec_Chain (obj)
+@geindex Set_Default_Subprogram() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Subprogram}@anchor{9da}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Subprogram (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Set_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Spec_Chain}@anchor{eda}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Spec_Chain (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value_Chain}@anchor{edb}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Value_Chain (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value_Chain}@anchor{edc}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Value_Chain (obj, value)
+@geindex Get_Associated_Subprogram() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Subprogram}@anchor{9db}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Subprogram (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Spec_Chain}@anchor{edd}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Value_Spec_Chain (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Spec_Chain}@anchor{ede}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Value_Spec_Chain (obj, value)
+@geindex Set_Associated_Subprogram() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Subprogram}@anchor{9dc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Subprogram (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-
-@geindex Get_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name}@anchor{edf}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Name (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name}@anchor{ee0}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Name (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Package() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package}@anchor{ee1}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package (obj)
+@geindex Get_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Specification}@anchor{9dd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Specification (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Set_Package() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package}@anchor{ee2}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Body}@anchor{ee3}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Body (obj)
+@geindex Set_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Specification}@anchor{9de}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Specification (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Body}@anchor{ee4}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Body (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Package_Body}@anchor{ee5}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instance_Package_Body (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Package_Body}@anchor{ee6}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instance_Package_Body (obj, value)
+@geindex Get_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sequential_Statement_Chain}@anchor{9df}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sequential_Statement_Chain (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Get_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Body}@anchor{ee7}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Need_Body (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Body}@anchor{ee8}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Need_Body (obj, value)
+@geindex Set_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sequential_Statement_Chain}@anchor{9e0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sequential_Statement_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Get_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Macro_Expanded_Flag}@anchor{ee9}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Macro_Expanded_Flag (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Macro_Expanded_Flag}@anchor{eea}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Macro_Expanded_Flag (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Instance_Bodies}@anchor{eeb}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Need_Instance_Bodies (obj)
+@geindex Get_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Statement_Chain}@anchor{9e1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Statement_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Set_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Instance_Bodies}@anchor{eec}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Need_Instance_Bodies (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hierarchical_Name}@anchor{eed}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hierarchical_Name (obj)
+@geindex Set_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Statement_Chain}@anchor{9e2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Statement_Chain (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-
-@geindex Set_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hierarchical_Name}@anchor{eee}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hierarchical_Name (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vunit_Item_Chain}@anchor{eef}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Vunit_Item_Chain (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vunit_Item_Chain}@anchor{ef0}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Vunit_Item_Chain (obj, value)
+@geindex Get_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Body}@anchor{9e3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Body (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Get_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bound_Vunit_Chain}@anchor{ef1}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Bound_Vunit_Chain (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bound_Vunit_Chain}@anchor{ef2}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Bound_Vunit_Chain (obj, value)
+@geindex Set_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Body}@anchor{9e4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Body (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Get_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Verification_Block_Configuration}@anchor{ef3}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Verification_Block_Configuration (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Verification_Block_Configuration}@anchor{ef4}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Verification_Block_Configuration (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Configuration}@anchor{ef5}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Configuration (obj)
+@geindex Get_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_Number}@anchor{9e5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Overload_Number (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Set_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Configuration}@anchor{ef6}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Configuration (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Concurrent_Statement_Chain}@anchor{ef7}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Concurrent_Statement_Chain (obj)
+@geindex Set_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_Number}@anchor{9e6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Overload_Number (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Concurrent_Statement_Chain}@anchor{ef8}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Concurrent_Statement_Chain (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Chain}@anchor{ef9}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Chain (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Chain}@anchor{efa}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Chain (obj, value)
+@geindex Get_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Depth}@anchor{9e7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Depth (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-@geindex Get_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Chain}@anchor{efb}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Port_Chain (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Chain}@anchor{efc}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Port_Chain (obj, value)
+@geindex Set_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Depth}@anchor{9e8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Depth (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-
-@geindex Get_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Chain}@anchor{efd}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generic_Chain (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Chain}@anchor{efe}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generic_Chain (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type}@anchor{eff}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type (obj)
+@geindex Get_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Hash}@anchor{9e9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Hash (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Set_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type}@anchor{f00}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Indication}@anchor{f01}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Indication (obj)
+@geindex Set_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Hash}@anchor{9ea}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Hash (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Indication}@anchor{f02}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Indication (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Discrete_Range}@anchor{f03}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Discrete_Range (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Discrete_Range}@anchor{f04}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Discrete_Range (obj, value)
+@geindex Get_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Impure_Depth}@anchor{9eb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Impure_Depth (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Get_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Definition}@anchor{f05}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Definition (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Definition}@anchor{f06}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Definition (obj, value)
+@geindex Set_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Impure_Depth}@anchor{9ec}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Impure_Depth (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Get_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Definition}@anchor{f07}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Definition (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Definition}@anchor{f08}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Definition (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Declaration}@anchor{f09}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Incomplete_Type_Declaration (obj)
+@geindex Get_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type}@anchor{9ed}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Return_Type (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-
-@geindex Set_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Declaration}@anchor{f0a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Incomplete_Type_Declaration (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Type_Subprograms}@anchor{f0b}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Type_Subprograms (obj)
+@geindex Set_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type}@anchor{9ee}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Return_Type (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Set_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Type_Subprograms}@anchor{f0c}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Type_Subprograms (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Definition}@anchor{f0d}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Definition (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Definition}@anchor{f0e}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Definition (obj, value)
+@geindex Get_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Definition}@anchor{9ef}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implicit_Definition (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Get_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature}@anchor{f0f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature}@anchor{f10}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature (obj, value)
+@geindex Set_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Definition}@anchor{9f0}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implicit_Definition (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Get_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Indication}@anchor{f11}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subnature_Indication (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Indication}@anchor{f12}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subnature_Indication (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Mode}@anchor{f13}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Mode (obj)
+@geindex Get_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Subprogram_Name}@anchor{9f1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Subprogram_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-
-@geindex Set_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Mode}@anchor{f14}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Mode (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Signal_Flag}@anchor{f15}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guarded_Signal_Flag (obj)
+@geindex Set_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Subprogram_Name}@anchor{9f2}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Subprogram_Name (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Signal_Flag}@anchor{f16}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guarded_Signal_Flag (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Kind}@anchor{f17}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Kind (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Kind}@anchor{f18}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Kind (obj, value)
+@geindex Get_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Value}@anchor{9f3}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Value (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Get_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Name}@anchor{f19}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Base_Name (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Name}@anchor{f1a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Base_Name (obj, value)
+@geindex Set_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Value}@anchor{9f4}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Value (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Declaration_Chain}@anchor{f1b}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Declaration_Chain (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Declaration_Chain}@anchor{f1c}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Declaration_Chain (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Specification}@anchor{f1d}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Specification (obj)
+@geindex Get_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration}@anchor{9f5}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Deferred_Declaration (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Set_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Specification}@anchor{f1e}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Specification (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sequential_Statement_Chain}@anchor{f1f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sequential_Statement_Chain (obj)
+@geindex Set_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration}@anchor{9f6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Deferred_Declaration (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sequential_Statement_Chain}@anchor{f20}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sequential_Statement_Chain (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Statement_Chain}@anchor{f21}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Statement_Chain (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Statement_Chain}@anchor{f22}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Statement_Chain (obj, value)
+@geindex Get_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration_Flag}@anchor{9f7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Deferred_Declaration_Flag (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@end deffn
-
-@geindex Get_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Body}@anchor{f23}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Body (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Body}@anchor{f24}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Body (obj, value)
+@geindex Set_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration_Flag}@anchor{9f8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Deferred_Declaration_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Get_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_Number}@anchor{f25}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Overload_Number (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_Number}@anchor{f26}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Overload_Number (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
-@geindex Get_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Depth}@anchor{f27}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Depth (obj)
+@geindex Get_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Shared_Flag}@anchor{9f9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Shared_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
-@geindex Set_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Depth}@anchor{f28}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Depth (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Hash}@anchor{f29}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Hash (obj)
+@geindex Set_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Shared_Flag}@anchor{9fa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Shared_Flag (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Set_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Hash}@anchor{f2a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Hash (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Impure_Depth}@anchor{f2b}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Impure_Depth (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
-@geindex Set_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Impure_Depth}@anchor{f2c}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Impure_Depth (obj, value)
+@geindex Get_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit}@anchor{9fb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Get_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type}@anchor{f2d}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Return_Type (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type}@anchor{f2e}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Return_Type (obj, value)
+@geindex Set_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit}@anchor{9fc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Get_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Definition}@anchor{f2f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implicit_Definition (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Definition}@anchor{f30}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implicit_Definition (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Subprogram_Name}@anchor{f31}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Subprogram_Name (obj)
+@geindex Get_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Statement}@anchor{9fd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Statement (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-
-@geindex Set_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Subprogram_Name}@anchor{f32}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Subprogram_Name (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Value}@anchor{f33}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Value (obj)
+@geindex Set_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Statement}@anchor{9fe}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Statement (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Value}@anchor{f34}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Value (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration}@anchor{f35}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Deferred_Declaration (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration}@anchor{f36}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Deferred_Declaration (obj, value)
+@geindex Get_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Driver}@anchor{9ff}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Driver (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Get_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration_Flag}@anchor{f37}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Deferred_Declaration_Flag (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration_Flag}@anchor{f38}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Deferred_Declaration_Flag (obj, value)
+@geindex Set_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Driver}@anchor{a00}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Driver (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Get_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Shared_Flag}@anchor{f39}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Shared_Flag (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Shared_Flag}@anchor{f3a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Shared_Flag (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit}@anchor{f3b}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit (obj)
+@geindex Get_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Declaration_Chain}@anchor{a01}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Declaration_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
-
-@end deffn
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Set_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit}@anchor{f3c}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit (obj, value)
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Get_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Statement}@anchor{f3d}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Statement (obj)
+@geindex Set_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Declaration_Chain}@anchor{a02}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Declaration_Chain (obj, value)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Set_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Statement}@anchor{f3e}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Statement (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Get_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Driver}@anchor{f3f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Driver (obj)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Set_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Driver}@anchor{f40}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Driver (obj, value)
+@geindex Get_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Logical_Name}@anchor{a03}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Logical_Name (obj)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@end deffn
-
-@geindex Get_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Declaration_Chain}@anchor{f41}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Declaration_Chain (obj)
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Declaration_Chain}@anchor{f42}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Declaration_Chain (obj, value)
+@geindex Set_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Logical_Name}@anchor{a04}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Logical_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@end deffn
-@geindex Get_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Logical_Name}@anchor{f43}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Logical_Name (obj)
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@*Parameters:
-@end deffn
+@itemize *
-@geindex Set_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Logical_Name}@anchor{f44}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Logical_Name (obj, value)
+@item
+@code{obj} (@code{Iir}) –
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Open_Kind}@anchor{f45}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Open_Kind}@anchor{a05}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Open_Kind (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Open_Kind}@anchor{f46}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Open_Kind}@anchor{a06}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Open_Kind (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Position}@anchor{f47}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Position}@anchor{a07}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Position (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Position}@anchor{f48}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Position}@anchor{a08}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Position (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Clause_Chain}@anchor{f49}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Clause_Chain}@anchor{a09}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Use_Clause_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Clause_Chain}@anchor{f4a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Clause_Chain}@anchor{a0a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Use_Clause_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Reference_Chain}@anchor{f4b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Reference_Chain}@anchor{a0b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Context_Reference_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Reference_Chain}@anchor{f4c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Reference_Chain}@anchor{a0c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Context_Reference_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Inherit_Spec_Chain}@anchor{f4d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Inherit_Spec_Chain}@anchor{a0d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Inherit_Spec_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Inherit_Spec_Chain}@anchor{f4e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Inherit_Spec_Chain}@anchor{a0e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Inherit_Spec_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Name}@anchor{f4f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Name}@anchor{a0f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selected_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Name}@anchor{f50}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Name}@anchor{a10}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selected_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Declarator}@anchor{f51}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Declarator}@anchor{a11}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Declarator (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Declarator}@anchor{f52}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Declarator}@anchor{a12}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Declarator (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Complete_Type_Definition}@anchor{f53}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Complete_Type_Definition}@anchor{a13}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Complete_Type_Definition (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Complete_Type_Definition}@anchor{f54}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Complete_Type_Definition}@anchor{a14}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Complete_Type_Definition (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Ref_Chain}@anchor{f55}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Ref_Chain}@anchor{a15}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Incomplete_Type_Ref_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Ref_Chain}@anchor{f56}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Ref_Chain}@anchor{a16}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Incomplete_Type_Ref_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Type}@anchor{f57}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Type}@anchor{a17}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Type (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Type}@anchor{f58}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Type}@anchor{a18}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Type (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enumeration_Literal_List}@anchor{f59}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enumeration_Literal_List}@anchor{a19}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Enumeration_Literal_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enumeration_Literal_List}@anchor{f5a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enumeration_Literal_List}@anchor{a1a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Enumeration_Literal_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class_Entry_Chain}@anchor{f5b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class_Entry_Chain}@anchor{a1b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Class_Entry_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class_Entry_Chain}@anchor{f5c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class_Entry_Chain}@anchor{a1c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Class_Entry_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Constituent_List}@anchor{f5d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Constituent_List}@anchor{a1d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Group_Constituent_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Constituent_List}@anchor{f5e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Constituent_List}@anchor{a1e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Group_Constituent_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Chain}@anchor{f5f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Chain}@anchor{a1f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Unit_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Chain}@anchor{f60}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Chain}@anchor{a20}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Unit_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Primary_Unit}@anchor{f61}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Primary_Unit}@anchor{a21}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Primary_Unit (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Primary_Unit}@anchor{f62}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Primary_Unit}@anchor{a22}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Primary_Unit (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Identifier}@anchor{f63}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Identifier}@anchor{a23}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Identifier (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Identifier}@anchor{f64}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Identifier}@anchor{a24}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Identifier (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{NameId}) –
+@end itemize
@end deffn
@geindex Get_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Label}@anchor{f65}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Label}@anchor{a25}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Label (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Label}@anchor{f66}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Label}@anchor{a26}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Label (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{NameId}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Return_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Identifier}@anchor{a27}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Return_Identifier (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
+
+@end deffn
+
+@geindex Set_Return_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Identifier}@anchor{a28}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Return_Identifier (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Visible_Flag}@anchor{f67}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Visible_Flag}@anchor{a29}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Visible_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Visible_Flag}@anchor{f68}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Visible_Flag}@anchor{a2a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Visible_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Constraint}@anchor{f69}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Constraint}@anchor{a2b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Range_Constraint (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Constraint}@anchor{f6a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Constraint}@anchor{a2c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Range_Constraint (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Direction() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Direction}@anchor{f6b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Direction}@anchor{a2d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Direction (obj)
@*Return type:
-@ref{a2a,,DirectionType}
+@ref{834,,DirectionType}
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Direction() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Direction}@anchor{f6c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Direction}@anchor{a2e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Direction (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@ref{834,,DirectionType}) –
+@end itemize
@end deffn
@geindex Get_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit}@anchor{f6d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit}@anchor{a2f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left_Limit (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit}@anchor{f6e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit}@anchor{a30}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left_Limit (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit}@anchor{f6f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit}@anchor{a31}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right_Limit (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit}@anchor{f70}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit}@anchor{a32}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right_Limit (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit_Expr}@anchor{f71}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit_Expr}@anchor{a33}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left_Limit_Expr (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit_Expr}@anchor{f72}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit_Expr}@anchor{a34}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left_Limit_Expr (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit_Expr}@anchor{f73}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit_Expr}@anchor{a35}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right_Limit_Expr (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit_Expr}@anchor{f74}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit_Expr}@anchor{a36}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right_Limit_Expr (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent_Type}@anchor{f75}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent_Type}@anchor{a37}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parent_Type (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent_Type}@anchor{f76}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent_Type}@anchor{a38}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parent_Type (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Nature}@anchor{f77}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Nature}@anchor{a39}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Nature (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Nature}@anchor{f78}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Nature}@anchor{a3a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Nature (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Nature}@anchor{f79}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Nature}@anchor{a3b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Base_Nature (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Nature}@anchor{f7a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Nature}@anchor{a3c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Base_Nature (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Indication}@anchor{f7b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Indication}@anchor{a3d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolution_Indication (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Indication}@anchor{f7c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Indication}@anchor{a3e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolution_Indication (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Record_Element_Resolution_Chain}@anchor{f7d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Record_Element_Resolution_Chain}@anchor{a3f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Record_Element_Resolution_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Record_Element_Resolution_Chain}@anchor{f7e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Record_Element_Resolution_Chain}@anchor{a40}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Record_Element_Resolution_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Tolerance}@anchor{f7f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Tolerance}@anchor{a41}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Tolerance (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Tolerance}@anchor{f80}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Tolerance}@anchor{a42}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Tolerance (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal_Name}@anchor{f81}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal_Name}@anchor{a43}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Plus_Terminal_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal_Name}@anchor{f82}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal_Name}@anchor{a44}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Plus_Terminal_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal_Name}@anchor{f83}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal_Name}@anchor{a45}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Minus_Terminal_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal_Name}@anchor{f84}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal_Name}@anchor{a46}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Minus_Terminal_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal}@anchor{f85}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal}@anchor{a47}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Plus_Terminal (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal}@anchor{f86}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal}@anchor{a48}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Plus_Terminal (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal}@anchor{f87}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal}@anchor{a49}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Minus_Terminal (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal}@anchor{f88}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal}@anchor{a4a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Minus_Terminal (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Magnitude_Expression}@anchor{f89}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Magnitude_Expression}@anchor{a4b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Magnitude_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Magnitude_Expression}@anchor{f8a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Magnitude_Expression}@anchor{a4c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Magnitude_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Phase_Expression}@anchor{f8b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Phase_Expression}@anchor{a4d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Phase_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Phase_Expression}@anchor{f8c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Phase_Expression}@anchor{a4e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Phase_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Power_Expression}@anchor{f8d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Power_Expression}@anchor{a4f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Power_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Power_Expression}@anchor{f8e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Power_Expression}@anchor{a50}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Power_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Left}@anchor{f8f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Left}@anchor{a51}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Left (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Left}@anchor{f90}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Left}@anchor{a52}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Left (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Right}@anchor{f91}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Right}@anchor{a53}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Right (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Right}@anchor{f92}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Right}@anchor{a54}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Right (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Text_File_Flag}@anchor{f93}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Text_File_Flag}@anchor{a55}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Text_File_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Text_File_Flag}@anchor{f94}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Text_File_Flag}@anchor{a56}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Text_File_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Only_Characters_Flag}@anchor{f95}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Only_Characters_Flag}@anchor{a57}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Only_Characters_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Only_Characters_Flag}@anchor{f96}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Only_Characters_Flag}@anchor{a58}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Only_Characters_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Character_Type}@anchor{f97}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Character_Type}@anchor{a59}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Character_Type (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Character_Type}@anchor{f98}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Character_Type}@anchor{a5a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Character_Type (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Staticness}@anchor{f99}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Staticness}@anchor{a5b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Staticness (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Staticness}@anchor{f9a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Staticness}@anchor{a5c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Staticness (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Staticness}@anchor{f9b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Staticness}@anchor{a5d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Staticness (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Staticness}@anchor{f9c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Staticness}@anchor{a5e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Staticness (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Constraint_State}@anchor{f9d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Constraint_State}@anchor{a5f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Constraint_State (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Constraint_State}@anchor{f9e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Constraint_State}@anchor{a60}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Constraint_State (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_List}@anchor{f9f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_List}@anchor{a61}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_List}@anchor{fa0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_List}@anchor{a62}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_Definition_List}@anchor{fa1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_Definition_List}@anchor{a63}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype_Definition_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_Definition_List}@anchor{fa2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_Definition_List}@anchor{a64}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype_Definition_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype_Indication}@anchor{fa3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype_Indication}@anchor{a65}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subtype_Indication (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype_Indication}@anchor{fa4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype_Indication}@anchor{a66}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subtype_Indication (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype}@anchor{fa5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype}@anchor{a67}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subtype (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype}@anchor{fa6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype}@anchor{a68}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subtype (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature_Indication}@anchor{fa7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature_Indication}@anchor{a69}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subnature_Indication (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature_Indication}@anchor{fa8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature_Indication}@anchor{a6a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subnature_Indication (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature}@anchor{fa9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature}@anchor{a6b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subnature (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature}@anchor{faa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature}@anchor{a6c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subnature (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_List}@anchor{fab}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_List}@anchor{a6d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Constraint_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_List}@anchor{fac}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_List}@anchor{a6e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Constraint_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Array_Element_Constraint}@anchor{fad}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Array_Element_Constraint}@anchor{a6f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Array_Element_Constraint (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Array_Element_Constraint}@anchor{fae}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Array_Element_Constraint}@anchor{a70}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Array_Element_Constraint (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Array_Constraint_Flag}@anchor{faf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Array_Constraint_Flag}@anchor{a71}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Array_Constraint_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Array_Constraint_Flag}@anchor{fb0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Array_Constraint_Flag}@anchor{a72}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Array_Constraint_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Element_Constraint_Flag}@anchor{fb1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Element_Constraint_Flag}@anchor{a73}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Element_Constraint_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Element_Constraint_Flag}@anchor{fb2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Element_Constraint_Flag}@anchor{a74}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Element_Constraint_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elements_Declaration_List}@anchor{fb3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elements_Declaration_List}@anchor{a75}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Elements_Declaration_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elements_Declaration_List}@anchor{fb4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elements_Declaration_List}@anchor{a76}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Elements_Declaration_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Owned_Elements_Chain}@anchor{fb5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Owned_Elements_Chain}@anchor{a77}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Owned_Elements_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Owned_Elements_Chain}@anchor{fb6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Owned_Elements_Chain}@anchor{a78}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Owned_Elements_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Type}@anchor{fb7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Type}@anchor{a79}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Type (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Type}@anchor{fb8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Type}@anchor{a7a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Type (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Subtype_Indication}@anchor{fb9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Subtype_Indication}@anchor{a7b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Subtype_Indication (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Subtype_Indication}@anchor{fba}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Subtype_Indication}@anchor{a7c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Subtype_Indication (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Index_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_List}@anchor{fbb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_List}@anchor{a7d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Index_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_List}@anchor{fbc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_List}@anchor{a7e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Reference() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reference}@anchor{fbd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reference}@anchor{a7f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Reference (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Reference() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reference}@anchor{fbe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reference}@anchor{a80}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Reference (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Declarator}@anchor{fbf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Declarator}@anchor{a81}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Declarator (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Declarator}@anchor{fc0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Declarator}@anchor{a82}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Declarator (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Mark}@anchor{fc1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Mark}@anchor{a83}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type_Mark (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Mark}@anchor{fc2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Mark}@anchor{a84}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type_Mark (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Mark}@anchor{fc3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Mark}@anchor{a85}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type_Mark (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Mark}@anchor{fc4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Mark}@anchor{a86}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type_Mark (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Definition}@anchor{fc5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Definition}@anchor{a87}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type_Definition (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Definition}@anchor{fc6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Definition}@anchor{a88}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type_Definition (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Definition}@anchor{fc7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Definition}@anchor{a89}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type_Definition (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Definition}@anchor{fc8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Definition}@anchor{a8a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type_Definition (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type}@anchor{fc9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type}@anchor{a8b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type}@anchor{fca}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type}@anchor{a8c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type}@anchor{fcb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type}@anchor{a8d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type}@anchor{fcc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type}@anchor{a8e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Target() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Target}@anchor{fcd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Target}@anchor{a8f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Target (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Target() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Target}@anchor{fce}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Target}@anchor{a90}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Target (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Waveform_Chain}@anchor{fcf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Waveform_Chain}@anchor{a91}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Waveform_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Waveform_Chain}@anchor{fd0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Waveform_Chain}@anchor{a92}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Waveform_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Guard() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard}@anchor{fd1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard}@anchor{a93}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Guard() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard}@anchor{fd2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard}@anchor{a94}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Delay_Mechanism}@anchor{fd3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Delay_Mechanism}@anchor{a95}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Delay_Mechanism (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Delay_Mechanism}@anchor{fd4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Delay_Mechanism}@anchor{a96}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Delay_Mechanism (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reject_Time_Expression}@anchor{fd5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reject_Time_Expression}@anchor{a97}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Reject_Time_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reject_Time_Expression}@anchor{fd6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reject_Time_Expression}@anchor{a98}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Reject_Time_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Force_Mode}@anchor{fd7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Force_Mode}@anchor{a99}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Force_Mode (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Force_Mode}@anchor{fd8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Force_Mode}@anchor{a9a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Force_Mode (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Force_Mode}@anchor{fd9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Force_Mode}@anchor{a9b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Force_Mode (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Force_Mode}@anchor{fda}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Force_Mode}@anchor{a9c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Force_Mode (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sensitivity_List}@anchor{fdb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sensitivity_List}@anchor{a9d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sensitivity_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sensitivity_List}@anchor{fdc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sensitivity_List}@anchor{a9e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sensitivity_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Process_Origin}@anchor{fdd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Process_Origin}@anchor{a9f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Process_Origin (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Process_Origin}@anchor{fde}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Process_Origin}@anchor{aa0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Process_Origin (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Origin}@anchor{fdf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Origin}@anchor{aa1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Origin (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Origin}@anchor{fe0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Origin}@anchor{aa2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Origin (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition_Clause}@anchor{fe1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition_Clause}@anchor{aa3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Condition_Clause (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition_Clause}@anchor{fe2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition_Clause}@anchor{aa4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Condition_Clause (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Element}@anchor{fe3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Element}@anchor{aa5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Break_Element (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Element}@anchor{fe4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Element}@anchor{aa6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Break_Element (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selector_Quantity}@anchor{fe5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selector_Quantity}@anchor{aa7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selector_Quantity (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selector_Quantity}@anchor{fe6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selector_Quantity}@anchor{aa8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selector_Quantity (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Quantity}@anchor{fe7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Quantity}@anchor{aa9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Break_Quantity (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Quantity}@anchor{fe8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Quantity}@anchor{aaa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Break_Quantity (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Timeout_Clause}@anchor{fe9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Timeout_Clause}@anchor{aab}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Timeout_Clause (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Timeout_Clause}@anchor{fea}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Timeout_Clause}@anchor{aac}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Timeout_Clause (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Postponed_Flag}@anchor{feb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Postponed_Flag}@anchor{aad}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Postponed_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Postponed_Flag}@anchor{fec}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Postponed_Flag}@anchor{aae}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Postponed_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Callees_List}@anchor{fed}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Callees_List}@anchor{aaf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Callees_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Callees_List}@anchor{fee}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Callees_List}@anchor{ab0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Callees_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Passive_Flag}@anchor{fef}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Passive_Flag}@anchor{ab1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Passive_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Passive_Flag}@anchor{ff0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Passive_Flag}@anchor{ab2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Passive_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Function_Flag}@anchor{ff1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Function_Flag}@anchor{ab3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolution_Function_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Function_Flag}@anchor{ff2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Function_Flag}@anchor{ab4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolution_Function_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Wait_State}@anchor{ff3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Wait_State}@anchor{ab5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Wait_State (obj)
@*Return type:
-@ref{a29,,TriStateType}
+@ref{833,,TriStateType}
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Wait_State}@anchor{ff4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Wait_State}@anchor{ab6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Wait_State (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@ref{833,,TriStateType}) –
+@end itemize
@end deffn
@geindex Get_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_All_Sensitized_State}@anchor{ff5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_All_Sensitized_State}@anchor{ab7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_All_Sensitized_State (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_All_Sensitized_State}@anchor{ff6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_All_Sensitized_State}@anchor{ab8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_All_Sensitized_State (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Seen_Flag}@anchor{ff7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Seen_Flag}@anchor{ab9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Seen_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Seen_Flag}@anchor{ff8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Seen_Flag}@anchor{aba}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Seen_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pure_Flag}@anchor{ff9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pure_Flag}@anchor{abb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pure_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pure_Flag}@anchor{ffa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pure_Flag}@anchor{abc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pure_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Flag}@anchor{ffb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Flag}@anchor{abd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Foreign_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Flag}@anchor{ffc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Flag}@anchor{abe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Foreign_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolved_Flag}@anchor{ffd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolved_Flag}@anchor{abf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolved_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolved_Flag}@anchor{ffe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolved_Flag}@anchor{ac0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolved_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Type_Flag}@anchor{fff}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Type_Flag}@anchor{ac1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Type_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Type_Flag}@anchor{1000}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Type_Flag}@anchor{ac2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Type_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signal_Flag}@anchor{1001}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signal_Flag}@anchor{ac3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Signal_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signal_Flag}@anchor{1002}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signal_Flag}@anchor{ac4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Signal_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Purity_State}@anchor{1003}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Purity_State}@anchor{ac5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Purity_State (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Purity_State}@anchor{1004}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Purity_State}@anchor{ac6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Purity_State (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elab_Flag}@anchor{1005}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elab_Flag}@anchor{ac7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Elab_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elab_Flag}@anchor{1006}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elab_Flag}@anchor{ac8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Elab_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vendor_Library_Flag}@anchor{1007}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vendor_Library_Flag}@anchor{ac9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Vendor_Library_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vendor_Library_Flag}@anchor{1008}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vendor_Library_Flag}@anchor{aca}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Vendor_Library_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Mark_Flag}@anchor{1009}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Mark_Flag}@anchor{acb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Mark_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Mark_Flag}@anchor{100a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Mark_Flag}@anchor{acc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Mark_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Done_Flag}@anchor{100b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Done_Flag}@anchor{acd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Done_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Done_Flag}@anchor{100c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Done_Flag}@anchor{ace}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Done_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_Flag}@anchor{100d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_Flag}@anchor{acf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Constraint_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_Flag}@anchor{100e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_Flag}@anchor{ad0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Constraint_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hide_Implicit_Flag}@anchor{100f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hide_Implicit_Flag}@anchor{ad1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hide_Implicit_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hide_Implicit_Flag}@anchor{1010}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hide_Implicit_Flag}@anchor{ad2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hide_Implicit_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Assertion_Condition}@anchor{1011}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Assertion_Condition}@anchor{ad3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Assertion_Condition (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Assertion_Condition}@anchor{1012}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Assertion_Condition}@anchor{ad4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Assertion_Condition (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Report_Expression}@anchor{1013}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Report_Expression}@anchor{ad5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Report_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Report_Expression}@anchor{1014}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Report_Expression}@anchor{ad6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Report_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Severity_Expression}@anchor{1015}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Severity_Expression}@anchor{ad7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Severity_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Severity_Expression}@anchor{1016}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Severity_Expression}@anchor{ad8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Severity_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiated_Unit}@anchor{1017}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiated_Unit}@anchor{ad9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instantiated_Unit (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiated_Unit}@anchor{1018}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiated_Unit}@anchor{ada}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instantiated_Unit (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Instantiated_Header() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiated_Header}@anchor{adb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instantiated_Header (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
+
+@end deffn
+
+@geindex Set_Instantiated_Header() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiated_Header}@anchor{adc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instantiated_Header (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Map_Aspect_Chain}@anchor{1019}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Map_Aspect_Chain}@anchor{add}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generic_Map_Aspect_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Map_Aspect_Chain}@anchor{101a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Map_Aspect_Chain}@anchor{ade}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generic_Map_Aspect_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Map_Aspect_Chain}@anchor{101b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Map_Aspect_Chain}@anchor{adf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Port_Map_Aspect_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Map_Aspect_Chain}@anchor{101c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Map_Aspect_Chain}@anchor{ae0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Port_Map_Aspect_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Name}@anchor{101d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Name}@anchor{ae1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Name}@anchor{101e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Name}@anchor{ae2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Configuration}@anchor{101f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Configuration}@anchor{ae3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Component_Configuration (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Configuration}@anchor{1020}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Configuration}@anchor{ae4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Component_Configuration (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Specification}@anchor{1021}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Specification}@anchor{ae5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Specification (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Specification}@anchor{1022}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Specification}@anchor{ae6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Specification (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Binding_Indication}@anchor{1023}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Binding_Indication}@anchor{ae7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Binding_Indication (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Binding_Indication}@anchor{1024}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Binding_Indication}@anchor{ae8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Binding_Indication (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Configuration_Declaration}@anchor{1025}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Configuration_Declaration}@anchor{ae9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Configuration_Declaration (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Configuration_Declaration}@anchor{1026}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Configuration_Declaration}@anchor{aea}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Configuration_Declaration (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expression}@anchor{1027}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expression}@anchor{aeb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expression}@anchor{1028}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expression}@anchor{aec}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Expression_Chain}@anchor{1029}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Expression_Chain}@anchor{aed}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Conditional_Expression_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Expression_Chain}@anchor{102a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Expression_Chain}@anchor{aee}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Conditional_Expression_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Designated_Type}@anchor{102b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Designated_Type}@anchor{aef}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Allocator_Designated_Type (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Designated_Type}@anchor{102c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Designated_Type}@anchor{af0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Allocator_Designated_Type (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Waveform_Chain}@anchor{102d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Waveform_Chain}@anchor{af1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selected_Waveform_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Waveform_Chain}@anchor{102e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Waveform_Chain}@anchor{af2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selected_Waveform_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Waveform_Chain}@anchor{102f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Waveform_Chain}@anchor{af3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Conditional_Waveform_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Waveform_Chain}@anchor{1030}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Waveform_Chain}@anchor{af4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Conditional_Waveform_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Expression}@anchor{1031}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Expression}@anchor{af5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Expression}@anchor{1032}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Expression}@anchor{af6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Decl}@anchor{1033}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Decl}@anchor{af7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Decl (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Decl}@anchor{1034}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Decl}@anchor{af8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Decl (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Sensitivity_List}@anchor{1035}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Sensitivity_List}@anchor{af9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Sensitivity_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Sensitivity_List}@anchor{1036}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Sensitivity_List}@anchor{afa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Sensitivity_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Attribute_Chain}@anchor{1037}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Attribute_Chain (obj)
+@geindex Get_Attribute_Implicit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Implicit_Chain}@anchor{afb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Implicit_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Attribute_Chain}@anchor{1038}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Attribute_Chain (obj, value)
+@geindex Set_Attribute_Implicit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Implicit_Chain}@anchor{afc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Implicit_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Block_Configuration}@anchor{1039}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Block_Configuration}@anchor{afd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Block_Configuration (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Block_Configuration}@anchor{103a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Block_Configuration}@anchor{afe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Block_Configuration (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Header}@anchor{103b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Header}@anchor{aff}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Header (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Header}@anchor{103c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Header}@anchor{b00}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Header (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Header}@anchor{103d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Header}@anchor{b01}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Header (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Header}@anchor{103e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Header}@anchor{b02}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Header (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Name}@anchor{103f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Name}@anchor{b03}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Package_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Name}@anchor{1040}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Name}@anchor{b04}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Package_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Decl}@anchor{1041}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Decl}@anchor{b05}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Package_Decl (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Decl}@anchor{1042}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Decl}@anchor{b06}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Package_Decl (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Associated_Package() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Package}@anchor{b07}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Package (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
+
+@end deffn
+
+@geindex Set_Associated_Package() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Package}@anchor{b08}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Package (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Source_File}@anchor{1043}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Source_File}@anchor{b09}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instance_Source_File (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Source_File}@anchor{1044}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Source_File}@anchor{b0a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instance_Source_File (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{SourceFileEntry}) –
+@end itemize
@end deffn
@geindex Get_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Block_Configuration}@anchor{1045}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Block_Configuration}@anchor{b0b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Block_Configuration (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Block_Configuration}@anchor{1046}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Block_Configuration}@anchor{b0c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Block_Configuration (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Statement_Body}@anchor{1047}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Statement_Body}@anchor{b0d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Statement_Body (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Statement_Body}@anchor{1048}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Statement_Body}@anchor{b0e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Statement_Body (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alternative_Label}@anchor{1049}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alternative_Label}@anchor{b0f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Alternative_Label (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alternative_Label}@anchor{104a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alternative_Label}@anchor{b10}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Alternative_Label (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{NameId}) –
+@end itemize
@end deffn
@geindex Get_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Else_Clause}@anchor{104b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Else_Clause}@anchor{b11}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Else_Clause (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Else_Clause}@anchor{104c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Else_Clause}@anchor{b12}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Else_Clause (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition}@anchor{104d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition}@anchor{b13}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Condition (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition}@anchor{104e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition}@anchor{b14}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Condition (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Else_Clause}@anchor{104f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Else_Clause}@anchor{b15}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Else_Clause (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Else_Clause}@anchor{1050}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Else_Clause}@anchor{b16}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Else_Clause (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Specification}@anchor{1051}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Specification}@anchor{b17}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_Specification (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Specification}@anchor{1052}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Specification}@anchor{b18}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_Specification (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Parent() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent}@anchor{1053}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent}@anchor{b19}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parent (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Parent() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent}@anchor{1054}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent}@anchor{b1a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parent (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Loop_Label}@anchor{1055}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Loop_Label}@anchor{b1b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Loop_Label (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Loop_Label}@anchor{1056}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Loop_Label}@anchor{b1c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Loop_Label (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Exit_Flag}@anchor{1057}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Exit_Flag}@anchor{b1d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Exit_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Exit_Flag}@anchor{1058}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Exit_Flag}@anchor{b1e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Exit_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Next_Flag}@anchor{1059}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Next_Flag}@anchor{b1f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Next_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Next_Flag}@anchor{105a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Next_Flag}@anchor{b20}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Next_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Name}@anchor{105b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Name}@anchor{b21}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Component_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Name}@anchor{105c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Name}@anchor{b22}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Component_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiation_List}@anchor{105d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiation_List}@anchor{b23}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instantiation_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiation_List}@anchor{105e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiation_List}@anchor{b24}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instantiation_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Aspect}@anchor{105f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Aspect}@anchor{b25}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Aspect (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Aspect}@anchor{1060}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Aspect}@anchor{b26}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Aspect (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Entity_Aspect}@anchor{1061}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Entity_Aspect}@anchor{b27}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Entity_Aspect (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Entity_Aspect}@anchor{1062}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Entity_Aspect}@anchor{b28}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Entity_Aspect (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Binding_Indication}@anchor{1063}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Binding_Indication}@anchor{b29}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Binding_Indication (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Binding_Indication}@anchor{1064}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Binding_Indication}@anchor{b2a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Binding_Indication (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Named_Entity}@anchor{1065}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Named_Entity}@anchor{b2b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Named_Entity (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Named_Entity}@anchor{1066}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Named_Entity}@anchor{b2c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Named_Entity (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Referenced_Name}@anchor{1067}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Referenced_Name}@anchor{b2d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Referenced_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Referenced_Name}@anchor{1068}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Referenced_Name}@anchor{b2e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Referenced_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expr_Staticness}@anchor{1069}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expr_Staticness}@anchor{b2f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Expr_Staticness (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expr_Staticness}@anchor{106a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expr_Staticness}@anchor{b30}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Expr_Staticness (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Scalar_Size}@anchor{106b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Scalar_Size}@anchor{b31}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Scalar_Size (obj)
@*Return type:
-@ref{e3e,,ScalarSize}
+@ref{c07,,ScalarSize}
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Scalar_Size}@anchor{106c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Scalar_Size}@anchor{b32}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Scalar_Size (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@ref{c07,,ScalarSize}) –
+@end itemize
@end deffn
@geindex Get_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Error_Origin}@anchor{106d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Error_Origin}@anchor{b33}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Error_Origin (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Error_Origin}@anchor{106e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Error_Origin}@anchor{b34}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Error_Origin (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Operand() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Operand}@anchor{106f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Operand}@anchor{b35}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Operand (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Operand() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Operand}@anchor{1070}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Operand}@anchor{b36}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Operand (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Left() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left}@anchor{1071}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left}@anchor{b37}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Left() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left}@anchor{1072}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left}@anchor{b38}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Right() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right}@anchor{1073}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right}@anchor{b39}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Right() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right}@anchor{1074}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right}@anchor{b3a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Name}@anchor{1075}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Name}@anchor{b3b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Unit_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Name}@anchor{1076}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Name}@anchor{b3c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Unit_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name}@anchor{1077}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name}@anchor{b3d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name}@anchor{1078}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name}@anchor{b3e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Template_Name}@anchor{1079}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Template_Name}@anchor{b3f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Group_Template_Name (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Template_Name}@anchor{107a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Template_Name}@anchor{b40}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Group_Template_Name (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name_Staticness}@anchor{107b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name_Staticness}@anchor{b41}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Name_Staticness (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name_Staticness}@anchor{107c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name_Staticness}@anchor{b42}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Name_Staticness (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prefix}@anchor{107d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prefix}@anchor{b43}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Prefix (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prefix}@anchor{107e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prefix}@anchor{b44}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Prefix (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signature_Prefix}@anchor{107f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signature_Prefix}@anchor{b45}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signature_Prefix (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signature_Prefix}@anchor{1080}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signature_Prefix}@anchor{b46}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signature_Prefix (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_External_Pathname}@anchor{1081}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_External_Pathname}@anchor{b47}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_External_Pathname (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_External_Pathname}@anchor{1082}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_External_Pathname}@anchor{b48}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_External_Pathname (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Suffix}@anchor{1083}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Suffix}@anchor{b49}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pathname_Suffix (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Suffix}@anchor{1084}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Suffix}@anchor{b4a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pathname_Suffix (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Expression}@anchor{1085}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Expression}@anchor{b4b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pathname_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Expression}@anchor{1086}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Expression}@anchor{b4c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pathname_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_In_Formal_Flag}@anchor{1087}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_In_Formal_Flag}@anchor{b4d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_In_Formal_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_In_Formal_Flag}@anchor{1088}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_In_Formal_Flag}@anchor{b4e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_In_Formal_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Inertial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Inertial_Flag}@anchor{b4f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Inertial_Flag (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
+
+@end deffn
+
+@geindex Set_Inertial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Inertial_Flag}@anchor{b50}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Inertial_Flag (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Slice_Subtype}@anchor{1089}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Slice_Subtype}@anchor{b51}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Slice_Subtype (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Slice_Subtype}@anchor{108a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Slice_Subtype}@anchor{b52}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Slice_Subtype (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suffix}@anchor{108b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suffix}@anchor{b53}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suffix (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suffix}@anchor{108c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suffix}@anchor{b54}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suffix (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype}@anchor{108d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype}@anchor{b55}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype}@anchor{108e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype}@anchor{b56}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter}@anchor{108f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter}@anchor{b57}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter}@anchor{1090}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter}@anchor{b58}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_2}@anchor{1091}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_2}@anchor{b59}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_2 (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_2}@anchor{1092}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_2}@anchor{b5a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_2 (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_3}@anchor{1093}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_3}@anchor{b5b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_3 (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_3}@anchor{1094}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_3}@anchor{b5c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_3 (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_4}@anchor{1095}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_4}@anchor{b5d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_4 (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_4}@anchor{1096}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_4}@anchor{b5e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_4 (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attr_Chain}@anchor{1097}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attr_Chain}@anchor{b5f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attr_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attr_Chain}@anchor{1098}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attr_Chain}@anchor{b60}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attr_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
-@geindex Get_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Attribute_Declaration}@anchor{1099}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Attribute_Declaration (obj)
+@geindex Get_Attribute_Implicit_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Implicit_Declaration}@anchor{b61}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Implicit_Declaration (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
-@geindex Set_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Attribute_Declaration}@anchor{109a}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Attribute_Declaration (obj, value)
+@geindex Set_Attribute_Implicit_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Implicit_Declaration}@anchor{b62}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Implicit_Declaration (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type}@anchor{109b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type}@anchor{b63}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Type (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type}@anchor{109c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type}@anchor{b64}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Type (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type_Definition}@anchor{109d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type_Definition}@anchor{b65}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Type_Definition (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type_Definition}@anchor{109e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type_Definition}@anchor{b66}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Type_Definition (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Chain}@anchor{109f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Chain}@anchor{b67}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Association_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Chain}@anchor{10a0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Chain}@anchor{b68}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Association_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Individual_Association_Chain}@anchor{10a1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Individual_Association_Chain}@anchor{b69}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Individual_Association_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Individual_Association_Chain}@anchor{10a2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Individual_Association_Chain}@anchor{b6a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Individual_Association_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Association_Chain}@anchor{10a3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Association_Chain}@anchor{b6b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Association_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Association_Chain}@anchor{10a4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Association_Chain}@anchor{b6c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Association_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Info}@anchor{10a5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Info}@anchor{b6d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggregate_Info (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Info}@anchor{10a6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Info}@anchor{b6e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggregate_Info (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sub_Aggregate_Info}@anchor{10a7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sub_Aggregate_Info}@anchor{b6f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sub_Aggregate_Info (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sub_Aggregate_Info}@anchor{10a8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sub_Aggregate_Info}@anchor{b70}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sub_Aggregate_Info (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Dynamic_Flag}@anchor{10a9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Dynamic_Flag}@anchor{b71}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Dynamic_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Dynamic_Flag}@anchor{10aa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Dynamic_Flag}@anchor{b72}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Dynamic_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Min_Length}@anchor{10ab}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Min_Length}@anchor{b73}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Min_Length (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Min_Length}@anchor{10ac}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Min_Length}@anchor{b74}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Min_Length (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Low_Limit}@anchor{10ad}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Low_Limit}@anchor{b75}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Low_Limit (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Low_Limit}@anchor{10ae}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Low_Limit}@anchor{b76}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Low_Limit (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_High_Limit}@anchor{10af}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_High_Limit}@anchor{b77}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_High_Limit (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_High_Limit}@anchor{10b0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_High_Limit}@anchor{b78}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_High_Limit (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Others_Flag}@anchor{10b1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Others_Flag}@anchor{b79}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Others_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Others_Flag}@anchor{10b2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Others_Flag}@anchor{b7a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Others_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Named_Flag}@anchor{10b3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Named_Flag}@anchor{b7b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Named_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Named_Flag}@anchor{10b4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Named_Flag}@anchor{b7c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Named_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Expand_Flag}@anchor{10b5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Expand_Flag}@anchor{b7d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggregate_Expand_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Expand_Flag}@anchor{10b6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Expand_Flag}@anchor{b7e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggregate_Expand_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Determined_Aggregate_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Determined_Aggregate_Flag}@anchor{b7f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Determined_Aggregate_Flag (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
+
+@end deffn
+
+@geindex Set_Determined_Aggregate_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Determined_Aggregate_Flag}@anchor{b80}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Determined_Aggregate_Flag (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Choices_Chain}@anchor{10b7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Choices_Chain}@anchor{b81}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Association_Choices_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Choices_Chain}@anchor{10b8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Choices_Chain}@anchor{b82}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Association_Choices_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Case_Statement_Alternative_Chain}@anchor{10b9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Case_Statement_Alternative_Chain}@anchor{b83}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Case_Statement_Alternative_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Case_Statement_Alternative_Chain}@anchor{10ba}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Case_Statement_Alternative_Chain}@anchor{b84}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Case_Statement_Alternative_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Matching_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Matching_Flag}@anchor{10bb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Matching_Flag}@anchor{b85}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Matching_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Matching_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Matching_Flag}@anchor{10bc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Matching_Flag}@anchor{b86}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Matching_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Staticness}@anchor{10bd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Staticness}@anchor{b87}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Staticness (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Staticness}@anchor{10be}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Staticness}@anchor{b88}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Staticness (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Procedure_Call}@anchor{10bf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Procedure_Call}@anchor{b89}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Procedure_Call (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Procedure_Call}@anchor{10c0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Procedure_Call}@anchor{b8a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Procedure_Call (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Implementation() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implementation}@anchor{10c1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implementation}@anchor{b8b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implementation (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Implementation() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implementation}@anchor{10c2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implementation}@anchor{b8c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implementation (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Association_Chain}@anchor{10c3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Association_Chain}@anchor{b8d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_Association_Chain (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Association_Chain}@anchor{10c4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Association_Chain}@anchor{b8e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_Association_Chain (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Method_Object}@anchor{10c5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Method_Object}@anchor{b8f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Method_Object (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Method_Object}@anchor{10c6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Method_Object}@anchor{b90}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Method_Object (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Type_Mark}@anchor{10c7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Type_Mark}@anchor{b91}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Type_Mark (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Type_Mark}@anchor{10c8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Type_Mark}@anchor{b92}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Type_Mark (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Nature_Mark}@anchor{10c9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Nature_Mark}@anchor{b93}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subnature_Nature_Mark (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Nature_Mark}@anchor{10ca}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Nature_Mark}@anchor{b94}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subnature_Nature_Mark (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Conversion_Subtype}@anchor{10cb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Conversion_Subtype}@anchor{b95}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Conversion_Subtype (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Conversion_Subtype}@anchor{10cc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Conversion_Subtype}@anchor{b96}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Conversion_Subtype (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Mark}@anchor{10cd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Mark}@anchor{b97}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Mark (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Mark}@anchor{10ce}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Mark}@anchor{b98}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Mark (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Type_Mark}@anchor{10cf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Type_Mark}@anchor{b99}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Type_Mark (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Type_Mark}@anchor{10d0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Type_Mark}@anchor{b9a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Type_Mark (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type_Mark}@anchor{10d1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type_Mark}@anchor{b9b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Return_Type_Mark (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type_Mark}@anchor{10d2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type_Mark}@anchor{b9c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Return_Type_Mark (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Disconnect_Flag}@anchor{10d3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Disconnect_Flag}@anchor{b9d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Disconnect_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Disconnect_Flag}@anchor{10d4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Disconnect_Flag}@anchor{b9e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Disconnect_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Active_Flag}@anchor{10d5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Active_Flag}@anchor{b9f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Active_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Active_Flag}@anchor{10d6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Active_Flag}@anchor{ba0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Active_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Within_Flag}@anchor{10d7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Within_Flag}@anchor{ba1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Within_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Within_Flag}@anchor{10d8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Within_Flag}@anchor{ba2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Within_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Marks_List}@anchor{10d9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Marks_List}@anchor{ba3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Marks_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Marks_List}@anchor{10da}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Marks_List}@anchor{ba4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Marks_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Alias_Flag}@anchor{10db}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Alias_Flag}@anchor{ba5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implicit_Alias_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Alias_Flag}@anchor{10dc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Alias_Flag}@anchor{ba6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implicit_Alias_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alias_Signature}@anchor{10dd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alias_Signature}@anchor{ba7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Alias_Signature (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alias_Signature}@anchor{10de}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alias_Signature}@anchor{ba8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Alias_Signature (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Signature}@anchor{10df}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Signature}@anchor{ba9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Signature (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Signature}@anchor{10e0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Signature}@anchor{baa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Signature (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_List}@anchor{10e1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_List}@anchor{bab}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Overload_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_List}@anchor{10e2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_List}@anchor{bac}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Overload_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Identifier}@anchor{10e3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Identifier}@anchor{bad}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Name_Identifier (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Identifier}@anchor{10e4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Identifier}@anchor{bae}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Name_Identifier (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{NameId}) –
+@end itemize
@end deffn
@geindex Get_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Subtype}@anchor{10e5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Subtype}@anchor{baf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Name_Subtype (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Subtype}@anchor{10e6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Subtype}@anchor{bb0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Name_Subtype (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Body}@anchor{10e7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Body}@anchor{bb1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Protected_Type_Body (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Body}@anchor{10e8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Body}@anchor{bb2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Protected_Type_Body (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Declaration}@anchor{10e9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Declaration}@anchor{bb3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Protected_Type_Declaration (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Declaration}@anchor{10ea}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Declaration}@anchor{bb4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Protected_Type_Declaration (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Flag}@anchor{10eb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Flag}@anchor{bb5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Use_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Flag}@anchor{10ec}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Flag}@anchor{bb6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Use_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Elaborated_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elaborated_Flag}@anchor{bb7}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Elaborated_Flag (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
+
+@end deffn
+
+@geindex Set_Elaborated_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elaborated_Flag}@anchor{bb8}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Elaborated_Flag (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Reserved_Id}@anchor{10ed}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Reserved_Id}@anchor{bb9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Reserved_Id (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Reserved_Id}@anchor{10ee}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Reserved_Id}@anchor{bba}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Reserved_Id (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Identifier}@anchor{10ef}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Identifier}@anchor{bbb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Identifier (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Identifier}@anchor{10f0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Identifier}@anchor{bbc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Identifier (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Postponed}@anchor{10f1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Postponed}@anchor{bbd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Postponed (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Postponed}@anchor{10f2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Postponed}@anchor{bbe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Postponed (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Label}@anchor{10f3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Label}@anchor{bbf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Label (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Label}@anchor{10f4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Label}@anchor{bc0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Label (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Begin}@anchor{10f5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Begin}@anchor{bc1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Begin (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Begin}@anchor{10f6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Begin}@anchor{bc2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Begin (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_End() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_End}@anchor{10f7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_End}@anchor{bc3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_End (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_End() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_End}@anchor{10f8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_End}@anchor{bc4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_End (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Is}@anchor{10f9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Is}@anchor{bc5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Is (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Is}@anchor{10fa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Is}@anchor{bc6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Is (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Pure}@anchor{10fb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Pure}@anchor{bc7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Pure (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Pure}@anchor{10fc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Pure}@anchor{bc8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Pure (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Body}@anchor{10fd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Body}@anchor{bc9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Body (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Body}@anchor{10fe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Body}@anchor{bca}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Body (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Parameter}@anchor{10ff}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Parameter}@anchor{bcb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Parameter (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Parameter}@anchor{1100}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Parameter}@anchor{bcc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Parameter (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Component}@anchor{1101}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Component}@anchor{bcd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Component (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Component}@anchor{1102}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Component}@anchor{bce}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Component (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Identifier_List}@anchor{1103}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Identifier_List}@anchor{bcf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Identifier_List (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Identifier_List}@anchor{1104}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Identifier_List}@anchor{bd0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Identifier_List (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Mode}@anchor{1105}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Mode}@anchor{bd1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Mode (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Mode}@anchor{1106}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Mode}@anchor{bd2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Mode (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Class}@anchor{1107}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Class}@anchor{bd3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Class (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Class}@anchor{1108}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Class}@anchor{bd4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Class (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Delay_Mechanism}@anchor{1109}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Delay_Mechanism}@anchor{bd5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Delay_Mechanism (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Delay_Mechanism}@anchor{110a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Delay_Mechanism}@anchor{bd6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Delay_Mechanism (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suspend_Flag}@anchor{110b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suspend_Flag}@anchor{bd7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suspend_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suspend_Flag}@anchor{110c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suspend_Flag}@anchor{bd8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suspend_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Stop_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Stop_Flag}@anchor{bd9}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Stop_Flag (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
+
+@end deffn
+
+@geindex Set_Stop_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Stop_Flag}@anchor{bda}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Stop_Flag (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Ref}@anchor{110d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Ref}@anchor{bdb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Ref (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Ref}@anchor{110e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Ref}@anchor{bdc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Ref (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Forward_Ref}@anchor{110f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Forward_Ref}@anchor{bdd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Forward_Ref (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Forward_Ref}@anchor{1110}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Forward_Ref}@anchor{bde}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Forward_Ref (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Property}@anchor{1111}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Property}@anchor{bdf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Property (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Property}@anchor{1112}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Property}@anchor{be0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Property (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{PSLNode}) –
+@end itemize
@end deffn
@geindex Get_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Sequence}@anchor{1113}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Sequence}@anchor{be1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Sequence (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Sequence}@anchor{1114}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Sequence}@anchor{be2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Sequence (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{PSLNode}) –
+@end itemize
@end deffn
@geindex Get_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Declaration}@anchor{1115}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Declaration}@anchor{be3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Declaration (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Declaration}@anchor{1116}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Declaration}@anchor{be4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Declaration (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{PSLNode}) –
+@end itemize
@end deffn
@geindex Get_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Expression}@anchor{1117}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Expression}@anchor{be5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Expression}@anchor{1118}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Expression}@anchor{be6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{PSLNode}) –
+@end itemize
@end deffn
@geindex Get_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Boolean}@anchor{1119}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Boolean}@anchor{be7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Boolean (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Boolean}@anchor{111a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Boolean}@anchor{be8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Boolean (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{PSLNode}) –
+@end itemize
@end deffn
@geindex Get_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock}@anchor{111b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock}@anchor{be9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Clock (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock}@anchor{111c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock}@anchor{bea}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Clock (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{PSLNode}) –
+@end itemize
@end deffn
@geindex Get_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_NFA}@anchor{111d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_NFA}@anchor{beb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_NFA (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNFA}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{PSLNFA}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_NFA}@anchor{111e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_NFA}@anchor{bec}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_NFA (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{PSLNFA}) –
+@end itemize
@end deffn
@geindex Get_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Nbr_States}@anchor{111f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Nbr_States}@anchor{bed}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Nbr_States (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Nbr_States}@anchor{1120}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Nbr_States}@anchor{bee}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Nbr_States (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Int32}) –
+@end itemize
@end deffn
@geindex Get_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock_Sensitivity}@anchor{1121}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock_Sensitivity}@anchor{bef}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Clock_Sensitivity (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock_Sensitivity}@anchor{1122}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock_Sensitivity}@anchor{bf0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Clock_Sensitivity (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_EOS_Flag}@anchor{1123}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_EOS_Flag}@anchor{bf1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_EOS_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_EOS_Flag}@anchor{1124}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_EOS_Flag}@anchor{bf2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_EOS_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_PSL_Abort_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Abort_Flag}@anchor{1125}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Abort_Flag}@anchor{bf3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Abort_Flag (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_bool})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_PSL_Abort_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Abort_Flag}@anchor{1126}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Abort_Flag}@anchor{bf4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Abort_Flag (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Boolean}) –
+@end itemize
@end deffn
@geindex Get_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Count_Expression}@anchor{1127}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Count_Expression}@anchor{bf5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Count_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Count_Expression}@anchor{1128}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Count_Expression}@anchor{bf6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Count_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Clock_Expression}@anchor{1129}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Clock_Expression}@anchor{bf7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Clock_Expression (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Clock_Expression}@anchor{112a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Clock_Expression}@anchor{bf8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Clock_Expression (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Clock}@anchor{112b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Clock}@anchor{bf9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Clock (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Clock}@anchor{112c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Clock}@anchor{bfa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Clock (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
@end deffn
@geindex Get_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Node}@anchor{112d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Node}@anchor{bfb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Foreign_Node (obj)
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
@geindex Set_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Node}@anchor{112e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Node}@anchor{bfc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Foreign_Node (obj, value)
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Int32}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Suspend_State_Index() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suspend_State_Index}@anchor{bfd}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suspend_State_Index (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
@end deffn
+@geindex Set_Suspend_State_Index() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suspend_State_Index}@anchor{bfe}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suspend_State_Index (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Int32}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Suspend_State_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suspend_State_Chain}@anchor{bff}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suspend_State_Chain (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
+
+@end deffn
+
+@geindex Set_Suspend_State_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suspend_State_Chain}@anchor{c00}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suspend_State_Chain (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Suspend_State_Last() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suspend_State_Last}@anchor{c01}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suspend_State_Last (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
+
+@end deffn
+
+@geindex Set_Suspend_State_Last() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suspend_State_Last}@anchor{c02}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suspend_State_Last (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
+
+@end deffn
+
+@geindex Get_Suspend_State_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suspend_State_Decl}@anchor{c03}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suspend_State_Decl (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
+
+
+@*Parameters:
+@code{obj} (@code{Iir}) –
+
+@end deffn
+
+@geindex Set_Suspend_State_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suspend_State_Decl}@anchor{c04}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suspend_State_Decl (obj, value)
+
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{obj} (@code{Iir}) –
+
+@item
+@code{value} (@code{Iir}) –
+@end itemize
+
+@end deffn
+
+
+__________________________________________________________________
+
+
+`Classes'
+
+@geindex Iir_Kind (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind}@anchor{815}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Kind (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-0536f252af06a368bc36c20bb81a8c947bca0593,,,[graphviz],png}
+@end deffn
+
+@geindex Iir_Kinds (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds}@anchor{c05}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Kinds
+
+@subsubheading Inheritance
+
+@image{inheritance-7aae59ce66161c45b21f1d5e3a261ab8ab70dc23,,,[graphviz],png}
+@end deffn
+
+@geindex Iir_Mode (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode}@anchor{c06}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Mode (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-57f0e8b91c82de6e3d36a4b236243881a88e9ef0,,,[graphviz],png}
+@end deffn
+
+@geindex ScalarSize (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize}@anchor{c07}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.ScalarSize (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-a972576b8ee6b48a52e5c660f6447358e158a729,,,[graphviz],png}
+@end deffn
+
+@geindex Iir_Staticness (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness}@anchor{c08}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Staticness (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-db07abb420e4718f52f1368d69a61c7dd76fa7b3,,,[graphviz],png}
+@end deffn
+
+@geindex Iir_Constraint (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint}@anchor{c09}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Constraint (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-3c6fff0768560cf9a11966724863aec2dd21dc4b,,,[graphviz],png}
+@end deffn
+
+@geindex Iir_Delay_Mechanism (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism}@anchor{c0a}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-07b7eb2228e746ff9416842de6ae497243ba0654,,,[graphviz],png}
+@end deffn
+
+@geindex DateStateType (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType}@anchor{c0b}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.DateStateType (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-0aae8686a611164fb74d0f660cfa506abfe13c5a,,,[graphviz],png}
+@end deffn
+
+@geindex NumberBaseType (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType}@anchor{c0c}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.NumberBaseType (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-32a2358250014933b8c7cec5d2f0d4b4fa056b82,,,[graphviz],png}
+@end deffn
+
+@geindex Iir_Predefined (class in pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined}@anchor{c0d}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Predefined (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-40c5a039f3180d99d1b6b290eec3577f7e9ba2bb,,,[graphviz],png}
+@end deffn
+
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -47068,6710 +48451,6387 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta doc}@anchor{156d}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta module-pyGHDL libghdl vhdl nodes_meta}@anchor{30}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyghdl-libghdl-vhdl-nodes-meta}@anchor{156e}
-@subsubsection pyGHDL.libghdl.vhdl.nodes_meta
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta doc}@anchor{c0f}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta module-pyGHDL libghdl vhdl nodes_meta}@anchor{32}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyghdl-libghdl-vhdl-nodes-meta}@anchor{c10}
+@subsubsection @code{pyGHDL.libghdl.vhdl.nodes_meta}
@geindex module; pyGHDL.libghdl.vhdl.nodes_meta
-@c #-----------------------------------
-
-@strong{Classes}
+`Functions'
@itemize -
@item
-@ref{156f,,types}:
-An enumeration.
-
-@item
-@ref{1570,,Attr}:
-An enumeration.
-
-@item
-@ref{1571,,fields}:
-An enumeration.
-@end itemize
-
-@strong{Functions}
-
-
-@itemize -
-
-@item
-@ref{1572,,get_fields_first()}:
+@ref{c11,,get_fields_first()}:
Return the list of fields for node @code{K}.
@item
-@ref{1573,,get_fields_last()}:
+@ref{c12,,get_fields_last()}:
Return the list of fields for node @code{K}.
@item
-@ref{1574,,get_field_by_index()}:
+@ref{c13,,get_field_by_index()}:
@item
-@ref{1575,,get_field_type()}:
+@ref{c14,,get_field_type()}:
Undocumented.
@item
-@ref{1576,,get_field_attribute()}:
+@ref{c15,,get_field_attribute()}:
Undocumented.
@item
-@ref{1577,,Has_First_Design_Unit()}:
+@ref{c16,,Has_First_Design_Unit()}:
@item
-@ref{1578,,Has_Last_Design_Unit()}:
+@ref{c17,,Has_Last_Design_Unit()}:
@item
-@ref{1579,,Has_Library_Declaration()}:
+@ref{c18,,Has_Library_Declaration()}:
@item
-@ref{157a,,Has_File_Checksum()}:
+@ref{c19,,Has_File_Checksum()}:
@item
-@ref{157b,,Has_Analysis_Time_Stamp()}:
+@ref{c1a,,Has_Analysis_Time_Stamp()}:
@item
-@ref{157c,,Has_Design_File_Source()}:
+@ref{c1b,,Has_Design_File_Source()}:
@item
-@ref{157d,,Has_Library()}:
+@ref{c1c,,Has_Library()}:
@item
-@ref{157e,,Has_File_Dependence_List()}:
+@ref{c1d,,Has_File_Dependence_List()}:
@item
-@ref{157f,,Has_Design_File_Filename()}:
+@ref{c1e,,Has_Design_File_Filename()}:
@item
-@ref{1580,,Has_Design_File_Directory()}:
+@ref{c1f,,Has_Design_File_Directory()}:
@item
-@ref{1581,,Has_Design_File()}:
+@ref{c20,,Has_Design_File()}:
@item
-@ref{1582,,Has_Design_File_Chain()}:
+@ref{c21,,Has_Design_File_Chain()}:
@item
-@ref{1583,,Has_Library_Directory()}:
+@ref{c22,,Has_Library_Directory()}:
@item
-@ref{1584,,Has_Date()}:
+@ref{c23,,Has_Date()}:
@item
-@ref{1585,,Has_Context_Items()}:
+@ref{c24,,Has_Context_Items()}:
@item
-@ref{1586,,Has_Dependence_List()}:
+@ref{c25,,Has_Dependence_List()}:
@item
-@ref{1587,,Has_Analysis_Checks_List()}:
+@ref{c26,,Has_Analysis_Checks_List()}:
@item
-@ref{1588,,Has_Date_State()}:
+@ref{c27,,Has_Date_State()}:
@item
-@ref{1589,,Has_Guarded_Target_State()}:
+@ref{c28,,Has_Guarded_Target_State()}:
@item
-@ref{158a,,Has_Library_Unit()}:
+@ref{c29,,Has_Library_Unit()}:
@item
-@ref{158b,,Has_Hash_Chain()}:
+@ref{c2a,,Has_Hash_Chain()}:
@item
-@ref{158c,,Has_Design_Unit_Source_Pos()}:
+@ref{c2b,,Has_Design_Unit_Source_Pos()}:
@item
-@ref{158d,,Has_Design_Unit_Source_Line()}:
+@ref{c2c,,Has_Design_Unit_Source_Line()}:
@item
-@ref{158e,,Has_Design_Unit_Source_Col()}:
+@ref{c2d,,Has_Design_Unit_Source_Col()}:
@item
-@ref{158f,,Has_Value()}:
+@ref{c2e,,Has_Value()}:
@item
-@ref{1590,,Has_Enum_Pos()}:
+@ref{c2f,,Has_Enum_Pos()}:
@item
-@ref{1591,,Has_Physical_Literal()}:
+@ref{c30,,Has_Physical_Literal()}:
@item
-@ref{1592,,Has_Fp_Value()}:
+@ref{c31,,Has_Fp_Value()}:
@item
-@ref{1593,,Has_Simple_Aggregate_List()}:
+@ref{c32,,Has_Simple_Aggregate_List()}:
@item
-@ref{1594,,Has_String8_Id()}:
+@ref{c33,,Has_String8_Id()}:
@item
-@ref{1595,,Has_String_Length()}:
+@ref{c34,,Has_String_Length()}:
@item
-@ref{1596,,Has_Bit_String_Base()}:
+@ref{c35,,Has_Bit_String_Base()}:
@item
-@ref{1597,,Has_Has_Signed()}:
+@ref{c36,,Has_Has_Signed()}:
@item
-@ref{1598,,Has_Has_Sign()}:
+@ref{c37,,Has_Has_Sign()}:
@item
-@ref{1599,,Has_Has_Length()}:
+@ref{c38,,Has_Has_Length()}:
@item
-@ref{159a,,Has_Literal_Length()}:
+@ref{c39,,Has_Literal_Length()}:
@item
-@ref{159b,,Has_Literal_Origin()}:
+@ref{c3a,,Has_Literal_Origin()}:
@item
-@ref{159c,,Has_Range_Origin()}:
+@ref{c3b,,Has_Range_Origin()}:
@item
-@ref{159d,,Has_Literal_Subtype()}:
+@ref{c3c,,Has_Literal_Subtype()}:
@item
-@ref{159e,,Has_Allocator_Subtype()}:
+@ref{c3d,,Has_Allocator_Subtype()}:
@item
-@ref{159f,,Has_Entity_Class()}:
+@ref{c3e,,Has_Entity_Class()}:
@item
-@ref{15a0,,Has_Entity_Name_List()}:
+@ref{c3f,,Has_Entity_Name_List()}:
@item
-@ref{15a1,,Has_Attribute_Designator()}:
+@ref{c40,,Has_Attribute_Designator()}:
@item
-@ref{15a2,,Has_Attribute_Specification_Chain()}:
+@ref{c41,,Has_Attribute_Specification_Chain()}:
@item
-@ref{15a3,,Has_Attribute_Specification()}:
+@ref{c42,,Has_Attribute_Specification()}:
@item
-@ref{15a4,,Has_Static_Attribute_Flag()}:
+@ref{c43,,Has_Static_Attribute_Flag()}:
@item
-@ref{15a5,,Has_Signal_List()}:
+@ref{c44,,Has_Signal_List()}:
@item
-@ref{15a6,,Has_Quantity_List()}:
+@ref{c45,,Has_Quantity_List()}:
@item
-@ref{15a7,,Has_Designated_Entity()}:
+@ref{c46,,Has_Designated_Entity()}:
@item
-@ref{15a8,,Has_Formal()}:
+@ref{c47,,Has_Formal()}:
@item
-@ref{15a9,,Has_Actual()}:
+@ref{c48,,Has_Actual()}:
@item
-@ref{15aa,,Has_Actual_Conversion()}:
+@ref{c49,,Has_Open_Actual()}:
@item
-@ref{15ab,,Has_Formal_Conversion()}:
+@ref{c4a,,Has_Actual_Conversion()}:
@item
-@ref{15ac,,Has_Whole_Association_Flag()}:
+@ref{c4b,,Has_Formal_Conversion()}:
@item
-@ref{15ad,,Has_Collapse_Signal_Flag()}:
+@ref{c4c,,Has_Whole_Association_Flag()}:
@item
-@ref{15ae,,Has_Artificial_Flag()}:
+@ref{c4d,,Has_Collapse_Signal_Flag()}:
@item
-@ref{15af,,Has_Open_Flag()}:
+@ref{c4e,,Has_Artificial_Flag()}:
@item
-@ref{15b0,,Has_After_Drivers_Flag()}:
+@ref{c4f,,Has_Open_Flag()}:
@item
-@ref{15b1,,Has_We_Value()}:
+@ref{c50,,Has_After_Drivers_Flag()}:
@item
-@ref{15b2,,Has_Time()}:
+@ref{c51,,Has_We_Value()}:
@item
-@ref{15b3,,Has_Associated_Expr()}:
+@ref{c52,,Has_Time()}:
@item
-@ref{15b4,,Has_Associated_Block()}:
+@ref{c53,,Has_Associated_Expr()}:
@item
-@ref{15b5,,Has_Associated_Chain()}:
+@ref{c54,,Has_Associated_Block()}:
@item
-@ref{15b6,,Has_Choice_Name()}:
+@ref{c55,,Has_Associated_Chain()}:
@item
-@ref{15b7,,Has_Choice_Expression()}:
+@ref{c56,,Has_Choice_Name()}:
@item
-@ref{15b8,,Has_Choice_Range()}:
+@ref{c57,,Has_Choice_Expression()}:
@item
-@ref{15b9,,Has_Same_Alternative_Flag()}:
+@ref{c58,,Has_Choice_Range()}:
@item
-@ref{15ba,,Has_Element_Type_Flag()}:
+@ref{c59,,Has_Same_Alternative_Flag()}:
@item
-@ref{15bb,,Has_Architecture()}:
+@ref{c5a,,Has_Element_Type_Flag()}:
@item
-@ref{15bc,,Has_Block_Specification()}:
+@ref{c5b,,Has_Architecture()}:
@item
-@ref{15bd,,Has_Prev_Block_Configuration()}:
+@ref{c5c,,Has_Block_Specification()}:
@item
-@ref{15be,,Has_Configuration_Item_Chain()}:
+@ref{c5d,,Has_Prev_Block_Configuration()}:
@item
-@ref{15bf,,Has_Attribute_Value_Chain()}:
+@ref{c5e,,Has_Configuration_Item_Chain()}:
@item
-@ref{15c0,,Has_Spec_Chain()}:
+@ref{c5f,,Has_Attribute_Value_Chain()}:
@item
-@ref{15c1,,Has_Value_Chain()}:
+@ref{c60,,Has_Spec_Chain()}:
@item
-@ref{15c2,,Has_Attribute_Value_Spec_Chain()}:
+@ref{c61,,Has_Value_Chain()}:
@item
-@ref{15c3,,Has_Entity_Name()}:
+@ref{c62,,Has_Attribute_Value_Spec_Chain()}:
@item
-@ref{15c4,,Has_Package()}:
+@ref{c63,,Has_Entity_Name()}:
@item
-@ref{15c5,,Has_Package_Body()}:
+@ref{c64,,Has_Package()}:
@item
-@ref{15c6,,Has_Instance_Package_Body()}:
+@ref{c65,,Has_Package_Body()}:
@item
-@ref{15c7,,Has_Need_Body()}:
+@ref{c66,,Has_Instance_Package_Body()}:
@item
-@ref{15c8,,Has_Macro_Expanded_Flag()}:
+@ref{c67,,Has_Need_Body()}:
@item
-@ref{15c9,,Has_Need_Instance_Bodies()}:
+@ref{c68,,Has_Macro_Expanded_Flag()}:
@item
-@ref{15ca,,Has_Hierarchical_Name()}:
+@ref{c69,,Has_Need_Instance_Bodies()}:
@item
-@ref{15cb,,Has_Vunit_Item_Chain()}:
+@ref{c6a,,Has_Hierarchical_Name()}:
@item
-@ref{15cc,,Has_Bound_Vunit_Chain()}:
+@ref{c6b,,Has_Vunit_Item_Chain()}:
@item
-@ref{15cd,,Has_Verification_Block_Configuration()}:
+@ref{c6c,,Has_Bound_Vunit_Chain()}:
@item
-@ref{15ce,,Has_Block_Configuration()}:
+@ref{c6d,,Has_Verification_Block_Configuration()}:
@item
-@ref{15cf,,Has_Concurrent_Statement_Chain()}:
+@ref{c6e,,Has_Block_Configuration()}:
@item
-@ref{15d0,,Has_Chain()}:
+@ref{c6f,,Has_Concurrent_Statement_Chain()}:
@item
-@ref{15d1,,Has_Port_Chain()}:
+@ref{c70,,Has_Chain()}:
@item
-@ref{15d2,,Has_Generic_Chain()}:
+@ref{c71,,Has_Port_Chain()}:
@item
-@ref{15d3,,Has_Type()}:
+@ref{c72,,Has_Generic_Chain()}:
@item
-@ref{15d4,,Has_Subtype_Indication()}:
+@ref{c73,,Has_Type()}:
@item
-@ref{15d5,,Has_Discrete_Range()}:
+@ref{c74,,Has_Subtype_Indication()}:
@item
-@ref{15d6,,Has_Type_Definition()}:
+@ref{c75,,Has_Discrete_Range()}:
@item
-@ref{15d7,,Has_Subtype_Definition()}:
+@ref{c76,,Has_Type_Definition()}:
@item
-@ref{15d8,,Has_Incomplete_Type_Declaration()}:
+@ref{c77,,Has_Subtype_Definition()}:
@item
-@ref{15d9,,Has_Interface_Type_Subprograms()}:
+@ref{c78,,Has_Incomplete_Type_Declaration()}:
@item
-@ref{15da,,Has_Nature_Definition()}:
+@ref{c79,,Has_Interface_Type_Subprograms()}:
@item
-@ref{15db,,Has_Nature()}:
+@ref{c7a,,Has_Interface_Type_Definition()}:
@item
-@ref{15dc,,Has_Subnature_Indication()}:
+@ref{c7b,,Has_Nature_Definition()}:
@item
-@ref{15dd,,Has_Mode()}:
+@ref{c7c,,Has_Nature()}:
@item
-@ref{15de,,Has_Guarded_Signal_Flag()}:
+@ref{c7d,,Has_Subnature_Indication()}:
@item
-@ref{15df,,Has_Signal_Kind()}:
+@ref{c7e,,Has_Reference_Terminal_Flag()}:
@item
-@ref{15e0,,Has_Base_Name()}:
+@ref{c7f,,Has_Mode()}:
@item
-@ref{15e1,,Has_Interface_Declaration_Chain()}:
+@ref{c80,,Has_Guarded_Signal_Flag()}:
@item
-@ref{15e2,,Has_Subprogram_Specification()}:
+@ref{c81,,Has_Signal_Kind()}:
@item
-@ref{15e3,,Has_Sequential_Statement_Chain()}:
+@ref{c82,,Has_Base_Name()}:
@item
-@ref{15e4,,Has_Simultaneous_Statement_Chain()}:
+@ref{c83,,Has_Interface_Declaration_Chain()}:
@item
-@ref{15e5,,Has_Subprogram_Body()}:
+@ref{c84,,Has_Default_Subprogram()}:
@item
-@ref{15e6,,Has_Overload_Number()}:
+@ref{c85,,Has_Associated_Subprogram()}:
@item
-@ref{15e7,,Has_Subprogram_Depth()}:
+@ref{c86,,Has_Subprogram_Specification()}:
@item
-@ref{15e8,,Has_Subprogram_Hash()}:
+@ref{c87,,Has_Sequential_Statement_Chain()}:
@item
-@ref{15e9,,Has_Impure_Depth()}:
+@ref{c88,,Has_Simultaneous_Statement_Chain()}:
@item
-@ref{15ea,,Has_Return_Type()}:
+@ref{c89,,Has_Subprogram_Body()}:
@item
-@ref{15eb,,Has_Implicit_Definition()}:
+@ref{c8a,,Has_Overload_Number()}:
@item
-@ref{15ec,,Has_Uninstantiated_Subprogram_Name()}:
+@ref{c8b,,Has_Subprogram_Depth()}:
@item
-@ref{15ed,,Has_Default_Value()}:
+@ref{c8c,,Has_Subprogram_Hash()}:
@item
-@ref{15ee,,Has_Deferred_Declaration()}:
+@ref{c8d,,Has_Impure_Depth()}:
@item
-@ref{15ef,,Has_Deferred_Declaration_Flag()}:
+@ref{c8e,,Has_Return_Type()}:
@item
-@ref{15f0,,Has_Shared_Flag()}:
+@ref{c8f,,Has_Implicit_Definition()}:
@item
-@ref{15f1,,Has_Design_Unit()}:
+@ref{c90,,Has_Uninstantiated_Subprogram_Name()}:
@item
-@ref{15f2,,Has_Block_Statement()}:
+@ref{c91,,Has_Default_Value()}:
@item
-@ref{15f3,,Has_Signal_Driver()}:
+@ref{c92,,Has_Deferred_Declaration()}:
@item
-@ref{15f4,,Has_Declaration_Chain()}:
+@ref{c93,,Has_Deferred_Declaration_Flag()}:
@item
-@ref{15f5,,Has_File_Logical_Name()}:
+@ref{c94,,Has_Shared_Flag()}:
@item
-@ref{15f6,,Has_File_Open_Kind()}:
+@ref{c95,,Has_Design_Unit()}:
@item
-@ref{15f7,,Has_Element_Position()}:
+@ref{c96,,Has_Block_Statement()}:
@item
-@ref{15f8,,Has_Use_Clause_Chain()}:
+@ref{c97,,Has_Signal_Driver()}:
@item
-@ref{15f9,,Has_Context_Reference_Chain()}:
+@ref{c98,,Has_Declaration_Chain()}:
@item
-@ref{15fa,,Has_Inherit_Spec_Chain()}:
+@ref{c99,,Has_File_Logical_Name()}:
@item
-@ref{15fb,,Has_Selected_Name()}:
+@ref{c9a,,Has_File_Open_Kind()}:
@item
-@ref{15fc,,Has_Type_Declarator()}:
+@ref{c9b,,Has_Element_Position()}:
@item
-@ref{15fd,,Has_Complete_Type_Definition()}:
+@ref{c9c,,Has_Use_Clause_Chain()}:
@item
-@ref{15fe,,Has_Incomplete_Type_Ref_Chain()}:
+@ref{c9d,,Has_Context_Reference_Chain()}:
@item
-@ref{15ff,,Has_Associated_Type()}:
+@ref{c9e,,Has_Inherit_Spec_Chain()}:
@item
-@ref{1600,,Has_Enumeration_Literal_List()}:
+@ref{c9f,,Has_Selected_Name()}:
@item
-@ref{1601,,Has_Entity_Class_Entry_Chain()}:
+@ref{ca0,,Has_Type_Declarator()}:
@item
-@ref{1602,,Has_Group_Constituent_List()}:
+@ref{ca1,,Has_Complete_Type_Definition()}:
@item
-@ref{1603,,Has_Unit_Chain()}:
+@ref{ca2,,Has_Incomplete_Type_Ref_Chain()}:
@item
-@ref{1604,,Has_Primary_Unit()}:
+@ref{ca3,,Has_Associated_Type()}:
@item
-@ref{1605,,Has_Identifier()}:
+@ref{ca4,,Has_Enumeration_Literal_List()}:
@item
-@ref{1606,,Has_Label()}:
+@ref{ca5,,Has_Entity_Class_Entry_Chain()}:
@item
-@ref{1607,,Has_Visible_Flag()}:
+@ref{ca6,,Has_Group_Constituent_List()}:
@item
-@ref{1608,,Has_Range_Constraint()}:
+@ref{ca7,,Has_Unit_Chain()}:
@item
-@ref{1609,,Has_Direction()}:
+@ref{ca8,,Has_Primary_Unit()}:
@item
-@ref{160a,,Has_Left_Limit()}:
+@ref{ca9,,Has_Identifier()}:
@item
-@ref{160b,,Has_Right_Limit()}:
+@ref{caa,,Has_Label()}:
@item
-@ref{160c,,Has_Left_Limit_Expr()}:
+@ref{cab,,Has_Return_Identifier()}:
@item
-@ref{160d,,Has_Right_Limit_Expr()}:
+@ref{cac,,Has_Visible_Flag()}:
@item
-@ref{160e,,Has_Parent_Type()}:
+@ref{cad,,Has_Range_Constraint()}:
@item
-@ref{160f,,Has_Simple_Nature()}:
+@ref{cae,,Has_Direction()}:
@item
-@ref{1610,,Has_Base_Nature()}:
+@ref{caf,,Has_Left_Limit()}:
@item
-@ref{1611,,Has_Resolution_Indication()}:
+@ref{cb0,,Has_Right_Limit()}:
@item
-@ref{1612,,Has_Record_Element_Resolution_Chain()}:
+@ref{cb1,,Has_Left_Limit_Expr()}:
@item
-@ref{1613,,Has_Tolerance()}:
+@ref{cb2,,Has_Right_Limit_Expr()}:
@item
-@ref{1614,,Has_Plus_Terminal_Name()}:
+@ref{cb3,,Has_Parent_Type()}:
@item
-@ref{1615,,Has_Minus_Terminal_Name()}:
+@ref{cb4,,Has_Simple_Nature()}:
@item
-@ref{1616,,Has_Plus_Terminal()}:
+@ref{cb5,,Has_Base_Nature()}:
@item
-@ref{1617,,Has_Minus_Terminal()}:
+@ref{cb6,,Has_Resolution_Indication()}:
@item
-@ref{1618,,Has_Magnitude_Expression()}:
+@ref{cb7,,Has_Record_Element_Resolution_Chain()}:
@item
-@ref{1619,,Has_Phase_Expression()}:
+@ref{cb8,,Has_Tolerance()}:
@item
-@ref{161a,,Has_Power_Expression()}:
+@ref{cb9,,Has_Plus_Terminal_Name()}:
@item
-@ref{161b,,Has_Simultaneous_Left()}:
+@ref{cba,,Has_Minus_Terminal_Name()}:
@item
-@ref{161c,,Has_Simultaneous_Right()}:
+@ref{cbb,,Has_Plus_Terminal()}:
@item
-@ref{161d,,Has_Text_File_Flag()}:
+@ref{cbc,,Has_Minus_Terminal()}:
@item
-@ref{161e,,Has_Only_Characters_Flag()}:
+@ref{cbd,,Has_Magnitude_Expression()}:
@item
-@ref{161f,,Has_Is_Character_Type()}:
+@ref{cbe,,Has_Phase_Expression()}:
@item
-@ref{1620,,Has_Nature_Staticness()}:
+@ref{cbf,,Has_Power_Expression()}:
@item
-@ref{1621,,Has_Type_Staticness()}:
+@ref{cc0,,Has_Simultaneous_Left()}:
@item
-@ref{1622,,Has_Constraint_State()}:
+@ref{cc1,,Has_Simultaneous_Right()}:
@item
-@ref{1623,,Has_Index_Subtype_List()}:
+@ref{cc2,,Has_Text_File_Flag()}:
@item
-@ref{1624,,Has_Index_Subtype_Definition_List()}:
+@ref{cc3,,Has_Only_Characters_Flag()}:
@item
-@ref{1625,,Has_Element_Subtype_Indication()}:
+@ref{cc4,,Has_Is_Character_Type()}:
@item
-@ref{1626,,Has_Element_Subtype()}:
+@ref{cc5,,Has_Nature_Staticness()}:
@item
-@ref{1627,,Has_Element_Subnature_Indication()}:
+@ref{cc6,,Has_Type_Staticness()}:
@item
-@ref{1628,,Has_Element_Subnature()}:
+@ref{cc7,,Has_Constraint_State()}:
@item
-@ref{1629,,Has_Index_Constraint_List()}:
+@ref{cc8,,Has_Index_Subtype_List()}:
@item
-@ref{162a,,Has_Array_Element_Constraint()}:
+@ref{cc9,,Has_Index_Subtype_Definition_List()}:
@item
-@ref{162b,,Has_Has_Array_Constraint_Flag()}:
+@ref{cca,,Has_Element_Subtype_Indication()}:
@item
-@ref{162c,,Has_Has_Element_Constraint_Flag()}:
+@ref{ccb,,Has_Element_Subtype()}:
@item
-@ref{162d,,Has_Elements_Declaration_List()}:
+@ref{ccc,,Has_Element_Subnature_Indication()}:
@item
-@ref{162e,,Has_Owned_Elements_Chain()}:
+@ref{ccd,,Has_Element_Subnature()}:
@item
-@ref{162f,,Has_Designated_Type()}:
+@ref{cce,,Has_Index_Constraint_List()}:
@item
-@ref{1630,,Has_Designated_Subtype_Indication()}:
+@ref{ccf,,Has_Array_Element_Constraint()}:
@item
-@ref{1631,,Has_Index_List()}:
+@ref{cd0,,Has_Has_Array_Constraint_Flag()}:
@item
-@ref{1632,,Has_Reference()}:
+@ref{cd1,,Has_Has_Element_Constraint_Flag()}:
@item
-@ref{1633,,Has_Nature_Declarator()}:
+@ref{cd2,,Has_Elements_Declaration_List()}:
@item
-@ref{1634,,Has_Across_Type_Mark()}:
+@ref{cd3,,Has_Owned_Elements_Chain()}:
@item
-@ref{1635,,Has_Through_Type_Mark()}:
+@ref{cd4,,Has_Designated_Type()}:
@item
-@ref{1636,,Has_Across_Type_Definition()}:
+@ref{cd5,,Has_Designated_Subtype_Indication()}:
@item
-@ref{1637,,Has_Through_Type_Definition()}:
+@ref{cd6,,Has_Index_List()}:
@item
-@ref{1638,,Has_Across_Type()}:
+@ref{cd7,,Has_Reference()}:
@item
-@ref{1639,,Has_Through_Type()}:
+@ref{cd8,,Has_Nature_Declarator()}:
@item
-@ref{163a,,Has_Target()}:
+@ref{cd9,,Has_Across_Type_Mark()}:
@item
-@ref{163b,,Has_Waveform_Chain()}:
+@ref{cda,,Has_Through_Type_Mark()}:
@item
-@ref{163c,,Has_Guard()}:
+@ref{cdb,,Has_Across_Type_Definition()}:
@item
-@ref{163d,,Has_Delay_Mechanism()}:
+@ref{cdc,,Has_Through_Type_Definition()}:
@item
-@ref{163e,,Has_Reject_Time_Expression()}:
+@ref{cdd,,Has_Across_Type()}:
@item
-@ref{163f,,Has_Force_Mode()}:
+@ref{cde,,Has_Through_Type()}:
@item
-@ref{1640,,Has_Has_Force_Mode()}:
+@ref{cdf,,Has_Target()}:
@item
-@ref{1641,,Has_Sensitivity_List()}:
+@ref{ce0,,Has_Waveform_Chain()}:
@item
-@ref{1642,,Has_Process_Origin()}:
+@ref{ce1,,Has_Guard()}:
@item
-@ref{1643,,Has_Package_Origin()}:
+@ref{ce2,,Has_Delay_Mechanism()}:
@item
-@ref{1644,,Has_Condition_Clause()}:
+@ref{ce3,,Has_Reject_Time_Expression()}:
@item
-@ref{1645,,Has_Break_Element()}:
+@ref{ce4,,Has_Force_Mode()}:
@item
-@ref{1646,,Has_Selector_Quantity()}:
+@ref{ce5,,Has_Has_Force_Mode()}:
@item
-@ref{1647,,Has_Break_Quantity()}:
+@ref{ce6,,Has_Sensitivity_List()}:
@item
-@ref{1648,,Has_Timeout_Clause()}:
+@ref{ce7,,Has_Process_Origin()}:
@item
-@ref{1649,,Has_Postponed_Flag()}:
+@ref{ce8,,Has_Package_Origin()}:
@item
-@ref{164a,,Has_Callees_List()}:
+@ref{ce9,,Has_Condition_Clause()}:
@item
-@ref{164b,,Has_Passive_Flag()}:
+@ref{cea,,Has_Break_Element()}:
@item
-@ref{164c,,Has_Resolution_Function_Flag()}:
+@ref{ceb,,Has_Selector_Quantity()}:
@item
-@ref{164d,,Has_Wait_State()}:
+@ref{cec,,Has_Break_Quantity()}:
@item
-@ref{164e,,Has_All_Sensitized_State()}:
+@ref{ced,,Has_Timeout_Clause()}:
@item
-@ref{164f,,Has_Seen_Flag()}:
+@ref{cee,,Has_Postponed_Flag()}:
@item
-@ref{1650,,Has_Pure_Flag()}:
+@ref{cef,,Has_Callees_List()}:
@item
-@ref{1651,,Has_Foreign_Flag()}:
+@ref{cf0,,Has_Passive_Flag()}:
@item
-@ref{1652,,Has_Resolved_Flag()}:
+@ref{cf1,,Has_Resolution_Function_Flag()}:
@item
-@ref{1653,,Has_Signal_Type_Flag()}:
+@ref{cf2,,Has_Wait_State()}:
@item
-@ref{1654,,Has_Has_Signal_Flag()}:
+@ref{cf3,,Has_All_Sensitized_State()}:
@item
-@ref{1655,,Has_Purity_State()}:
+@ref{cf4,,Has_Seen_Flag()}:
@item
-@ref{1656,,Has_Elab_Flag()}:
+@ref{cf5,,Has_Pure_Flag()}:
@item
-@ref{1657,,Has_Vendor_Library_Flag()}:
+@ref{cf6,,Has_Foreign_Flag()}:
@item
-@ref{1658,,Has_Configuration_Mark_Flag()}:
+@ref{cf7,,Has_Resolved_Flag()}:
@item
-@ref{1659,,Has_Configuration_Done_Flag()}:
+@ref{cf8,,Has_Signal_Type_Flag()}:
@item
-@ref{165a,,Has_Index_Constraint_Flag()}:
+@ref{cf9,,Has_Has_Signal_Flag()}:
@item
-@ref{165b,,Has_Hide_Implicit_Flag()}:
+@ref{cfa,,Has_Purity_State()}:
@item
-@ref{165c,,Has_Assertion_Condition()}:
+@ref{cfb,,Has_Elab_Flag()}:
@item
-@ref{165d,,Has_Report_Expression()}:
+@ref{cfc,,Has_Vendor_Library_Flag()}:
@item
-@ref{165e,,Has_Severity_Expression()}:
+@ref{cfd,,Has_Configuration_Mark_Flag()}:
@item
-@ref{165f,,Has_Instantiated_Unit()}:
+@ref{cfe,,Has_Configuration_Done_Flag()}:
@item
-@ref{1660,,Has_Generic_Map_Aspect_Chain()}:
+@ref{cff,,Has_Index_Constraint_Flag()}:
@item
-@ref{1661,,Has_Port_Map_Aspect_Chain()}:
+@ref{d00,,Has_Hide_Implicit_Flag()}:
@item
-@ref{1662,,Has_Configuration_Name()}:
+@ref{d01,,Has_Assertion_Condition()}:
@item
-@ref{1663,,Has_Component_Configuration()}:
+@ref{d02,,Has_Report_Expression()}:
@item
-@ref{1664,,Has_Configuration_Specification()}:
+@ref{d03,,Has_Severity_Expression()}:
@item
-@ref{1665,,Has_Default_Binding_Indication()}:
+@ref{d04,,Has_Instantiated_Unit()}:
@item
-@ref{1666,,Has_Default_Configuration_Declaration()}:
+@ref{d05,,Has_Instantiated_Header()}:
@item
-@ref{1667,,Has_Expression()}:
+@ref{d06,,Has_Generic_Map_Aspect_Chain()}:
@item
-@ref{1668,,Has_Conditional_Expression_Chain()}:
+@ref{d07,,Has_Port_Map_Aspect_Chain()}:
@item
-@ref{1669,,Has_Allocator_Designated_Type()}:
+@ref{d08,,Has_Configuration_Name()}:
@item
-@ref{166a,,Has_Selected_Waveform_Chain()}:
+@ref{d09,,Has_Component_Configuration()}:
@item
-@ref{166b,,Has_Conditional_Waveform_Chain()}:
+@ref{d0a,,Has_Configuration_Specification()}:
@item
-@ref{166c,,Has_Guard_Expression()}:
+@ref{d0b,,Has_Default_Binding_Indication()}:
@item
-@ref{166d,,Has_Guard_Decl()}:
+@ref{d0c,,Has_Default_Configuration_Declaration()}:
@item
-@ref{166e,,Has_Guard_Sensitivity_List()}:
+@ref{d0d,,Has_Expression()}:
@item
-@ref{166f,,Has_Signal_Attribute_Chain()}:
+@ref{d0e,,Has_Conditional_Expression_Chain()}:
@item
-@ref{1670,,Has_Block_Block_Configuration()}:
+@ref{d0f,,Has_Allocator_Designated_Type()}:
@item
-@ref{1671,,Has_Package_Header()}:
+@ref{d10,,Has_Selected_Waveform_Chain()}:
@item
-@ref{1672,,Has_Block_Header()}:
+@ref{d11,,Has_Conditional_Waveform_Chain()}:
@item
-@ref{1673,,Has_Uninstantiated_Package_Name()}:
+@ref{d12,,Has_Guard_Expression()}:
@item
-@ref{1674,,Has_Uninstantiated_Package_Decl()}:
+@ref{d13,,Has_Guard_Decl()}:
@item
-@ref{1675,,Has_Instance_Source_File()}:
+@ref{d14,,Has_Guard_Sensitivity_List()}:
@item
-@ref{1676,,Has_Generate_Block_Configuration()}:
+@ref{d15,,Has_Attribute_Implicit_Chain()}:
@item
-@ref{1677,,Has_Generate_Statement_Body()}:
+@ref{d16,,Has_Block_Block_Configuration()}:
@item
-@ref{1678,,Has_Alternative_Label()}:
+@ref{d17,,Has_Package_Header()}:
@item
-@ref{1679,,Has_Generate_Else_Clause()}:
+@ref{d18,,Has_Block_Header()}:
@item
-@ref{167a,,Has_Condition()}:
+@ref{d19,,Has_Uninstantiated_Package_Name()}:
@item
-@ref{167b,,Has_Else_Clause()}:
+@ref{d1a,,Has_Uninstantiated_Package_Decl()}:
@item
-@ref{167c,,Has_Parameter_Specification()}:
+@ref{d1b,,Has_Associated_Package()}:
@item
-@ref{167d,,Has_Parent()}:
+@ref{d1c,,Has_Instance_Source_File()}:
@item
-@ref{167e,,Has_Loop_Label()}:
+@ref{d1d,,Has_Generate_Block_Configuration()}:
@item
-@ref{167f,,Has_Exit_Flag()}:
+@ref{d1e,,Has_Generate_Statement_Body()}:
@item
-@ref{1680,,Has_Next_Flag()}:
+@ref{d1f,,Has_Alternative_Label()}:
@item
-@ref{1681,,Has_Component_Name()}:
+@ref{d20,,Has_Generate_Else_Clause()}:
@item
-@ref{1682,,Has_Instantiation_List()}:
+@ref{d21,,Has_Condition()}:
@item
-@ref{1683,,Has_Entity_Aspect()}:
+@ref{d22,,Has_Else_Clause()}:
@item
-@ref{1684,,Has_Default_Entity_Aspect()}:
+@ref{d23,,Has_Parameter_Specification()}:
@item
-@ref{1685,,Has_Binding_Indication()}:
+@ref{d24,,Has_Parent()}:
@item
-@ref{1686,,Has_Named_Entity()}:
+@ref{d25,,Has_Loop_Label()}:
@item
-@ref{1687,,Has_Referenced_Name()}:
+@ref{d26,,Has_Exit_Flag()}:
@item
-@ref{1688,,Has_Expr_Staticness()}:
+@ref{d27,,Has_Next_Flag()}:
@item
-@ref{1689,,Has_Scalar_Size()}:
+@ref{d28,,Has_Component_Name()}:
@item
-@ref{168a,,Has_Error_Origin()}:
+@ref{d29,,Has_Instantiation_List()}:
@item
-@ref{168b,,Has_Operand()}:
+@ref{d2a,,Has_Entity_Aspect()}:
@item
-@ref{168c,,Has_Left()}:
+@ref{d2b,,Has_Default_Entity_Aspect()}:
@item
-@ref{168d,,Has_Right()}:
+@ref{d2c,,Has_Binding_Indication()}:
@item
-@ref{168e,,Has_Unit_Name()}:
+@ref{d2d,,Has_Named_Entity()}:
@item
-@ref{168f,,Has_Name()}:
+@ref{d2e,,Has_Referenced_Name()}:
@item
-@ref{1690,,Has_Group_Template_Name()}:
+@ref{d2f,,Has_Expr_Staticness()}:
@item
-@ref{1691,,Has_Name_Staticness()}:
+@ref{d30,,Has_Scalar_Size()}:
@item
-@ref{1692,,Has_Prefix()}:
+@ref{d31,,Has_Error_Origin()}:
@item
-@ref{1693,,Has_Signature_Prefix()}:
+@ref{d32,,Has_Operand()}:
@item
-@ref{1694,,Has_External_Pathname()}:
+@ref{d33,,Has_Left()}:
@item
-@ref{1695,,Has_Pathname_Suffix()}:
+@ref{d34,,Has_Right()}:
@item
-@ref{1696,,Has_Pathname_Expression()}:
+@ref{d35,,Has_Unit_Name()}:
@item
-@ref{1697,,Has_In_Formal_Flag()}:
+@ref{d36,,Has_Name()}:
@item
-@ref{1698,,Has_Slice_Subtype()}:
+@ref{d37,,Has_Group_Template_Name()}:
@item
-@ref{1699,,Has_Suffix()}:
+@ref{d38,,Has_Name_Staticness()}:
@item
-@ref{169a,,Has_Index_Subtype()}:
+@ref{d39,,Has_Prefix()}:
@item
-@ref{169b,,Has_Parameter()}:
+@ref{d3a,,Has_Signature_Prefix()}:
@item
-@ref{169c,,Has_Parameter_2()}:
+@ref{d3b,,Has_External_Pathname()}:
@item
-@ref{169d,,Has_Parameter_3()}:
+@ref{d3c,,Has_Pathname_Suffix()}:
@item
-@ref{169e,,Has_Parameter_4()}:
+@ref{d3d,,Has_Pathname_Expression()}:
@item
-@ref{169f,,Has_Attr_Chain()}:
+@ref{d3e,,Has_In_Formal_Flag()}:
@item
-@ref{16a0,,Has_Signal_Attribute_Declaration()}:
+@ref{d3f,,Has_Inertial_Flag()}:
@item
-@ref{16a1,,Has_Actual_Type()}:
+@ref{d40,,Has_Slice_Subtype()}:
@item
-@ref{16a2,,Has_Actual_Type_Definition()}:
+@ref{d41,,Has_Suffix()}:
@item
-@ref{16a3,,Has_Association_Chain()}:
+@ref{d42,,Has_Index_Subtype()}:
@item
-@ref{16a4,,Has_Individual_Association_Chain()}:
+@ref{d43,,Has_Parameter()}:
@item
-@ref{16a5,,Has_Subprogram_Association_Chain()}:
+@ref{d44,,Has_Parameter_2()}:
@item
-@ref{16a6,,Has_Aggregate_Info()}:
+@ref{d45,,Has_Parameter_3()}:
@item
-@ref{16a7,,Has_Sub_Aggregate_Info()}:
+@ref{d46,,Has_Parameter_4()}:
@item
-@ref{16a8,,Has_Aggr_Dynamic_Flag()}:
+@ref{d47,,Has_Attr_Chain()}:
@item
-@ref{16a9,,Has_Aggr_Min_Length()}:
+@ref{d48,,Has_Attribute_Implicit_Declaration()}:
@item
-@ref{16aa,,Has_Aggr_Low_Limit()}:
+@ref{d49,,Has_Actual_Type()}:
@item
-@ref{16ab,,Has_Aggr_High_Limit()}:
+@ref{d4a,,Has_Actual_Type_Definition()}:
@item
-@ref{16ac,,Has_Aggr_Others_Flag()}:
+@ref{d4b,,Has_Association_Chain()}:
@item
-@ref{16ad,,Has_Aggr_Named_Flag()}:
+@ref{d4c,,Has_Individual_Association_Chain()}:
@item
-@ref{16ae,,Has_Aggregate_Expand_Flag()}:
+@ref{d4d,,Has_Subprogram_Association_Chain()}:
@item
-@ref{16af,,Has_Association_Choices_Chain()}:
+@ref{d4e,,Has_Aggregate_Info()}:
@item
-@ref{16b0,,Has_Case_Statement_Alternative_Chain()}:
+@ref{d4f,,Has_Sub_Aggregate_Info()}:
@item
-@ref{16b1,,Has_Matching_Flag()}:
+@ref{d50,,Has_Aggr_Dynamic_Flag()}:
@item
-@ref{16b2,,Has_Choice_Staticness()}:
+@ref{d51,,Has_Aggr_Min_Length()}:
@item
-@ref{16b3,,Has_Procedure_Call()}:
+@ref{d52,,Has_Aggr_Low_Limit()}:
@item
-@ref{16b4,,Has_Implementation()}:
+@ref{d53,,Has_Aggr_High_Limit()}:
@item
-@ref{16b5,,Has_Parameter_Association_Chain()}:
+@ref{d54,,Has_Aggr_Others_Flag()}:
@item
-@ref{16b6,,Has_Method_Object()}:
+@ref{d55,,Has_Aggr_Named_Flag()}:
@item
-@ref{16b7,,Has_Subtype_Type_Mark()}:
+@ref{d56,,Has_Aggregate_Expand_Flag()}:
@item
-@ref{16b8,,Has_Subnature_Nature_Mark()}:
+@ref{d57,,Has_Determined_Aggregate_Flag()}:
@item
-@ref{16b9,,Has_Type_Conversion_Subtype()}:
+@ref{d58,,Has_Association_Choices_Chain()}:
@item
-@ref{16ba,,Has_Type_Mark()}:
+@ref{d59,,Has_Case_Statement_Alternative_Chain()}:
@item
-@ref{16bb,,Has_File_Type_Mark()}:
+@ref{d5a,,Has_Matching_Flag()}:
@item
-@ref{16bc,,Has_Return_Type_Mark()}:
+@ref{d5b,,Has_Choice_Staticness()}:
@item
-@ref{16bd,,Has_Has_Disconnect_Flag()}:
+@ref{d5c,,Has_Procedure_Call()}:
@item
-@ref{16be,,Has_Has_Active_Flag()}:
+@ref{d5d,,Has_Implementation()}:
@item
-@ref{16bf,,Has_Is_Within_Flag()}:
+@ref{d5e,,Has_Parameter_Association_Chain()}:
@item
-@ref{16c0,,Has_Type_Marks_List()}:
+@ref{d5f,,Has_Method_Object()}:
@item
-@ref{16c1,,Has_Implicit_Alias_Flag()}:
+@ref{d60,,Has_Subtype_Type_Mark()}:
@item
-@ref{16c2,,Has_Alias_Signature()}:
+@ref{d61,,Has_Subnature_Nature_Mark()}:
@item
-@ref{16c3,,Has_Attribute_Signature()}:
+@ref{d62,,Has_Type_Conversion_Subtype()}:
@item
-@ref{16c4,,Has_Overload_List()}:
+@ref{d63,,Has_Type_Mark()}:
@item
-@ref{16c5,,Has_Simple_Name_Identifier()}:
+@ref{d64,,Has_File_Type_Mark()}:
@item
-@ref{16c6,,Has_Simple_Name_Subtype()}:
+@ref{d65,,Has_Return_Type_Mark()}:
@item
-@ref{16c7,,Has_Protected_Type_Body()}:
+@ref{d66,,Has_Has_Disconnect_Flag()}:
@item
-@ref{16c8,,Has_Protected_Type_Declaration()}:
+@ref{d67,,Has_Has_Active_Flag()}:
@item
-@ref{16c9,,Has_Use_Flag()}:
+@ref{d68,,Has_Is_Within_Flag()}:
@item
-@ref{16ca,,Has_End_Has_Reserved_Id()}:
+@ref{d69,,Has_Type_Marks_List()}:
@item
-@ref{16cb,,Has_End_Has_Identifier()}:
+@ref{d6a,,Has_Implicit_Alias_Flag()}:
@item
-@ref{16cc,,Has_End_Has_Postponed()}:
+@ref{d6b,,Has_Alias_Signature()}:
@item
-@ref{16cd,,Has_Has_Label()}:
+@ref{d6c,,Has_Attribute_Signature()}:
@item
-@ref{16ce,,Has_Has_Begin()}:
+@ref{d6d,,Has_Overload_List()}:
@item
-@ref{16cf,,Has_Has_End()}:
+@ref{d6e,,Has_Simple_Name_Identifier()}:
@item
-@ref{16d0,,Has_Has_Is()}:
+@ref{d6f,,Has_Simple_Name_Subtype()}:
@item
-@ref{16d1,,Has_Has_Pure()}:
+@ref{d70,,Has_Protected_Type_Body()}:
@item
-@ref{16d2,,Has_Has_Body()}:
+@ref{d71,,Has_Protected_Type_Declaration()}:
@item
-@ref{16d3,,Has_Has_Parameter()}:
+@ref{d72,,Has_Use_Flag()}:
@item
-@ref{16d4,,Has_Has_Component()}:
+@ref{d73,,Has_Elaborated_Flag()}:
@item
-@ref{16d5,,Has_Has_Identifier_List()}:
+@ref{d74,,Has_End_Has_Reserved_Id()}:
@item
-@ref{16d6,,Has_Has_Mode()}:
+@ref{d75,,Has_End_Has_Identifier()}:
@item
-@ref{16d7,,Has_Has_Class()}:
+@ref{d76,,Has_End_Has_Postponed()}:
@item
-@ref{16d8,,Has_Has_Delay_Mechanism()}:
+@ref{d77,,Has_Has_Label()}:
@item
-@ref{16d9,,Has_Suspend_Flag()}:
+@ref{d78,,Has_Has_Begin()}:
@item
-@ref{16da,,Has_Is_Ref()}:
+@ref{d79,,Has_Has_End()}:
@item
-@ref{16db,,Has_Is_Forward_Ref()}:
+@ref{d7a,,Has_Has_Is()}:
@item
-@ref{16dc,,Has_Psl_Property()}:
+@ref{d7b,,Has_Has_Pure()}:
@item
-@ref{16dd,,Has_Psl_Sequence()}:
+@ref{d7c,,Has_Has_Body()}:
@item
-@ref{16de,,Has_Psl_Declaration()}:
+@ref{d7d,,Has_Has_Parameter()}:
@item
-@ref{16df,,Has_Psl_Expression()}:
+@ref{d7e,,Has_Has_Component()}:
@item
-@ref{16e0,,Has_Psl_Boolean()}:
+@ref{d7f,,Has_Has_Identifier_List()}:
@item
-@ref{16e1,,Has_PSL_Clock()}:
+@ref{d80,,Has_Has_Mode()}:
@item
-@ref{16e2,,Has_PSL_NFA()}:
+@ref{d81,,Has_Has_Class()}:
@item
-@ref{16e3,,Has_PSL_Nbr_States()}:
+@ref{d82,,Has_Has_Delay_Mechanism()}:
@item
-@ref{16e4,,Has_PSL_Clock_Sensitivity()}:
+@ref{d83,,Has_Suspend_Flag()}:
@item
-@ref{16e5,,Has_PSL_EOS_Flag()}:
+@ref{d84,,Has_Stop_Flag()}:
@item
-@ref{16e6,,Has_PSL_Abort_Flag()}:
+@ref{d85,,Has_Is_Ref()}:
@item
-@ref{16e7,,Has_Count_Expression()}:
+@ref{d86,,Has_Is_Forward_Ref()}:
@item
-@ref{16e8,,Has_Clock_Expression()}:
+@ref{d87,,Has_Psl_Property()}:
@item
-@ref{16e9,,Has_Default_Clock()}:
+@ref{d88,,Has_Psl_Sequence()}:
@item
-@ref{16ea,,Has_Foreign_Node()}:
-@end itemize
-
-@c #-----------------------------------
-
-@geindex types (class in pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types}@anchor{156f}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.types (value)
-
-An enumeration.
-
-@subsubheading Inheritance
-
-@image{inheritance-3072a9721fc56c46b673d728b6ae8adc67ffa5c5,,,[graphviz],png}
-
-@subsubheading Members
-
-
-@geindex Boolean (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Boolean}@anchor{16eb}
-@deffn {Attribute} Boolean = 0
-@end deffn
-
-@geindex Date_State_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Date_State_Type}@anchor{16ec}
-@deffn {Attribute} Date_State_Type = 1
-@end deffn
-
-@geindex Date_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Date_Type}@anchor{16ed}
-@deffn {Attribute} Date_Type = 2
-@end deffn
-
-@geindex Direction_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Direction_Type}@anchor{16ee}
-@deffn {Attribute} Direction_Type = 3
-@end deffn
-
-@geindex File_Checksum_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types File_Checksum_Id}@anchor{16ef}
-@deffn {Attribute} File_Checksum_Id = 4
-@end deffn
-
-@geindex Fp64 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Fp64}@anchor{16f0}
-@deffn {Attribute} Fp64 = 5
-@end deffn
-
-@geindex Iir (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir}@anchor{16f1}
-@deffn {Attribute} Iir = 6
-@end deffn
-
-@geindex Iir_All_Sensitized (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_All_Sensitized}@anchor{16f2}
-@deffn {Attribute} Iir_All_Sensitized = 7
-@end deffn
-
-@geindex Iir_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Constraint}@anchor{16f3}
-@deffn {Attribute} Iir_Constraint = 8
-@end deffn
-
-@geindex Iir_Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Delay_Mechanism}@anchor{16f4}
-@deffn {Attribute} Iir_Delay_Mechanism = 9
-@end deffn
-
-@geindex Iir_Flist (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Flist}@anchor{16f5}
-@deffn {Attribute} Iir_Flist = 10
-@end deffn
-
-@geindex Iir_Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Force_Mode}@anchor{16f6}
-@deffn {Attribute} Iir_Force_Mode = 11
-@end deffn
+@ref{d89,,Has_Psl_Declaration()}:
-@geindex Iir_Index32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Index32}@anchor{16f7}
-@deffn {Attribute} Iir_Index32 = 12
-@end deffn
-
-@geindex Iir_Int32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Int32}@anchor{16f8}
-@deffn {Attribute} Iir_Int32 = 13
-@end deffn
-
-@geindex Iir_List (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_List}@anchor{16f9}
-@deffn {Attribute} Iir_List = 14
-@end deffn
-
-@geindex Iir_Mode (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Mode}@anchor{16fa}
-@deffn {Attribute} Iir_Mode = 15
-@end deffn
+@item
+@ref{d8a,,Has_Psl_Expression()}:
-@geindex Iir_Predefined_Functions (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Predefined_Functions}@anchor{16fb}
-@deffn {Attribute} Iir_Predefined_Functions = 16
-@end deffn
+@item
+@ref{d8b,,Has_Psl_Boolean()}:
-@geindex Iir_Pure_State (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Pure_State}@anchor{16fc}
-@deffn {Attribute} Iir_Pure_State = 17
-@end deffn
+@item
+@ref{d8c,,Has_PSL_Clock()}:
-@geindex Iir_Signal_Kind (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Signal_Kind}@anchor{16fd}
-@deffn {Attribute} Iir_Signal_Kind = 18
-@end deffn
+@item
+@ref{d8d,,Has_PSL_NFA()}:
-@geindex Iir_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Staticness}@anchor{16fe}
-@deffn {Attribute} Iir_Staticness = 19
-@end deffn
+@item
+@ref{d8e,,Has_PSL_Nbr_States()}:
-@geindex Int32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Int32}@anchor{16ff}
-@deffn {Attribute} Int32 = 20
-@end deffn
+@item
+@ref{d8f,,Has_PSL_Clock_Sensitivity()}:
-@geindex Int64 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Int64}@anchor{1700}
-@deffn {Attribute} Int64 = 21
-@end deffn
+@item
+@ref{d90,,Has_PSL_EOS_Flag()}:
-@geindex Name_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Name_Id}@anchor{1701}
-@deffn {Attribute} Name_Id = 22
-@end deffn
+@item
+@ref{d91,,Has_PSL_Abort_Flag()}:
-@geindex Number_Base_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Number_Base_Type}@anchor{1702}
-@deffn {Attribute} Number_Base_Type = 23
-@end deffn
+@item
+@ref{d92,,Has_Count_Expression()}:
-@geindex PSL_NFA (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types PSL_NFA}@anchor{1703}
-@deffn {Attribute} PSL_NFA = 24
-@end deffn
+@item
+@ref{d93,,Has_Clock_Expression()}:
-@geindex PSL_Node (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types PSL_Node}@anchor{1704}
-@deffn {Attribute} PSL_Node = 25
-@end deffn
+@item
+@ref{d94,,Has_Default_Clock()}:
-@geindex Scalar_Size (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Scalar_Size}@anchor{1705}
-@deffn {Attribute} Scalar_Size = 26
-@end deffn
+@item
+@ref{d95,,Has_Foreign_Node()}:
-@geindex Source_File_Entry (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Source_File_Entry}@anchor{1706}
-@deffn {Attribute} Source_File_Entry = 27
-@end deffn
+@item
+@ref{d96,,Has_Suspend_State_Index()}:
-@geindex Source_Ptr (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Source_Ptr}@anchor{1707}
-@deffn {Attribute} Source_Ptr = 28
-@end deffn
+@item
+@ref{d97,,Has_Suspend_State_Chain()}:
-@geindex String8_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types String8_Id}@anchor{1708}
-@deffn {Attribute} String8_Id = 29
-@end deffn
+@item
+@ref{d98,,Has_Suspend_State_Last()}:
-@geindex Time_Stamp_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Time_Stamp_Id}@anchor{1709}
-@deffn {Attribute} Time_Stamp_Id = 30
-@end deffn
+@item
+@ref{d99,,Has_Suspend_State_Decl()}:
+@end itemize
-@geindex Token_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Token_Type}@anchor{170a}
-@deffn {Attribute} Token_Type = 31
-@end deffn
+`Classes'
-@geindex Tri_State_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Tri_State_Type}@anchor{170b}
-@deffn {Attribute} Tri_State_Type = 32
-@end deffn
-@end deffn
-@geindex Attr (class in pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr}@anchor{1570}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.Attr (value)
+@itemize -
+@item
+@ref{d9a,,types}:
An enumeration.
-@subsubheading Inheritance
-
-@image{inheritance-ad47dd5b49620e77250b398840f12ca82cd81d6a,,,[graphviz],png}
-
-@subsubheading Members
-
-
-@geindex ANone (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr ANone}@anchor{170c}
-@deffn {Attribute} ANone = 0
-@end deffn
-
-@geindex Chain (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Chain}@anchor{170d}
-@deffn {Attribute} Chain = 1
-@end deffn
-
-@geindex Chain_Next (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Chain_Next}@anchor{170e}
-@deffn {Attribute} Chain_Next = 2
-@end deffn
-
-@geindex Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Forward_Ref}@anchor{170f}
-@deffn {Attribute} Forward_Ref = 3
-@end deffn
-
-@geindex Maybe_Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Maybe_Forward_Ref}@anchor{1710}
-@deffn {Attribute} Maybe_Forward_Ref = 4
-@end deffn
-
-@geindex Maybe_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Maybe_Ref}@anchor{1711}
-@deffn {Attribute} Maybe_Ref = 5
-@end deffn
-
-@geindex Of_Maybe_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Of_Maybe_Ref}@anchor{1712}
-@deffn {Attribute} Of_Maybe_Ref = 6
-@end deffn
-
-@geindex Of_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Of_Ref}@anchor{1713}
-@deffn {Attribute} Of_Ref = 7
-@end deffn
-
-@geindex Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Ref}@anchor{1714}
-@deffn {Attribute} Ref = 8
-@end deffn
-@end deffn
-
-@geindex fields (class in pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields}@anchor{1571}
-@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.fields (value)
-
+@item
+@ref{d9b,,Attr}:
An enumeration.
-@subsubheading Inheritance
-
-@image{inheritance-083f42d976e3b5b84453f40a30362f0b1bec9ba8,,,[graphviz],png}
-
-@subsubheading Members
-
-
-@geindex First_Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields First_Design_Unit}@anchor{1715}
-@deffn {Attribute} First_Design_Unit = 0
-@end deffn
-
-@geindex Last_Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Last_Design_Unit}@anchor{1716}
-@deffn {Attribute} Last_Design_Unit = 1
-@end deffn
-
-@geindex Library_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Declaration}@anchor{1717}
-@deffn {Attribute} Library_Declaration = 2
-@end deffn
-
-@geindex File_Checksum (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Checksum}@anchor{1718}
-@deffn {Attribute} File_Checksum = 3
-@end deffn
-
-@geindex Analysis_Time_Stamp (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Analysis_Time_Stamp}@anchor{1719}
-@deffn {Attribute} Analysis_Time_Stamp = 4
-@end deffn
-
-@geindex Design_File_Source (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Source}@anchor{171a}
-@deffn {Attribute} Design_File_Source = 5
-@end deffn
-
-@geindex Library (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library}@anchor{171b}
-@deffn {Attribute} Library = 6
-@end deffn
-
-@geindex File_Dependence_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Dependence_List}@anchor{171c}
-@deffn {Attribute} File_Dependence_List = 7
-@end deffn
-
-@geindex Design_File_Filename (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Filename}@anchor{171d}
-@deffn {Attribute} Design_File_Filename = 8
-@end deffn
-
-@geindex Design_File_Directory (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Directory}@anchor{171e}
-@deffn {Attribute} Design_File_Directory = 9
-@end deffn
-
-@geindex Design_File (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File}@anchor{171f}
-@deffn {Attribute} Design_File = 10
-@end deffn
-
-@geindex Design_File_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Chain}@anchor{1720}
-@deffn {Attribute} Design_File_Chain = 11
-@end deffn
-
-@geindex Library_Directory (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Directory}@anchor{1721}
-@deffn {Attribute} Library_Directory = 12
-@end deffn
-
-@geindex Date (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Date}@anchor{1722}
-@deffn {Attribute} Date = 13
-@end deffn
-
-@geindex Context_Items (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Context_Items}@anchor{1723}
-@deffn {Attribute} Context_Items = 14
-@end deffn
-
-@geindex Dependence_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Dependence_List}@anchor{1724}
-@deffn {Attribute} Dependence_List = 15
-@end deffn
-
-@geindex Analysis_Checks_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Analysis_Checks_List}@anchor{1725}
-@deffn {Attribute} Analysis_Checks_List = 16
-@end deffn
-
-@geindex Date_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Date_State}@anchor{1726}
-@deffn {Attribute} Date_State = 17
-@end deffn
-
-@geindex Guarded_Target_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guarded_Target_State}@anchor{1727}
-@deffn {Attribute} Guarded_Target_State = 18
-@end deffn
-
-@geindex Library_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Unit}@anchor{1728}
-@deffn {Attribute} Library_Unit = 19
-@end deffn
-
-@geindex Hash_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hash_Chain}@anchor{1729}
-@deffn {Attribute} Hash_Chain = 20
-@end deffn
-
-@geindex Design_Unit_Source_Pos (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Pos}@anchor{172a}
-@deffn {Attribute} Design_Unit_Source_Pos = 21
-@end deffn
-
-@geindex Design_Unit_Source_Line (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Line}@anchor{172b}
-@deffn {Attribute} Design_Unit_Source_Line = 22
-@end deffn
-
-@geindex Design_Unit_Source_Col (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Col}@anchor{172c}
-@deffn {Attribute} Design_Unit_Source_Col = 23
-@end deffn
-
-@geindex Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Value}@anchor{172d}
-@deffn {Attribute} Value = 24
-@end deffn
-
-@geindex Enum_Pos (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Enum_Pos}@anchor{172e}
-@deffn {Attribute} Enum_Pos = 25
-@end deffn
-
-@geindex Physical_Literal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Physical_Literal}@anchor{172f}
-@deffn {Attribute} Physical_Literal = 26
-@end deffn
-
-@geindex Fp_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Fp_Value}@anchor{1730}
-@deffn {Attribute} Fp_Value = 27
-@end deffn
-
-@geindex Simple_Aggregate_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Aggregate_List}@anchor{1731}
-@deffn {Attribute} Simple_Aggregate_List = 28
-@end deffn
-
-@geindex String8_Id (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields String8_Id}@anchor{1732}
-@deffn {Attribute} String8_Id = 29
-@end deffn
-
-@geindex String_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields String_Length}@anchor{1733}
-@deffn {Attribute} String_Length = 30
-@end deffn
-
-@geindex Bit_String_Base (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Bit_String_Base}@anchor{1734}
-@deffn {Attribute} Bit_String_Base = 31
-@end deffn
-
-@geindex Has_Signed (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Signed}@anchor{1735}
-@deffn {Attribute} Has_Signed = 32
-@end deffn
-
-@geindex Has_Sign (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Sign}@anchor{1736}
-@deffn {Attribute} Has_Sign = 33
-@end deffn
-
-@geindex Has_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Length}@anchor{1737}
-@deffn {Attribute} Has_Length = 34
-@end deffn
-
-@geindex Literal_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Length}@anchor{1738}
-@deffn {Attribute} Literal_Length = 35
-@end deffn
-
-@geindex Literal_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Origin}@anchor{1739}
-@deffn {Attribute} Literal_Origin = 36
-@end deffn
-
-@geindex Range_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Range_Origin}@anchor{173a}
-@deffn {Attribute} Range_Origin = 37
-@end deffn
-
-@geindex Literal_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Subtype}@anchor{173b}
-@deffn {Attribute} Literal_Subtype = 38
-@end deffn
-
-@geindex Allocator_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Allocator_Subtype}@anchor{173c}
-@deffn {Attribute} Allocator_Subtype = 39
-@end deffn
-
-@geindex Entity_Class (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Class}@anchor{173d}
-@deffn {Attribute} Entity_Class = 40
-@end deffn
-
-@geindex Entity_Name_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Name_List}@anchor{173e}
-@deffn {Attribute} Entity_Name_List = 41
-@end deffn
-
-@geindex Attribute_Designator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Designator}@anchor{173f}
-@deffn {Attribute} Attribute_Designator = 42
-@end deffn
-
-@geindex Attribute_Specification_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Specification_Chain}@anchor{1740}
-@deffn {Attribute} Attribute_Specification_Chain = 43
-@end deffn
-
-@geindex Attribute_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Specification}@anchor{1741}
-@deffn {Attribute} Attribute_Specification = 44
-@end deffn
-
-@geindex Static_Attribute_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Static_Attribute_Flag}@anchor{1742}
-@deffn {Attribute} Static_Attribute_Flag = 45
-@end deffn
-
-@geindex Signal_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_List}@anchor{1743}
-@deffn {Attribute} Signal_List = 46
-@end deffn
-
-@geindex Quantity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Quantity_List}@anchor{1744}
-@deffn {Attribute} Quantity_List = 47
-@end deffn
-
-@geindex Designated_Entity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Entity}@anchor{1745}
-@deffn {Attribute} Designated_Entity = 48
-@end deffn
-
-@geindex Formal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Formal}@anchor{1746}
-@deffn {Attribute} Formal = 49
-@end deffn
-
-@geindex Actual (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual}@anchor{1747}
-@deffn {Attribute} Actual = 50
-@end deffn
-
-@geindex Actual_Conversion (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Conversion}@anchor{1748}
-@deffn {Attribute} Actual_Conversion = 51
-@end deffn
-
-@geindex Formal_Conversion (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Formal_Conversion}@anchor{1749}
-@deffn {Attribute} Formal_Conversion = 52
-@end deffn
-
-@geindex Whole_Association_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Whole_Association_Flag}@anchor{174a}
-@deffn {Attribute} Whole_Association_Flag = 53
-@end deffn
-
-@geindex Collapse_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Collapse_Signal_Flag}@anchor{174b}
-@deffn {Attribute} Collapse_Signal_Flag = 54
-@end deffn
-
-@geindex Artificial_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Artificial_Flag}@anchor{174c}
-@deffn {Attribute} Artificial_Flag = 55
-@end deffn
-
-@geindex Open_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Open_Flag}@anchor{174d}
-@deffn {Attribute} Open_Flag = 56
-@end deffn
-
-@geindex After_Drivers_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields After_Drivers_Flag}@anchor{174e}
-@deffn {Attribute} After_Drivers_Flag = 57
-@end deffn
-
-@geindex We_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields We_Value}@anchor{174f}
-@deffn {Attribute} We_Value = 58
-@end deffn
-
-@geindex Time (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Time}@anchor{1750}
-@deffn {Attribute} Time = 59
-@end deffn
-
-@geindex Associated_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Expr}@anchor{1751}
-@deffn {Attribute} Associated_Expr = 60
-@end deffn
-
-@geindex Associated_Block (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Block}@anchor{1752}
-@deffn {Attribute} Associated_Block = 61
-@end deffn
-
-@geindex Associated_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Chain}@anchor{1753}
-@deffn {Attribute} Associated_Chain = 62
-@end deffn
-
-@geindex Choice_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Name}@anchor{1754}
-@deffn {Attribute} Choice_Name = 63
-@end deffn
-
-@geindex Choice_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Expression}@anchor{1755}
-@deffn {Attribute} Choice_Expression = 64
-@end deffn
-
-@geindex Choice_Range (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Range}@anchor{1756}
-@deffn {Attribute} Choice_Range = 65
-@end deffn
-
-@geindex Same_Alternative_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Same_Alternative_Flag}@anchor{1757}
-@deffn {Attribute} Same_Alternative_Flag = 66
-@end deffn
-
-@geindex Element_Type_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Type_Flag}@anchor{1758}
-@deffn {Attribute} Element_Type_Flag = 67
-@end deffn
-
-@geindex Architecture (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Architecture}@anchor{1759}
-@deffn {Attribute} Architecture = 68
-@end deffn
-
-@geindex Block_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Specification}@anchor{175a}
-@deffn {Attribute} Block_Specification = 69
-@end deffn
-
-@geindex Prev_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Prev_Block_Configuration}@anchor{175b}
-@deffn {Attribute} Prev_Block_Configuration = 70
-@end deffn
-
-@geindex Configuration_Item_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Item_Chain}@anchor{175c}
-@deffn {Attribute} Configuration_Item_Chain = 71
-@end deffn
-
-@geindex Attribute_Value_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Value_Chain}@anchor{175d}
-@deffn {Attribute} Attribute_Value_Chain = 72
-@end deffn
-
-@geindex Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Spec_Chain}@anchor{175e}
-@deffn {Attribute} Spec_Chain = 73
-@end deffn
-
-@geindex Value_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Value_Chain}@anchor{175f}
-@deffn {Attribute} Value_Chain = 74
-@end deffn
-
-@geindex Attribute_Value_Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Value_Spec_Chain}@anchor{1760}
-@deffn {Attribute} Attribute_Value_Spec_Chain = 75
-@end deffn
-
-@geindex Entity_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Name}@anchor{1761}
-@deffn {Attribute} Entity_Name = 76
-@end deffn
-
-@geindex Package (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package}@anchor{1762}
-@deffn {Attribute} Package = 77
-@end deffn
-
-@geindex Package_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Body}@anchor{1763}
-@deffn {Attribute} Package_Body = 78
-@end deffn
-
-@geindex Instance_Package_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instance_Package_Body}@anchor{1764}
-@deffn {Attribute} Instance_Package_Body = 79
-@end deffn
-
-@geindex Need_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Need_Body}@anchor{1765}
-@deffn {Attribute} Need_Body = 80
-@end deffn
-
-@geindex Macro_Expanded_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Macro_Expanded_Flag}@anchor{1766}
-@deffn {Attribute} Macro_Expanded_Flag = 81
-@end deffn
-
-@geindex Need_Instance_Bodies (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Need_Instance_Bodies}@anchor{1767}
-@deffn {Attribute} Need_Instance_Bodies = 82
-@end deffn
-
-@geindex Hierarchical_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hierarchical_Name}@anchor{1768}
-@deffn {Attribute} Hierarchical_Name = 83
-@end deffn
-
-@geindex Vunit_Item_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Vunit_Item_Chain}@anchor{1769}
-@deffn {Attribute} Vunit_Item_Chain = 84
-@end deffn
-
-@geindex Bound_Vunit_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Bound_Vunit_Chain}@anchor{176a}
-@deffn {Attribute} Bound_Vunit_Chain = 85
-@end deffn
-
-@geindex Verification_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Verification_Block_Configuration}@anchor{176b}
-@deffn {Attribute} Verification_Block_Configuration = 86
-@end deffn
-
-@geindex Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Configuration}@anchor{176c}
-@deffn {Attribute} Block_Configuration = 87
-@end deffn
-
-@geindex Concurrent_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Concurrent_Statement_Chain}@anchor{176d}
-@deffn {Attribute} Concurrent_Statement_Chain = 88
-@end deffn
-
-@geindex Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Chain}@anchor{176e}
-@deffn {Attribute} Chain = 89
-@end deffn
-
-@geindex Port_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Port_Chain}@anchor{176f}
-@deffn {Attribute} Port_Chain = 90
-@end deffn
-
-@geindex Generic_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generic_Chain}@anchor{1770}
-@deffn {Attribute} Generic_Chain = 91
-@end deffn
-
-@geindex Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type}@anchor{1771}
-@deffn {Attribute} Type = 92
-@end deffn
-
-@geindex Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Indication}@anchor{1772}
-@deffn {Attribute} Subtype_Indication = 93
-@end deffn
-
-@geindex Discrete_Range (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Discrete_Range}@anchor{1773}
-@deffn {Attribute} Discrete_Range = 94
-@end deffn
-
-@geindex Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Definition}@anchor{1774}
-@deffn {Attribute} Type_Definition = 95
-@end deffn
-
-@geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Definition}@anchor{1775}
-@deffn {Attribute} Subtype_Definition = 96
-@end deffn
-
-@geindex Incomplete_Type_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Incomplete_Type_Declaration}@anchor{1776}
-@deffn {Attribute} Incomplete_Type_Declaration = 97
-@end deffn
-
-@geindex Interface_Type_Subprograms (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Interface_Type_Subprograms}@anchor{1777}
-@deffn {Attribute} Interface_Type_Subprograms = 98
-@end deffn
-
-@geindex Nature_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Definition}@anchor{1778}
-@deffn {Attribute} Nature_Definition = 99
-@end deffn
-
-@geindex Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature}@anchor{1779}
-@deffn {Attribute} Nature = 100
-@end deffn
-
-@geindex Subnature_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subnature_Indication}@anchor{177a}
-@deffn {Attribute} Subnature_Indication = 101
-@end deffn
-
-@geindex Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Mode}@anchor{177b}
-@deffn {Attribute} Mode = 102
-@end deffn
-
-@geindex Guarded_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guarded_Signal_Flag}@anchor{177c}
-@deffn {Attribute} Guarded_Signal_Flag = 103
-@end deffn
-
-@geindex Signal_Kind (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Kind}@anchor{177d}
-@deffn {Attribute} Signal_Kind = 104
-@end deffn
-
-@geindex Base_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Base_Name}@anchor{177e}
-@deffn {Attribute} Base_Name = 105
-@end deffn
-
-@geindex Interface_Declaration_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Interface_Declaration_Chain}@anchor{177f}
-@deffn {Attribute} Interface_Declaration_Chain = 106
-@end deffn
-
-@geindex Subprogram_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Specification}@anchor{1780}
-@deffn {Attribute} Subprogram_Specification = 107
-@end deffn
-
-@geindex Sequential_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sequential_Statement_Chain}@anchor{1781}
-@deffn {Attribute} Sequential_Statement_Chain = 108
-@end deffn
-
-@geindex Simultaneous_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Statement_Chain}@anchor{1782}
-@deffn {Attribute} Simultaneous_Statement_Chain = 109
-@end deffn
-
-@geindex Subprogram_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Body}@anchor{1783}
-@deffn {Attribute} Subprogram_Body = 110
-@end deffn
-
-@geindex Overload_Number (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Overload_Number}@anchor{1784}
-@deffn {Attribute} Overload_Number = 111
-@end deffn
-
-@geindex Subprogram_Depth (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Depth}@anchor{1785}
-@deffn {Attribute} Subprogram_Depth = 112
-@end deffn
-
-@geindex Subprogram_Hash (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Hash}@anchor{1786}
-@deffn {Attribute} Subprogram_Hash = 113
-@end deffn
-
-@geindex Impure_Depth (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Impure_Depth}@anchor{1787}
-@deffn {Attribute} Impure_Depth = 114
-@end deffn
-
-@geindex Return_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Return_Type}@anchor{1788}
-@deffn {Attribute} Return_Type = 115
-@end deffn
-
-@geindex Implicit_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implicit_Definition}@anchor{1789}
-@deffn {Attribute} Implicit_Definition = 116
-@end deffn
-
-@geindex Uninstantiated_Subprogram_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Subprogram_Name}@anchor{178a}
-@deffn {Attribute} Uninstantiated_Subprogram_Name = 117
-@end deffn
-
-@geindex Default_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Value}@anchor{178b}
-@deffn {Attribute} Default_Value = 118
-@end deffn
-
-@geindex Deferred_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Deferred_Declaration}@anchor{178c}
-@deffn {Attribute} Deferred_Declaration = 119
-@end deffn
-
-@geindex Deferred_Declaration_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Deferred_Declaration_Flag}@anchor{178d}
-@deffn {Attribute} Deferred_Declaration_Flag = 120
-@end deffn
-
-@geindex Shared_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Shared_Flag}@anchor{178e}
-@deffn {Attribute} Shared_Flag = 121
-@end deffn
-
-@geindex Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit}@anchor{178f}
-@deffn {Attribute} Design_Unit = 122
-@end deffn
-
-@geindex Block_Statement (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Statement}@anchor{1790}
-@deffn {Attribute} Block_Statement = 123
-@end deffn
-
-@geindex Signal_Driver (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Driver}@anchor{1791}
-@deffn {Attribute} Signal_Driver = 124
-@end deffn
-
-@geindex Declaration_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Declaration_Chain}@anchor{1792}
-@deffn {Attribute} Declaration_Chain = 125
-@end deffn
-
-@geindex File_Logical_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Logical_Name}@anchor{1793}
-@deffn {Attribute} File_Logical_Name = 126
-@end deffn
-
-@geindex File_Open_Kind (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Open_Kind}@anchor{1794}
-@deffn {Attribute} File_Open_Kind = 127
-@end deffn
-
-@geindex Element_Position (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Position}@anchor{1795}
-@deffn {Attribute} Element_Position = 128
-@end deffn
-
-@geindex Use_Clause_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Use_Clause_Chain}@anchor{1796}
-@deffn {Attribute} Use_Clause_Chain = 129
-@end deffn
-
-@geindex Context_Reference_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Context_Reference_Chain}@anchor{1797}
-@deffn {Attribute} Context_Reference_Chain = 130
-@end deffn
-
-@geindex Inherit_Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Inherit_Spec_Chain}@anchor{1798}
-@deffn {Attribute} Inherit_Spec_Chain = 131
-@end deffn
-
-@geindex Selected_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selected_Name}@anchor{1799}
-@deffn {Attribute} Selected_Name = 132
-@end deffn
-
-@geindex Type_Declarator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Declarator}@anchor{179a}
-@deffn {Attribute} Type_Declarator = 133
-@end deffn
-
-@geindex Complete_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Complete_Type_Definition}@anchor{179b}
-@deffn {Attribute} Complete_Type_Definition = 134
-@end deffn
-
-@geindex Incomplete_Type_Ref_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Incomplete_Type_Ref_Chain}@anchor{179c}
-@deffn {Attribute} Incomplete_Type_Ref_Chain = 135
-@end deffn
-
-@geindex Associated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Type}@anchor{179d}
-@deffn {Attribute} Associated_Type = 136
-@end deffn
-
-@geindex Enumeration_Literal_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Enumeration_Literal_List}@anchor{179e}
-@deffn {Attribute} Enumeration_Literal_List = 137
-@end deffn
-
-@geindex Entity_Class_Entry_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Class_Entry_Chain}@anchor{179f}
-@deffn {Attribute} Entity_Class_Entry_Chain = 138
-@end deffn
-
-@geindex Group_Constituent_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Group_Constituent_List}@anchor{17a0}
-@deffn {Attribute} Group_Constituent_List = 139
-@end deffn
-
-@geindex Unit_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Unit_Chain}@anchor{17a1}
-@deffn {Attribute} Unit_Chain = 140
-@end deffn
-
-@geindex Primary_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Primary_Unit}@anchor{17a2}
-@deffn {Attribute} Primary_Unit = 141
-@end deffn
-
-@geindex Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Identifier}@anchor{17a3}
-@deffn {Attribute} Identifier = 142
-@end deffn
-
-@geindex Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Label}@anchor{17a4}
-@deffn {Attribute} Label = 143
-@end deffn
-
-@geindex Visible_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Visible_Flag}@anchor{17a5}
-@deffn {Attribute} Visible_Flag = 144
-@end deffn
-
-@geindex Range_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Range_Constraint}@anchor{17a6}
-@deffn {Attribute} Range_Constraint = 145
-@end deffn
-
-@geindex Direction (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Direction}@anchor{17a7}
-@deffn {Attribute} Direction = 146
-@end deffn
-
-@geindex Left_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left_Limit}@anchor{17a8}
-@deffn {Attribute} Left_Limit = 147
-@end deffn
-
-@geindex Right_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right_Limit}@anchor{17a9}
-@deffn {Attribute} Right_Limit = 148
-@end deffn
-
-@geindex Left_Limit_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left_Limit_Expr}@anchor{17aa}
-@deffn {Attribute} Left_Limit_Expr = 149
-@end deffn
-
-@geindex Right_Limit_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right_Limit_Expr}@anchor{17ab}
-@deffn {Attribute} Right_Limit_Expr = 150
-@end deffn
-
-@geindex Parent_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parent_Type}@anchor{17ac}
-@deffn {Attribute} Parent_Type = 151
-@end deffn
-
-@geindex Simple_Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Nature}@anchor{17ad}
-@deffn {Attribute} Simple_Nature = 152
-@end deffn
-
-@geindex Base_Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Base_Nature}@anchor{17ae}
-@deffn {Attribute} Base_Nature = 153
-@end deffn
-
-@geindex Resolution_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolution_Indication}@anchor{17af}
-@deffn {Attribute} Resolution_Indication = 154
-@end deffn
-
-@geindex Record_Element_Resolution_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Record_Element_Resolution_Chain}@anchor{17b0}
-@deffn {Attribute} Record_Element_Resolution_Chain = 155
-@end deffn
-
-@geindex Tolerance (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Tolerance}@anchor{17b1}
-@deffn {Attribute} Tolerance = 156
-@end deffn
-
-@geindex Plus_Terminal_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Plus_Terminal_Name}@anchor{17b2}
-@deffn {Attribute} Plus_Terminal_Name = 157
-@end deffn
-
-@geindex Minus_Terminal_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Minus_Terminal_Name}@anchor{17b3}
-@deffn {Attribute} Minus_Terminal_Name = 158
-@end deffn
-
-@geindex Plus_Terminal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Plus_Terminal}@anchor{17b4}
-@deffn {Attribute} Plus_Terminal = 159
-@end deffn
-
-@geindex Minus_Terminal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Minus_Terminal}@anchor{17b5}
-@deffn {Attribute} Minus_Terminal = 160
-@end deffn
-
-@geindex Magnitude_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Magnitude_Expression}@anchor{17b6}
-@deffn {Attribute} Magnitude_Expression = 161
-@end deffn
-
-@geindex Phase_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Phase_Expression}@anchor{17b7}
-@deffn {Attribute} Phase_Expression = 162
-@end deffn
-
-@geindex Power_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Power_Expression}@anchor{17b8}
-@deffn {Attribute} Power_Expression = 163
-@end deffn
-
-@geindex Simultaneous_Left (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Left}@anchor{17b9}
-@deffn {Attribute} Simultaneous_Left = 164
-@end deffn
-
-@geindex Simultaneous_Right (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Right}@anchor{17ba}
-@deffn {Attribute} Simultaneous_Right = 165
-@end deffn
-
-@geindex Text_File_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Text_File_Flag}@anchor{17bb}
-@deffn {Attribute} Text_File_Flag = 166
-@end deffn
-
-@geindex Only_Characters_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Only_Characters_Flag}@anchor{17bc}
-@deffn {Attribute} Only_Characters_Flag = 167
-@end deffn
-
-@geindex Is_Character_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Character_Type}@anchor{17bd}
-@deffn {Attribute} Is_Character_Type = 168
-@end deffn
-
-@geindex Nature_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Staticness}@anchor{17be}
-@deffn {Attribute} Nature_Staticness = 169
-@end deffn
-
-@geindex Type_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Staticness}@anchor{17bf}
-@deffn {Attribute} Type_Staticness = 170
-@end deffn
-
-@geindex Constraint_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Constraint_State}@anchor{17c0}
-@deffn {Attribute} Constraint_State = 171
-@end deffn
-
-@geindex Index_Subtype_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype_List}@anchor{17c1}
-@deffn {Attribute} Index_Subtype_List = 172
-@end deffn
-
-@geindex Index_Subtype_Definition_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype_Definition_List}@anchor{17c2}
-@deffn {Attribute} Index_Subtype_Definition_List = 173
-@end deffn
-
-@geindex Element_Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subtype_Indication}@anchor{17c3}
-@deffn {Attribute} Element_Subtype_Indication = 174
-@end deffn
-
-@geindex Element_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subtype}@anchor{17c4}
-@deffn {Attribute} Element_Subtype = 175
-@end deffn
-
-@geindex Element_Subnature_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subnature_Indication}@anchor{17c5}
-@deffn {Attribute} Element_Subnature_Indication = 176
-@end deffn
-
-@geindex Element_Subnature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subnature}@anchor{17c6}
-@deffn {Attribute} Element_Subnature = 177
-@end deffn
-
-@geindex Index_Constraint_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Constraint_List}@anchor{17c7}
-@deffn {Attribute} Index_Constraint_List = 178
-@end deffn
-
-@geindex Array_Element_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Array_Element_Constraint}@anchor{17c8}
-@deffn {Attribute} Array_Element_Constraint = 179
-@end deffn
-
-@geindex Has_Array_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Array_Constraint_Flag}@anchor{17c9}
-@deffn {Attribute} Has_Array_Constraint_Flag = 180
-@end deffn
-
-@geindex Has_Element_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Element_Constraint_Flag}@anchor{17ca}
-@deffn {Attribute} Has_Element_Constraint_Flag = 181
-@end deffn
-
-@geindex Elements_Declaration_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Elements_Declaration_List}@anchor{17cb}
-@deffn {Attribute} Elements_Declaration_List = 182
-@end deffn
-
-@geindex Owned_Elements_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Owned_Elements_Chain}@anchor{17cc}
-@deffn {Attribute} Owned_Elements_Chain = 183
-@end deffn
-
-@geindex Designated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Type}@anchor{17cd}
-@deffn {Attribute} Designated_Type = 184
-@end deffn
-
-@geindex Designated_Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Subtype_Indication}@anchor{17ce}
-@deffn {Attribute} Designated_Subtype_Indication = 185
-@end deffn
-
-@geindex Index_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_List}@anchor{17cf}
-@deffn {Attribute} Index_List = 186
-@end deffn
-
-@geindex Reference (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Reference}@anchor{17d0}
-@deffn {Attribute} Reference = 187
-@end deffn
-
-@geindex Nature_Declarator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Declarator}@anchor{17d1}
-@deffn {Attribute} Nature_Declarator = 188
-@end deffn
-
-@geindex Across_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type_Mark}@anchor{17d2}
-@deffn {Attribute} Across_Type_Mark = 189
-@end deffn
-
-@geindex Through_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type_Mark}@anchor{17d3}
-@deffn {Attribute} Through_Type_Mark = 190
-@end deffn
-
-@geindex Across_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type_Definition}@anchor{17d4}
-@deffn {Attribute} Across_Type_Definition = 191
-@end deffn
-
-@geindex Through_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type_Definition}@anchor{17d5}
-@deffn {Attribute} Through_Type_Definition = 192
-@end deffn
-
-@geindex Across_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type}@anchor{17d6}
-@deffn {Attribute} Across_Type = 193
-@end deffn
-
-@geindex Through_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type}@anchor{17d7}
-@deffn {Attribute} Through_Type = 194
-@end deffn
-
-@geindex Target (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Target}@anchor{17d8}
-@deffn {Attribute} Target = 195
-@end deffn
-
-@geindex Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Waveform_Chain}@anchor{17d9}
-@deffn {Attribute} Waveform_Chain = 196
-@end deffn
-
-@geindex Guard (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard}@anchor{17da}
-@deffn {Attribute} Guard = 197
-@end deffn
-
-@geindex Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Delay_Mechanism}@anchor{17db}
-@deffn {Attribute} Delay_Mechanism = 198
-@end deffn
-
-@geindex Reject_Time_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Reject_Time_Expression}@anchor{17dc}
-@deffn {Attribute} Reject_Time_Expression = 199
-@end deffn
-
-@geindex Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Force_Mode}@anchor{17dd}
-@deffn {Attribute} Force_Mode = 200
-@end deffn
-
-@geindex Has_Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Force_Mode}@anchor{17de}
-@deffn {Attribute} Has_Force_Mode = 201
-@end deffn
-
-@geindex Sensitivity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sensitivity_List}@anchor{17df}
-@deffn {Attribute} Sensitivity_List = 202
-@end deffn
-
-@geindex Process_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Process_Origin}@anchor{17e0}
-@deffn {Attribute} Process_Origin = 203
-@end deffn
-
-@geindex Package_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Origin}@anchor{17e1}
-@deffn {Attribute} Package_Origin = 204
-@end deffn
-
-@geindex Condition_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Condition_Clause}@anchor{17e2}
-@deffn {Attribute} Condition_Clause = 205
-@end deffn
-
-@geindex Break_Element (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Break_Element}@anchor{17e3}
-@deffn {Attribute} Break_Element = 206
-@end deffn
-
-@geindex Selector_Quantity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selector_Quantity}@anchor{17e4}
-@deffn {Attribute} Selector_Quantity = 207
-@end deffn
-
-@geindex Break_Quantity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Break_Quantity}@anchor{17e5}
-@deffn {Attribute} Break_Quantity = 208
-@end deffn
-
-@geindex Timeout_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Timeout_Clause}@anchor{17e6}
-@deffn {Attribute} Timeout_Clause = 209
-@end deffn
-
-@geindex Postponed_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Postponed_Flag}@anchor{17e7}
-@deffn {Attribute} Postponed_Flag = 210
-@end deffn
-
-@geindex Callees_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Callees_List}@anchor{17e8}
-@deffn {Attribute} Callees_List = 211
-@end deffn
-
-@geindex Passive_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Passive_Flag}@anchor{17e9}
-@deffn {Attribute} Passive_Flag = 212
-@end deffn
-
-@geindex Resolution_Function_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolution_Function_Flag}@anchor{17ea}
-@deffn {Attribute} Resolution_Function_Flag = 213
-@end deffn
-
-@geindex Wait_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Wait_State}@anchor{17eb}
-@deffn {Attribute} Wait_State = 214
-@end deffn
-
-@geindex All_Sensitized_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields All_Sensitized_State}@anchor{17ec}
-@deffn {Attribute} All_Sensitized_State = 215
-@end deffn
-
-@geindex Seen_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Seen_Flag}@anchor{17ed}
-@deffn {Attribute} Seen_Flag = 216
-@end deffn
-
-@geindex Pure_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pure_Flag}@anchor{17ee}
-@deffn {Attribute} Pure_Flag = 217
-@end deffn
-
-@geindex Foreign_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Foreign_Flag}@anchor{17ef}
-@deffn {Attribute} Foreign_Flag = 218
-@end deffn
-
-@geindex Resolved_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolved_Flag}@anchor{17f0}
-@deffn {Attribute} Resolved_Flag = 219
-@end deffn
-
-@geindex Signal_Type_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Type_Flag}@anchor{17f1}
-@deffn {Attribute} Signal_Type_Flag = 220
-@end deffn
-
-@geindex Has_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Signal_Flag}@anchor{17f2}
-@deffn {Attribute} Has_Signal_Flag = 221
-@end deffn
-
-@geindex Purity_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Purity_State}@anchor{17f3}
-@deffn {Attribute} Purity_State = 222
-@end deffn
-
-@geindex Elab_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Elab_Flag}@anchor{17f4}
-@deffn {Attribute} Elab_Flag = 223
-@end deffn
-
-@geindex Vendor_Library_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Vendor_Library_Flag}@anchor{17f5}
-@deffn {Attribute} Vendor_Library_Flag = 224
-@end deffn
-
-@geindex Configuration_Mark_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Mark_Flag}@anchor{17f6}
-@deffn {Attribute} Configuration_Mark_Flag = 225
-@end deffn
-
-@geindex Configuration_Done_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Done_Flag}@anchor{17f7}
-@deffn {Attribute} Configuration_Done_Flag = 226
-@end deffn
-
-@geindex Index_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Constraint_Flag}@anchor{17f8}
-@deffn {Attribute} Index_Constraint_Flag = 227
-@end deffn
-
-@geindex Hide_Implicit_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hide_Implicit_Flag}@anchor{17f9}
-@deffn {Attribute} Hide_Implicit_Flag = 228
-@end deffn
-
-@geindex Assertion_Condition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Assertion_Condition}@anchor{17fa}
-@deffn {Attribute} Assertion_Condition = 229
-@end deffn
-
-@geindex Report_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Report_Expression}@anchor{17fb}
-@deffn {Attribute} Report_Expression = 230
-@end deffn
-
-@geindex Severity_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Severity_Expression}@anchor{17fc}
-@deffn {Attribute} Severity_Expression = 231
-@end deffn
-
-@geindex Instantiated_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instantiated_Unit}@anchor{17fd}
-@deffn {Attribute} Instantiated_Unit = 232
-@end deffn
-
-@geindex Generic_Map_Aspect_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generic_Map_Aspect_Chain}@anchor{17fe}
-@deffn {Attribute} Generic_Map_Aspect_Chain = 233
-@end deffn
-
-@geindex Port_Map_Aspect_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Port_Map_Aspect_Chain}@anchor{17ff}
-@deffn {Attribute} Port_Map_Aspect_Chain = 234
-@end deffn
-
-@geindex Configuration_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Name}@anchor{1800}
-@deffn {Attribute} Configuration_Name = 235
-@end deffn
-
-@geindex Component_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Component_Configuration}@anchor{1801}
-@deffn {Attribute} Component_Configuration = 236
-@end deffn
-
-@geindex Configuration_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Specification}@anchor{1802}
-@deffn {Attribute} Configuration_Specification = 237
-@end deffn
-
-@geindex Default_Binding_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Binding_Indication}@anchor{1803}
-@deffn {Attribute} Default_Binding_Indication = 238
-@end deffn
-
-@geindex Default_Configuration_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Configuration_Declaration}@anchor{1804}
-@deffn {Attribute} Default_Configuration_Declaration = 239
-@end deffn
-
-@geindex Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Expression}@anchor{1805}
-@deffn {Attribute} Expression = 240
-@end deffn
-
-@geindex Conditional_Expression_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Conditional_Expression_Chain}@anchor{1806}
-@deffn {Attribute} Conditional_Expression_Chain = 241
-@end deffn
-
-@geindex Allocator_Designated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Allocator_Designated_Type}@anchor{1807}
-@deffn {Attribute} Allocator_Designated_Type = 242
-@end deffn
-
-@geindex Selected_Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selected_Waveform_Chain}@anchor{1808}
-@deffn {Attribute} Selected_Waveform_Chain = 243
-@end deffn
-
-@geindex Conditional_Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Conditional_Waveform_Chain}@anchor{1809}
-@deffn {Attribute} Conditional_Waveform_Chain = 244
-@end deffn
-
-@geindex Guard_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Expression}@anchor{180a}
-@deffn {Attribute} Guard_Expression = 245
-@end deffn
-
-@geindex Guard_Decl (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Decl}@anchor{180b}
-@deffn {Attribute} Guard_Decl = 246
-@end deffn
-
-@geindex Guard_Sensitivity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Sensitivity_List}@anchor{180c}
-@deffn {Attribute} Guard_Sensitivity_List = 247
-@end deffn
-
-@geindex Signal_Attribute_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Attribute_Chain}@anchor{180d}
-@deffn {Attribute} Signal_Attribute_Chain = 248
-@end deffn
-
-@geindex Block_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Block_Configuration}@anchor{180e}
-@deffn {Attribute} Block_Block_Configuration = 249
-@end deffn
-
-@geindex Package_Header (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Header}@anchor{180f}
-@deffn {Attribute} Package_Header = 250
-@end deffn
-
-@geindex Block_Header (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Header}@anchor{1810}
-@deffn {Attribute} Block_Header = 251
-@end deffn
-
-@geindex Uninstantiated_Package_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Package_Name}@anchor{1811}
-@deffn {Attribute} Uninstantiated_Package_Name = 252
-@end deffn
-
-@geindex Uninstantiated_Package_Decl (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Package_Decl}@anchor{1812}
-@deffn {Attribute} Uninstantiated_Package_Decl = 253
-@end deffn
-
-@geindex Instance_Source_File (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instance_Source_File}@anchor{1813}
-@deffn {Attribute} Instance_Source_File = 254
-@end deffn
-
-@geindex Generate_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Block_Configuration}@anchor{1814}
-@deffn {Attribute} Generate_Block_Configuration = 255
-@end deffn
-
-@geindex Generate_Statement_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Statement_Body}@anchor{1815}
-@deffn {Attribute} Generate_Statement_Body = 256
-@end deffn
-
-@geindex Alternative_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Alternative_Label}@anchor{1816}
-@deffn {Attribute} Alternative_Label = 257
-@end deffn
-
-@geindex Generate_Else_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Else_Clause}@anchor{1817}
-@deffn {Attribute} Generate_Else_Clause = 258
-@end deffn
-
-@geindex Condition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Condition}@anchor{1818}
-@deffn {Attribute} Condition = 259
-@end deffn
-
-@geindex Else_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Else_Clause}@anchor{1819}
-@deffn {Attribute} Else_Clause = 260
-@end deffn
-
-@geindex Parameter_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_Specification}@anchor{181a}
-@deffn {Attribute} Parameter_Specification = 261
-@end deffn
-
-@geindex Parent (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parent}@anchor{181b}
-@deffn {Attribute} Parent = 262
-@end deffn
-
-@geindex Loop_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Loop_Label}@anchor{181c}
-@deffn {Attribute} Loop_Label = 263
-@end deffn
-
-@geindex Exit_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Exit_Flag}@anchor{181d}
-@deffn {Attribute} Exit_Flag = 264
-@end deffn
-
-@geindex Next_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Next_Flag}@anchor{181e}
-@deffn {Attribute} Next_Flag = 265
-@end deffn
-
-@geindex Component_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Component_Name}@anchor{181f}
-@deffn {Attribute} Component_Name = 266
-@end deffn
-
-@geindex Instantiation_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instantiation_List}@anchor{1820}
-@deffn {Attribute} Instantiation_List = 267
-@end deffn
-
-@geindex Entity_Aspect (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Aspect}@anchor{1821}
-@deffn {Attribute} Entity_Aspect = 268
-@end deffn
-
-@geindex Default_Entity_Aspect (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Entity_Aspect}@anchor{1822}
-@deffn {Attribute} Default_Entity_Aspect = 269
-@end deffn
-
-@geindex Binding_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Binding_Indication}@anchor{1823}
-@deffn {Attribute} Binding_Indication = 270
-@end deffn
-
-@geindex Named_Entity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Named_Entity}@anchor{1824}
-@deffn {Attribute} Named_Entity = 271
-@end deffn
-
-@geindex Referenced_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Referenced_Name}@anchor{1825}
-@deffn {Attribute} Referenced_Name = 272
-@end deffn
-
-@geindex Expr_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Expr_Staticness}@anchor{1826}
-@deffn {Attribute} Expr_Staticness = 273
-@end deffn
-
-@geindex Scalar_Size (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Scalar_Size}@anchor{1827}
-@deffn {Attribute} Scalar_Size = 274
-@end deffn
-
-@geindex Error_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Error_Origin}@anchor{1828}
-@deffn {Attribute} Error_Origin = 275
-@end deffn
-
-@geindex Operand (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Operand}@anchor{1829}
-@deffn {Attribute} Operand = 276
-@end deffn
-
-@geindex Left (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left}@anchor{182a}
-@deffn {Attribute} Left = 277
-@end deffn
-
-@geindex Right (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right}@anchor{182b}
-@deffn {Attribute} Right = 278
-@end deffn
-
-@geindex Unit_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Unit_Name}@anchor{182c}
-@deffn {Attribute} Unit_Name = 279
-@end deffn
-
-@geindex Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Name}@anchor{182d}
-@deffn {Attribute} Name = 280
-@end deffn
-
-@geindex Group_Template_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Group_Template_Name}@anchor{182e}
-@deffn {Attribute} Group_Template_Name = 281
-@end deffn
-
-@geindex Name_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Name_Staticness}@anchor{182f}
-@deffn {Attribute} Name_Staticness = 282
-@end deffn
-
-@geindex Prefix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Prefix}@anchor{1830}
-@deffn {Attribute} Prefix = 283
-@end deffn
-
-@geindex Signature_Prefix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signature_Prefix}@anchor{1831}
-@deffn {Attribute} Signature_Prefix = 284
-@end deffn
-
-@geindex External_Pathname (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields External_Pathname}@anchor{1832}
-@deffn {Attribute} External_Pathname = 285
-@end deffn
-
-@geindex Pathname_Suffix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pathname_Suffix}@anchor{1833}
-@deffn {Attribute} Pathname_Suffix = 286
-@end deffn
-
-@geindex Pathname_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pathname_Expression}@anchor{1834}
-@deffn {Attribute} Pathname_Expression = 287
-@end deffn
-
-@geindex In_Formal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields In_Formal_Flag}@anchor{1835}
-@deffn {Attribute} In_Formal_Flag = 288
-@end deffn
-
-@geindex Slice_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Slice_Subtype}@anchor{1836}
-@deffn {Attribute} Slice_Subtype = 289
-@end deffn
-
-@geindex Suffix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Suffix}@anchor{1837}
-@deffn {Attribute} Suffix = 290
-@end deffn
-
-@geindex Index_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype}@anchor{1838}
-@deffn {Attribute} Index_Subtype = 291
-@end deffn
-
-@geindex Parameter (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter}@anchor{1839}
-@deffn {Attribute} Parameter = 292
-@end deffn
-
-@geindex Parameter_2 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_2}@anchor{183a}
-@deffn {Attribute} Parameter_2 = 293
-@end deffn
-
-@geindex Parameter_3 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_3}@anchor{183b}
-@deffn {Attribute} Parameter_3 = 294
-@end deffn
-
-@geindex Parameter_4 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_4}@anchor{183c}
-@deffn {Attribute} Parameter_4 = 295
-@end deffn
-
-@geindex Attr_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attr_Chain}@anchor{183d}
-@deffn {Attribute} Attr_Chain = 296
-@end deffn
-
-@geindex Signal_Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Attribute_Declaration}@anchor{183e}
-@deffn {Attribute} Signal_Attribute_Declaration = 297
-@end deffn
-
-@geindex Actual_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Type}@anchor{183f}
-@deffn {Attribute} Actual_Type = 298
-@end deffn
-
-@geindex Actual_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Type_Definition}@anchor{1840}
-@deffn {Attribute} Actual_Type_Definition = 299
-@end deffn
-
-@geindex Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Association_Chain}@anchor{1841}
-@deffn {Attribute} Association_Chain = 300
-@end deffn
-
-@geindex Individual_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Individual_Association_Chain}@anchor{1842}
-@deffn {Attribute} Individual_Association_Chain = 301
-@end deffn
-
-@geindex Subprogram_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Association_Chain}@anchor{1843}
-@deffn {Attribute} Subprogram_Association_Chain = 302
-@end deffn
-
-@geindex Aggregate_Info (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggregate_Info}@anchor{1844}
-@deffn {Attribute} Aggregate_Info = 303
-@end deffn
-
-@geindex Sub_Aggregate_Info (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sub_Aggregate_Info}@anchor{1845}
-@deffn {Attribute} Sub_Aggregate_Info = 304
-@end deffn
-
-@geindex Aggr_Dynamic_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Dynamic_Flag}@anchor{1846}
-@deffn {Attribute} Aggr_Dynamic_Flag = 305
-@end deffn
-
-@geindex Aggr_Min_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Min_Length}@anchor{1847}
-@deffn {Attribute} Aggr_Min_Length = 306
-@end deffn
-
-@geindex Aggr_Low_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Low_Limit}@anchor{1848}
-@deffn {Attribute} Aggr_Low_Limit = 307
-@end deffn
-
-@geindex Aggr_High_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_High_Limit}@anchor{1849}
-@deffn {Attribute} Aggr_High_Limit = 308
-@end deffn
-
-@geindex Aggr_Others_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Others_Flag}@anchor{184a}
-@deffn {Attribute} Aggr_Others_Flag = 309
-@end deffn
-
-@geindex Aggr_Named_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Named_Flag}@anchor{184b}
-@deffn {Attribute} Aggr_Named_Flag = 310
-@end deffn
-
-@geindex Aggregate_Expand_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggregate_Expand_Flag}@anchor{184c}
-@deffn {Attribute} Aggregate_Expand_Flag = 311
-@end deffn
-
-@geindex Association_Choices_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Association_Choices_Chain}@anchor{184d}
-@deffn {Attribute} Association_Choices_Chain = 312
-@end deffn
-
-@geindex Case_Statement_Alternative_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Case_Statement_Alternative_Chain}@anchor{184e}
-@deffn {Attribute} Case_Statement_Alternative_Chain = 313
-@end deffn
-
-@geindex Matching_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Matching_Flag}@anchor{184f}
-@deffn {Attribute} Matching_Flag = 314
-@end deffn
-
-@geindex Choice_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Staticness}@anchor{1850}
-@deffn {Attribute} Choice_Staticness = 315
-@end deffn
-
-@geindex Procedure_Call (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Procedure_Call}@anchor{1851}
-@deffn {Attribute} Procedure_Call = 316
-@end deffn
-
-@geindex Implementation (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implementation}@anchor{1852}
-@deffn {Attribute} Implementation = 317
-@end deffn
-
-@geindex Parameter_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_Association_Chain}@anchor{1853}
-@deffn {Attribute} Parameter_Association_Chain = 318
-@end deffn
-
-@geindex Method_Object (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Method_Object}@anchor{1854}
-@deffn {Attribute} Method_Object = 319
-@end deffn
-
-@geindex Subtype_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Type_Mark}@anchor{1855}
-@deffn {Attribute} Subtype_Type_Mark = 320
-@end deffn
-
-@geindex Subnature_Nature_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subnature_Nature_Mark}@anchor{1856}
-@deffn {Attribute} Subnature_Nature_Mark = 321
-@end deffn
-
-@geindex Type_Conversion_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Conversion_Subtype}@anchor{1857}
-@deffn {Attribute} Type_Conversion_Subtype = 322
-@end deffn
-
-@geindex Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Mark}@anchor{1858}
-@deffn {Attribute} Type_Mark = 323
-@end deffn
-
-@geindex File_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Type_Mark}@anchor{1859}
-@deffn {Attribute} File_Type_Mark = 324
-@end deffn
-
-@geindex Return_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Return_Type_Mark}@anchor{185a}
-@deffn {Attribute} Return_Type_Mark = 325
-@end deffn
-
-@geindex Has_Disconnect_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Disconnect_Flag}@anchor{185b}
-@deffn {Attribute} Has_Disconnect_Flag = 326
-@end deffn
-
-@geindex Has_Active_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Active_Flag}@anchor{185c}
-@deffn {Attribute} Has_Active_Flag = 327
-@end deffn
-
-@geindex Is_Within_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Within_Flag}@anchor{185d}
-@deffn {Attribute} Is_Within_Flag = 328
-@end deffn
-
-@geindex Type_Marks_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Marks_List}@anchor{185e}
-@deffn {Attribute} Type_Marks_List = 329
-@end deffn
-
-@geindex Implicit_Alias_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implicit_Alias_Flag}@anchor{185f}
-@deffn {Attribute} Implicit_Alias_Flag = 330
-@end deffn
-
-@geindex Alias_Signature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Alias_Signature}@anchor{1860}
-@deffn {Attribute} Alias_Signature = 331
-@end deffn
-
-@geindex Attribute_Signature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Signature}@anchor{1861}
-@deffn {Attribute} Attribute_Signature = 332
-@end deffn
-
-@geindex Overload_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Overload_List}@anchor{1862}
-@deffn {Attribute} Overload_List = 333
-@end deffn
-
-@geindex Simple_Name_Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Name_Identifier}@anchor{1863}
-@deffn {Attribute} Simple_Name_Identifier = 334
-@end deffn
-
-@geindex Simple_Name_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Name_Subtype}@anchor{1864}
-@deffn {Attribute} Simple_Name_Subtype = 335
-@end deffn
-
-@geindex Protected_Type_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Protected_Type_Body}@anchor{1865}
-@deffn {Attribute} Protected_Type_Body = 336
-@end deffn
-
-@geindex Protected_Type_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Protected_Type_Declaration}@anchor{1866}
-@deffn {Attribute} Protected_Type_Declaration = 337
-@end deffn
-
-@geindex Use_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Use_Flag}@anchor{1867}
-@deffn {Attribute} Use_Flag = 338
-@end deffn
-
-@geindex End_Has_Reserved_Id (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Reserved_Id}@anchor{1868}
-@deffn {Attribute} End_Has_Reserved_Id = 339
-@end deffn
-
-@geindex End_Has_Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Identifier}@anchor{1869}
-@deffn {Attribute} End_Has_Identifier = 340
-@end deffn
-
-@geindex End_Has_Postponed (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Postponed}@anchor{186a}
-@deffn {Attribute} End_Has_Postponed = 341
-@end deffn
-
-@geindex Has_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Label}@anchor{186b}
-@deffn {Attribute} Has_Label = 342
-@end deffn
-
-@geindex Has_Begin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Begin}@anchor{186c}
-@deffn {Attribute} Has_Begin = 343
-@end deffn
-
-@geindex Has_End (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_End}@anchor{186d}
-@deffn {Attribute} Has_End = 344
-@end deffn
-
-@geindex Has_Is (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Is}@anchor{186e}
-@deffn {Attribute} Has_Is = 345
-@end deffn
-
-@geindex Has_Pure (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Pure}@anchor{186f}
-@deffn {Attribute} Has_Pure = 346
-@end deffn
-
-@geindex Has_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Body}@anchor{1870}
-@deffn {Attribute} Has_Body = 347
-@end deffn
-
-@geindex Has_Parameter (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Parameter}@anchor{1871}
-@deffn {Attribute} Has_Parameter = 348
-@end deffn
-
-@geindex Has_Component (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Component}@anchor{1872}
-@deffn {Attribute} Has_Component = 349
-@end deffn
-
-@geindex Has_Identifier_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Identifier_List}@anchor{1873}
-@deffn {Attribute} Has_Identifier_List = 350
-@end deffn
-
-@geindex Has_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Mode}@anchor{1874}
-@deffn {Attribute} Has_Mode = 351
-@end deffn
-
-@geindex Has_Class (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Class}@anchor{1875}
-@deffn {Attribute} Has_Class = 352
-@end deffn
-
-@geindex Has_Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Delay_Mechanism}@anchor{1876}
-@deffn {Attribute} Has_Delay_Mechanism = 353
-@end deffn
-
-@geindex Suspend_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Suspend_Flag}@anchor{1877}
-@deffn {Attribute} Suspend_Flag = 354
-@end deffn
-
-@geindex Is_Ref (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Ref}@anchor{1878}
-@deffn {Attribute} Is_Ref = 355
-@end deffn
-
-@geindex Is_Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Forward_Ref}@anchor{1879}
-@deffn {Attribute} Is_Forward_Ref = 356
-@end deffn
-
-@geindex Psl_Property (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Property}@anchor{187a}
-@deffn {Attribute} Psl_Property = 357
-@end deffn
-
-@geindex Psl_Sequence (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Sequence}@anchor{187b}
-@deffn {Attribute} Psl_Sequence = 358
-@end deffn
-
-@geindex Psl_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Declaration}@anchor{187c}
-@deffn {Attribute} Psl_Declaration = 359
-@end deffn
-
-@geindex Psl_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Expression}@anchor{187d}
-@deffn {Attribute} Psl_Expression = 360
-@end deffn
-
-@geindex Psl_Boolean (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Boolean}@anchor{187e}
-@deffn {Attribute} Psl_Boolean = 361
-@end deffn
-
-@geindex PSL_Clock (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Clock}@anchor{187f}
-@deffn {Attribute} PSL_Clock = 362
-@end deffn
-
-@geindex PSL_NFA (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_NFA}@anchor{1880}
-@deffn {Attribute} PSL_NFA = 363
-@end deffn
-
-@geindex PSL_Nbr_States (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Nbr_States}@anchor{1881}
-@deffn {Attribute} PSL_Nbr_States = 364
-@end deffn
-
-@geindex PSL_Clock_Sensitivity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Clock_Sensitivity}@anchor{1882}
-@deffn {Attribute} PSL_Clock_Sensitivity = 365
-@end deffn
-
-@geindex PSL_EOS_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_EOS_Flag}@anchor{1883}
-@deffn {Attribute} PSL_EOS_Flag = 366
-@end deffn
-
-@geindex PSL_Abort_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Abort_Flag}@anchor{1884}
-@deffn {Attribute} PSL_Abort_Flag = 367
-@end deffn
-
-@geindex Count_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Count_Expression}@anchor{1885}
-@deffn {Attribute} Count_Expression = 368
-@end deffn
+@item
+@ref{d9c,,fields}:
+An enumeration.
+@end itemize
-@geindex Clock_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Clock_Expression}@anchor{1886}
-@deffn {Attribute} Clock_Expression = 369
-@end deffn
-@geindex Default_Clock (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Clock}@anchor{1887}
-@deffn {Attribute} Default_Clock = 370
-@end deffn
-
-@geindex Foreign_Node (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Foreign_Node}@anchor{1888}
-@deffn {Attribute} Foreign_Node = 371
-@end deffn
-@end deffn
+__________________________________________________________________
-@c #-----------------------------------
-@strong{Functions}
+`Functions'
@geindex get_fields_first() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_first}@anchor{1572}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_first}@anchor{c11}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_fields_first (K)
Return the list of fields for node @code{K}.
In Ada @code{Vhdl.Nodes_Meta.Get_Fields} returns a @code{Fields_Array}. To emulate
-this array access, the API provides @code{get_fields_first} and @ref{1573,,get_fields_last()}.
+this array access, the API provides @code{get_fields_first} and @ref{c12,,get_fields_last()}.
The fields are sorted: first the non nodes/list of nodes, then the
nodes/lists that aren’t reference, and then the reference.
@*Parameters:
-@code{K} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Node to get first array index from.
+@code{K} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Node to get first array index from.
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@end deffn
@geindex get_fields_last() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_last}@anchor{1573}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_last}@anchor{c12}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_fields_last (K)
Return the list of fields for node @code{K}.
In Ada @code{Vhdl.Nodes_Meta.Get_Fields} returns a @code{Fields_Array}. To emulate
-this array access, the API provides @ref{1572,,get_fields_first()} and @code{get_fields_last}.
+this array access, the API provides @ref{c11,,get_fields_first()} and @code{get_fields_last}.
The fields are sorted: first the non nodes/list of nodes, then the
nodes/lists that aren’t reference, and then the reference.
@*Parameters:
-@code{K} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Node to get last array index from.
+@code{K} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Node to get last array index from.
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@end deffn
@geindex get_field_by_index() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_by_index}@anchor{1574}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_by_index}@anchor{c13}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_by_index (K)
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
+
+
+@*Parameters:
+@code{K} (@code{IirKind}) –
@end deffn
@geindex get_field_type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_type}@anchor{1575}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_type}@anchor{c14}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_type (*args)
@end deffn
@geindex get_field_attribute() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_attribute}@anchor{1576}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_attribute}@anchor{c15}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_attribute (*args)
@end deffn
@geindex Has_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_First_Design_Unit}@anchor{1577}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_First_Design_Unit}@anchor{c16}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_First_Design_Unit (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Last_Design_Unit}@anchor{1578}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Last_Design_Unit}@anchor{c17}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Last_Design_Unit (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Declaration}@anchor{1579}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Declaration}@anchor{c18}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Declaration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Checksum}@anchor{157a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Checksum}@anchor{c19}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Checksum (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Time_Stamp}@anchor{157b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Time_Stamp}@anchor{c1a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Analysis_Time_Stamp (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Source}@anchor{157c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Source}@anchor{c1b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Source (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Library() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library}@anchor{157d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library}@anchor{c1c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Dependence_List}@anchor{157e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Dependence_List}@anchor{c1d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Dependence_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Filename}@anchor{157f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Filename}@anchor{c1e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Filename (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Directory}@anchor{1580}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Directory}@anchor{c1f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Directory (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Design_File() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File}@anchor{1581}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File}@anchor{c20}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Chain}@anchor{1582}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Chain}@anchor{c21}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Directory}@anchor{1583}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Directory}@anchor{c22}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Directory (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Date() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date}@anchor{1584}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date}@anchor{c23}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Date (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Items}@anchor{1585}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Items}@anchor{c24}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Context_Items (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Dependence_List}@anchor{1586}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Dependence_List}@anchor{c25}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Dependence_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Checks_List}@anchor{1587}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Checks_List}@anchor{c26}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Analysis_Checks_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Date_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date_State}@anchor{1588}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date_State}@anchor{c27}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Date_State (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Target_State}@anchor{1589}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Target_State}@anchor{c28}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guarded_Target_State (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Unit}@anchor{158a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Unit}@anchor{c29}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Unit (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hash_Chain}@anchor{158b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hash_Chain}@anchor{c2a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hash_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Pos}@anchor{158c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Pos}@anchor{c2b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Pos (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Line}@anchor{158d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Line}@anchor{c2c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Line (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Col}@anchor{158e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Col}@anchor{c2d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Col (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value}@anchor{158f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value}@anchor{c2e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Value (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enum_Pos}@anchor{1590}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enum_Pos}@anchor{c2f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Enum_Pos (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Physical_Literal}@anchor{1591}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Physical_Literal}@anchor{c30}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Physical_Literal (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Fp_Value}@anchor{1592}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Fp_Value}@anchor{c31}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Fp_Value (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Aggregate_List}@anchor{1593}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Aggregate_List}@anchor{c32}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Aggregate_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String8_Id}@anchor{1594}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String8_Id}@anchor{c33}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_String8_Id (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_String_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String_Length}@anchor{1595}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String_Length}@anchor{c34}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_String_Length (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bit_String_Base}@anchor{1596}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bit_String_Base}@anchor{c35}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Bit_String_Base (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signed}@anchor{1597}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signed}@anchor{c36}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Signed (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Sign}@anchor{1598}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Sign}@anchor{c37}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Sign (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Length}@anchor{1599}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Length}@anchor{c38}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Length (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Length}@anchor{159a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Length}@anchor{c39}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Length (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Origin}@anchor{159b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Origin}@anchor{c3a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Origin (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Origin}@anchor{159c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Origin}@anchor{c3b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Range_Origin (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Subtype}@anchor{159d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Subtype}@anchor{c3c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Subtype (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Subtype}@anchor{159e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Subtype}@anchor{c3d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Allocator_Subtype (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class}@anchor{159f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class}@anchor{c3e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Class (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name_List}@anchor{15a0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name_List}@anchor{c3f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Name_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Designator}@anchor{15a1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Designator}@anchor{c40}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Designator (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification_Chain}@anchor{15a2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification_Chain}@anchor{c41}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Specification_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification}@anchor{15a3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification}@anchor{c42}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Specification (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Static_Attribute_Flag}@anchor{15a4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Static_Attribute_Flag}@anchor{c43}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Static_Attribute_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_List}@anchor{15a5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_List}@anchor{c44}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Quantity_List}@anchor{15a6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Quantity_List}@anchor{c45}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Quantity_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Entity}@anchor{15a7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Entity}@anchor{c46}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Entity (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Formal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal}@anchor{15a8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal}@anchor{c47}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Formal (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Actual() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual}@anchor{15a9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual}@anchor{c48}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Open_Actual() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Open_Actual}@anchor{c49}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Open_Actual (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Conversion}@anchor{15aa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Conversion}@anchor{c4a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Conversion (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal_Conversion}@anchor{15ab}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal_Conversion}@anchor{c4b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Formal_Conversion (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Whole_Association_Flag}@anchor{15ac}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Whole_Association_Flag}@anchor{c4c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Whole_Association_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Collapse_Signal_Flag}@anchor{15ad}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Collapse_Signal_Flag}@anchor{c4d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Collapse_Signal_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Artificial_Flag}@anchor{15ae}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Artificial_Flag}@anchor{c4e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Artificial_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Open_Flag}@anchor{15af}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Open_Flag}@anchor{c4f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Open_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_After_Drivers_Flag}@anchor{15b0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_After_Drivers_Flag}@anchor{c50}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_After_Drivers_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_We_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_We_Value}@anchor{15b1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_We_Value}@anchor{c51}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_We_Value (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Time() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Time}@anchor{15b2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Time}@anchor{c52}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Time (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Expr}@anchor{15b3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Expr}@anchor{c53}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Expr (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Block}@anchor{15b4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Block}@anchor{c54}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Block (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Chain}@anchor{15b5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Chain}@anchor{c55}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Name}@anchor{15b6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Name}@anchor{c56}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Expression}@anchor{15b7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Expression}@anchor{c57}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Range}@anchor{15b8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Range}@anchor{c58}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Range (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Same_Alternative_Flag}@anchor{15b9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Same_Alternative_Flag}@anchor{c59}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Same_Alternative_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Type_Flag}@anchor{15ba}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Type_Flag}@anchor{c5a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Type_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Architecture() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Architecture}@anchor{15bb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Architecture}@anchor{c5b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Architecture (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Specification}@anchor{15bc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Specification}@anchor{c5c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Specification (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prev_Block_Configuration}@anchor{15bd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prev_Block_Configuration}@anchor{c5d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Prev_Block_Configuration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Item_Chain}@anchor{15be}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Item_Chain}@anchor{c5e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Item_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Chain}@anchor{15bf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Chain}@anchor{c5f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Value_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Spec_Chain}@anchor{15c0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Spec_Chain}@anchor{c60}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Spec_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value_Chain}@anchor{15c1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value_Chain}@anchor{c61}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Value_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Spec_Chain}@anchor{15c2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Spec_Chain}@anchor{c62}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Value_Spec_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name}@anchor{15c3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name}@anchor{c63}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Package() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package}@anchor{15c4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package}@anchor{c64}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Body}@anchor{15c5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Body}@anchor{c65}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Body (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Package_Body}@anchor{15c6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Package_Body}@anchor{c66}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instance_Package_Body (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Body}@anchor{15c7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Body}@anchor{c67}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Need_Body (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Macro_Expanded_Flag}@anchor{15c8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Macro_Expanded_Flag}@anchor{c68}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Macro_Expanded_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Instance_Bodies}@anchor{15c9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Instance_Bodies}@anchor{c69}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Need_Instance_Bodies (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hierarchical_Name}@anchor{15ca}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hierarchical_Name}@anchor{c6a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hierarchical_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vunit_Item_Chain}@anchor{15cb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vunit_Item_Chain}@anchor{c6b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Vunit_Item_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bound_Vunit_Chain}@anchor{15cc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bound_Vunit_Chain}@anchor{c6c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Bound_Vunit_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Verification_Block_Configuration}@anchor{15cd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Verification_Block_Configuration}@anchor{c6d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Verification_Block_Configuration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Configuration}@anchor{15ce}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Configuration}@anchor{c6e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Configuration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Concurrent_Statement_Chain}@anchor{15cf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Concurrent_Statement_Chain}@anchor{c6f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Concurrent_Statement_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Chain}@anchor{15d0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Chain}@anchor{c70}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Chain}@anchor{15d1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Chain}@anchor{c71}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Port_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Chain}@anchor{15d2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Chain}@anchor{c72}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generic_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type}@anchor{15d3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type}@anchor{c73}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Indication}@anchor{15d4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Indication}@anchor{c74}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Indication (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Discrete_Range}@anchor{15d5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Discrete_Range}@anchor{c75}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Discrete_Range (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Definition}@anchor{15d6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Definition}@anchor{c76}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Definition (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Definition}@anchor{15d7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Definition}@anchor{c77}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Definition (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Declaration}@anchor{15d8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Declaration}@anchor{c78}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Incomplete_Type_Declaration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Type_Subprograms}@anchor{15d9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Type_Subprograms}@anchor{c79}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Type_Subprograms (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Interface_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Type_Definition}@anchor{c7a}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Type_Definition (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Definition}@anchor{15da}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Definition}@anchor{c7b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Definition (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature}@anchor{15db}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature}@anchor{c7c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Indication}@anchor{15dc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Indication}@anchor{c7d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subnature_Indication (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Reference_Terminal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reference_Terminal_Flag}@anchor{c7e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Reference_Terminal_Flag (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Mode}@anchor{15dd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Mode}@anchor{c7f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Mode (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Signal_Flag}@anchor{15de}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Signal_Flag}@anchor{c80}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guarded_Signal_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Kind}@anchor{15df}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Kind}@anchor{c81}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Kind (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Name}@anchor{15e0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Name}@anchor{c82}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Base_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Declaration_Chain}@anchor{15e1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Declaration_Chain}@anchor{c83}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Declaration_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Default_Subprogram() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Subprogram}@anchor{c84}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Subprogram (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Associated_Subprogram() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Subprogram}@anchor{c85}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Subprogram (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Specification}@anchor{15e2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Specification}@anchor{c86}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Specification (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sequential_Statement_Chain}@anchor{15e3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sequential_Statement_Chain}@anchor{c87}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sequential_Statement_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Statement_Chain}@anchor{15e4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Statement_Chain}@anchor{c88}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Statement_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Body}@anchor{15e5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Body}@anchor{c89}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Body (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_Number}@anchor{15e6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_Number}@anchor{c8a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Overload_Number (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Depth}@anchor{15e7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Depth}@anchor{c8b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Depth (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Hash}@anchor{15e8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Hash}@anchor{c8c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Hash (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Impure_Depth}@anchor{15e9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Impure_Depth}@anchor{c8d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Impure_Depth (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type}@anchor{15ea}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type}@anchor{c8e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Type (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Definition}@anchor{15eb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Definition}@anchor{c8f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implicit_Definition (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Subprogram_Name}@anchor{15ec}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Subprogram_Name}@anchor{c90}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Subprogram_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Value}@anchor{15ed}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Value}@anchor{c91}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Value (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration}@anchor{15ee}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration}@anchor{c92}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Deferred_Declaration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration_Flag}@anchor{15ef}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration_Flag}@anchor{c93}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Deferred_Declaration_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Shared_Flag}@anchor{15f0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Shared_Flag}@anchor{c94}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Shared_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit}@anchor{15f1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit}@anchor{c95}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Statement}@anchor{15f2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Statement}@anchor{c96}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Statement (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Driver}@anchor{15f3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Driver}@anchor{c97}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Driver (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Declaration_Chain}@anchor{15f4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Declaration_Chain}@anchor{c98}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Declaration_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Logical_Name}@anchor{15f5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Logical_Name}@anchor{c99}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Logical_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Open_Kind}@anchor{15f6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Open_Kind}@anchor{c9a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Open_Kind (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Position}@anchor{15f7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Position}@anchor{c9b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Position (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Clause_Chain}@anchor{15f8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Clause_Chain}@anchor{c9c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Use_Clause_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Reference_Chain}@anchor{15f9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Reference_Chain}@anchor{c9d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Context_Reference_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Inherit_Spec_Chain}@anchor{15fa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Inherit_Spec_Chain}@anchor{c9e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Inherit_Spec_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Name}@anchor{15fb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Name}@anchor{c9f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selected_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Declarator}@anchor{15fc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Declarator}@anchor{ca0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Declarator (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Complete_Type_Definition}@anchor{15fd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Complete_Type_Definition}@anchor{ca1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Complete_Type_Definition (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Ref_Chain}@anchor{15fe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Ref_Chain}@anchor{ca2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Incomplete_Type_Ref_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Type}@anchor{15ff}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Type}@anchor{ca3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Type (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enumeration_Literal_List}@anchor{1600}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enumeration_Literal_List}@anchor{ca4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Enumeration_Literal_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class_Entry_Chain}@anchor{1601}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class_Entry_Chain}@anchor{ca5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Class_Entry_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Constituent_List}@anchor{1602}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Constituent_List}@anchor{ca6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Group_Constituent_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Chain}@anchor{1603}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Chain}@anchor{ca7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Unit_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Primary_Unit}@anchor{1604}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Primary_Unit}@anchor{ca8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Primary_Unit (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Identifier}@anchor{1605}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Identifier}@anchor{ca9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Identifier (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Label}@anchor{1606}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Label}@anchor{caa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Label (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Return_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Identifier}@anchor{cab}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Identifier (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Visible_Flag}@anchor{1607}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Visible_Flag}@anchor{cac}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Visible_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Constraint}@anchor{1608}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Constraint}@anchor{cad}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Range_Constraint (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Direction() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Direction}@anchor{1609}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Direction}@anchor{cae}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Direction (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit}@anchor{160a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit}@anchor{caf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left_Limit (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit}@anchor{160b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit}@anchor{cb0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right_Limit (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit_Expr}@anchor{160c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit_Expr}@anchor{cb1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left_Limit_Expr (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit_Expr}@anchor{160d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit_Expr}@anchor{cb2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right_Limit_Expr (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent_Type}@anchor{160e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent_Type}@anchor{cb3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parent_Type (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Nature}@anchor{160f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Nature}@anchor{cb4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Nature (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Nature}@anchor{1610}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Nature}@anchor{cb5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Base_Nature (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Indication}@anchor{1611}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Indication}@anchor{cb6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolution_Indication (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Record_Element_Resolution_Chain}@anchor{1612}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Record_Element_Resolution_Chain}@anchor{cb7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Record_Element_Resolution_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Tolerance}@anchor{1613}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Tolerance}@anchor{cb8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Tolerance (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal_Name}@anchor{1614}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal_Name}@anchor{cb9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Plus_Terminal_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal_Name}@anchor{1615}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal_Name}@anchor{cba}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Minus_Terminal_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal}@anchor{1616}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal}@anchor{cbb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Plus_Terminal (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal}@anchor{1617}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal}@anchor{cbc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Minus_Terminal (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Magnitude_Expression}@anchor{1618}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Magnitude_Expression}@anchor{cbd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Magnitude_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Phase_Expression}@anchor{1619}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Phase_Expression}@anchor{cbe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Phase_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Power_Expression}@anchor{161a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Power_Expression}@anchor{cbf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Power_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Left}@anchor{161b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Left}@anchor{cc0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Left (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Right}@anchor{161c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Right}@anchor{cc1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Right (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Text_File_Flag}@anchor{161d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Text_File_Flag}@anchor{cc2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Text_File_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Only_Characters_Flag}@anchor{161e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Only_Characters_Flag}@anchor{cc3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Only_Characters_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Character_Type}@anchor{161f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Character_Type}@anchor{cc4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Character_Type (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Staticness}@anchor{1620}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Staticness}@anchor{cc5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Staticness (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Staticness}@anchor{1621}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Staticness}@anchor{cc6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Staticness (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Constraint_State}@anchor{1622}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Constraint_State}@anchor{cc7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Constraint_State (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_List}@anchor{1623}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_List}@anchor{cc8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_Definition_List}@anchor{1624}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_Definition_List}@anchor{cc9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype_Definition_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype_Indication}@anchor{1625}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype_Indication}@anchor{cca}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subtype_Indication (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype}@anchor{1626}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype}@anchor{ccb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subtype (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature_Indication}@anchor{1627}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature_Indication}@anchor{ccc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subnature_Indication (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature}@anchor{1628}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature}@anchor{ccd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subnature (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_List}@anchor{1629}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_List}@anchor{cce}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Constraint_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Array_Element_Constraint}@anchor{162a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Array_Element_Constraint}@anchor{ccf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Array_Element_Constraint (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Array_Constraint_Flag}@anchor{162b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Array_Constraint_Flag}@anchor{cd0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Array_Constraint_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Element_Constraint_Flag}@anchor{162c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Element_Constraint_Flag}@anchor{cd1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Element_Constraint_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elements_Declaration_List}@anchor{162d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elements_Declaration_List}@anchor{cd2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Elements_Declaration_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Owned_Elements_Chain}@anchor{162e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Owned_Elements_Chain}@anchor{cd3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Owned_Elements_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Type}@anchor{162f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Type}@anchor{cd4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Type (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Subtype_Indication}@anchor{1630}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Subtype_Indication}@anchor{cd5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Subtype_Indication (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Index_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_List}@anchor{1631}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_List}@anchor{cd6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Reference() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reference}@anchor{1632}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reference}@anchor{cd7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Reference (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Declarator}@anchor{1633}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Declarator}@anchor{cd8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Declarator (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Mark}@anchor{1634}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Mark}@anchor{cd9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type_Mark (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Mark}@anchor{1635}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Mark}@anchor{cda}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type_Mark (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Definition}@anchor{1636}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Definition}@anchor{cdb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type_Definition (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Definition}@anchor{1637}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Definition}@anchor{cdc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type_Definition (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type}@anchor{1638}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type}@anchor{cdd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type}@anchor{1639}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type}@anchor{cde}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Target() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Target}@anchor{163a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Target}@anchor{cdf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Target (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Waveform_Chain}@anchor{163b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Waveform_Chain}@anchor{ce0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Waveform_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Guard() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard}@anchor{163c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard}@anchor{ce1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Delay_Mechanism}@anchor{163d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Delay_Mechanism}@anchor{ce2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Delay_Mechanism (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reject_Time_Expression}@anchor{163e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reject_Time_Expression}@anchor{ce3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Reject_Time_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Force_Mode}@anchor{163f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Force_Mode}@anchor{ce4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Force_Mode (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Force_Mode}@anchor{1640}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Force_Mode}@anchor{ce5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Force_Mode (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sensitivity_List}@anchor{1641}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sensitivity_List}@anchor{ce6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sensitivity_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Process_Origin}@anchor{1642}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Process_Origin}@anchor{ce7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Process_Origin (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Origin}@anchor{1643}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Origin}@anchor{ce8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Origin (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition_Clause}@anchor{1644}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition_Clause}@anchor{ce9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Condition_Clause (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Element}@anchor{1645}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Element}@anchor{cea}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Break_Element (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selector_Quantity}@anchor{1646}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selector_Quantity}@anchor{ceb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selector_Quantity (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Quantity}@anchor{1647}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Quantity}@anchor{cec}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Break_Quantity (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Timeout_Clause}@anchor{1648}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Timeout_Clause}@anchor{ced}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Timeout_Clause (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Postponed_Flag}@anchor{1649}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Postponed_Flag}@anchor{cee}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Postponed_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Callees_List}@anchor{164a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Callees_List}@anchor{cef}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Callees_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Passive_Flag}@anchor{164b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Passive_Flag}@anchor{cf0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Passive_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Function_Flag}@anchor{164c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Function_Flag}@anchor{cf1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolution_Function_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Wait_State}@anchor{164d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Wait_State}@anchor{cf2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Wait_State (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_All_Sensitized_State}@anchor{164e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_All_Sensitized_State}@anchor{cf3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_All_Sensitized_State (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Seen_Flag}@anchor{164f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Seen_Flag}@anchor{cf4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Seen_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pure_Flag}@anchor{1650}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pure_Flag}@anchor{cf5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pure_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Flag}@anchor{1651}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Flag}@anchor{cf6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Foreign_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolved_Flag}@anchor{1652}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolved_Flag}@anchor{cf7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolved_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Type_Flag}@anchor{1653}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Type_Flag}@anchor{cf8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Type_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signal_Flag}@anchor{1654}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signal_Flag}@anchor{cf9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Signal_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Purity_State}@anchor{1655}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Purity_State}@anchor{cfa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Purity_State (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elab_Flag}@anchor{1656}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elab_Flag}@anchor{cfb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Elab_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vendor_Library_Flag}@anchor{1657}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vendor_Library_Flag}@anchor{cfc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Vendor_Library_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Mark_Flag}@anchor{1658}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Mark_Flag}@anchor{cfd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Mark_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Done_Flag}@anchor{1659}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Done_Flag}@anchor{cfe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Done_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_Flag}@anchor{165a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_Flag}@anchor{cff}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Constraint_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hide_Implicit_Flag}@anchor{165b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hide_Implicit_Flag}@anchor{d00}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hide_Implicit_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Assertion_Condition}@anchor{165c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Assertion_Condition}@anchor{d01}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Assertion_Condition (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Report_Expression}@anchor{165d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Report_Expression}@anchor{d02}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Report_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Severity_Expression}@anchor{165e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Severity_Expression}@anchor{d03}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Severity_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiated_Unit}@anchor{165f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiated_Unit}@anchor{d04}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiated_Unit (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Instantiated_Header() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiated_Header}@anchor{d05}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiated_Header (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Map_Aspect_Chain}@anchor{1660}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Map_Aspect_Chain}@anchor{d06}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generic_Map_Aspect_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Map_Aspect_Chain}@anchor{1661}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Map_Aspect_Chain}@anchor{d07}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Port_Map_Aspect_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Name}@anchor{1662}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Name}@anchor{d08}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Configuration}@anchor{1663}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Configuration}@anchor{d09}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Component_Configuration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Specification}@anchor{1664}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Specification}@anchor{d0a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Specification (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Binding_Indication}@anchor{1665}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Binding_Indication}@anchor{d0b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Binding_Indication (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Configuration_Declaration}@anchor{1666}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Configuration_Declaration}@anchor{d0c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Configuration_Declaration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expression}@anchor{1667}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expression}@anchor{d0d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Expression_Chain}@anchor{1668}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Expression_Chain}@anchor{d0e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Conditional_Expression_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Designated_Type}@anchor{1669}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Designated_Type}@anchor{d0f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Allocator_Designated_Type (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Waveform_Chain}@anchor{166a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Waveform_Chain}@anchor{d10}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selected_Waveform_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Waveform_Chain}@anchor{166b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Waveform_Chain}@anchor{d11}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Conditional_Waveform_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Expression}@anchor{166c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Expression}@anchor{d12}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Decl}@anchor{166d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Decl}@anchor{d13}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Decl (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Sensitivity_List}@anchor{166e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Sensitivity_List}@anchor{d14}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Sensitivity_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
-@geindex Has_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Attribute_Chain}@anchor{166f}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Attribute_Chain (kind)
+@geindex Has_Attribute_Implicit_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Implicit_Chain}@anchor{d15}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Implicit_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Block_Configuration}@anchor{1670}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Block_Configuration}@anchor{d16}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Block_Configuration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Header}@anchor{1671}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Header}@anchor{d17}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Header (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Header}@anchor{1672}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Header}@anchor{d18}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Header (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Name}@anchor{1673}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Name}@anchor{d19}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Package_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Decl}@anchor{1674}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Decl}@anchor{d1a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Package_Decl (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Associated_Package() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Package}@anchor{d1b}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Package (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Source_File}@anchor{1675}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Source_File}@anchor{d1c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instance_Source_File (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Block_Configuration}@anchor{1676}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Block_Configuration}@anchor{d1d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Block_Configuration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Statement_Body}@anchor{1677}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Statement_Body}@anchor{d1e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Statement_Body (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alternative_Label}@anchor{1678}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alternative_Label}@anchor{d1f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Alternative_Label (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Else_Clause}@anchor{1679}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Else_Clause}@anchor{d20}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Else_Clause (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Condition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition}@anchor{167a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition}@anchor{d21}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Condition (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Else_Clause}@anchor{167b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Else_Clause}@anchor{d22}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Else_Clause (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Specification}@anchor{167c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Specification}@anchor{d23}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_Specification (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Parent() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent}@anchor{167d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent}@anchor{d24}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parent (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Loop_Label}@anchor{167e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Loop_Label}@anchor{d25}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Loop_Label (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Exit_Flag}@anchor{167f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Exit_Flag}@anchor{d26}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Exit_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Next_Flag}@anchor{1680}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Next_Flag}@anchor{d27}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Next_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Name}@anchor{1681}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Name}@anchor{d28}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Component_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiation_List}@anchor{1682}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiation_List}@anchor{d29}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiation_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Aspect}@anchor{1683}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Aspect}@anchor{d2a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Aspect (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Entity_Aspect}@anchor{1684}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Entity_Aspect}@anchor{d2b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Entity_Aspect (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Binding_Indication}@anchor{1685}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Binding_Indication}@anchor{d2c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Binding_Indication (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Named_Entity}@anchor{1686}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Named_Entity}@anchor{d2d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Named_Entity (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Referenced_Name}@anchor{1687}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Referenced_Name}@anchor{d2e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Referenced_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expr_Staticness}@anchor{1688}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expr_Staticness}@anchor{d2f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Expr_Staticness (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Scalar_Size}@anchor{1689}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Scalar_Size}@anchor{d30}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Scalar_Size (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Error_Origin}@anchor{168a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Error_Origin}@anchor{d31}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Error_Origin (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Operand() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Operand}@anchor{168b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Operand}@anchor{d32}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Operand (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Left() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left}@anchor{168c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left}@anchor{d33}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Right() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right}@anchor{168d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right}@anchor{d34}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Name}@anchor{168e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Name}@anchor{d35}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Unit_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name}@anchor{168f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name}@anchor{d36}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Template_Name}@anchor{1690}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Template_Name}@anchor{d37}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Group_Template_Name (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name_Staticness}@anchor{1691}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name_Staticness}@anchor{d38}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Name_Staticness (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Prefix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prefix}@anchor{1692}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prefix}@anchor{d39}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Prefix (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signature_Prefix}@anchor{1693}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signature_Prefix}@anchor{d3a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signature_Prefix (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_External_Pathname}@anchor{1694}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_External_Pathname}@anchor{d3b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_External_Pathname (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Suffix}@anchor{1695}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Suffix}@anchor{d3c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pathname_Suffix (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Expression}@anchor{1696}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Expression}@anchor{d3d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pathname_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_In_Formal_Flag}@anchor{1697}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_In_Formal_Flag}@anchor{d3e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_In_Formal_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Inertial_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Inertial_Flag}@anchor{d3f}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Inertial_Flag (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Slice_Subtype}@anchor{1698}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Slice_Subtype}@anchor{d40}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Slice_Subtype (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Suffix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suffix}@anchor{1699}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suffix}@anchor{d41}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suffix (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype}@anchor{169a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype}@anchor{d42}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter}@anchor{169b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter}@anchor{d43}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_2}@anchor{169c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_2}@anchor{d44}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_2 (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_3}@anchor{169d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_3}@anchor{d45}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_3 (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_4}@anchor{169e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_4}@anchor{d46}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_4 (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attr_Chain}@anchor{169f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attr_Chain}@anchor{d47}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attr_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
-@geindex Has_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Attribute_Declaration}@anchor{16a0}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Attribute_Declaration (kind)
+@geindex Has_Attribute_Implicit_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Implicit_Declaration}@anchor{d48}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Implicit_Declaration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type}@anchor{16a1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type}@anchor{d49}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Type (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type_Definition}@anchor{16a2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type_Definition}@anchor{d4a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Type_Definition (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Chain}@anchor{16a3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Chain}@anchor{d4b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Association_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Individual_Association_Chain}@anchor{16a4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Individual_Association_Chain}@anchor{d4c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Individual_Association_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Association_Chain}@anchor{16a5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Association_Chain}@anchor{d4d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Association_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Info}@anchor{16a6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Info}@anchor{d4e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggregate_Info (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sub_Aggregate_Info}@anchor{16a7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sub_Aggregate_Info}@anchor{d4f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sub_Aggregate_Info (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Dynamic_Flag}@anchor{16a8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Dynamic_Flag}@anchor{d50}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Dynamic_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Min_Length}@anchor{16a9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Min_Length}@anchor{d51}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Min_Length (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Low_Limit}@anchor{16aa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Low_Limit}@anchor{d52}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Low_Limit (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_High_Limit}@anchor{16ab}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_High_Limit}@anchor{d53}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_High_Limit (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Others_Flag}@anchor{16ac}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Others_Flag}@anchor{d54}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Others_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Named_Flag}@anchor{16ad}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Named_Flag}@anchor{d55}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Named_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Expand_Flag}@anchor{16ae}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Expand_Flag}@anchor{d56}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggregate_Expand_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Determined_Aggregate_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Determined_Aggregate_Flag}@anchor{d57}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Determined_Aggregate_Flag (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Choices_Chain}@anchor{16af}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Choices_Chain}@anchor{d58}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Association_Choices_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Case_Statement_Alternative_Chain}@anchor{16b0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Case_Statement_Alternative_Chain}@anchor{d59}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Case_Statement_Alternative_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Matching_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Matching_Flag}@anchor{16b1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Matching_Flag}@anchor{d5a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Matching_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Staticness}@anchor{16b2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Staticness}@anchor{d5b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Staticness (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Procedure_Call}@anchor{16b3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Procedure_Call}@anchor{d5c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Procedure_Call (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Implementation() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implementation}@anchor{16b4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implementation}@anchor{d5d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implementation (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Association_Chain}@anchor{16b5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Association_Chain}@anchor{d5e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_Association_Chain (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Method_Object}@anchor{16b6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Method_Object}@anchor{d5f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Method_Object (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Type_Mark}@anchor{16b7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Type_Mark}@anchor{d60}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Type_Mark (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Nature_Mark}@anchor{16b8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Nature_Mark}@anchor{d61}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subnature_Nature_Mark (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Conversion_Subtype}@anchor{16b9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Conversion_Subtype}@anchor{d62}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Conversion_Subtype (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Mark}@anchor{16ba}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Mark}@anchor{d63}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Mark (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Type_Mark}@anchor{16bb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Type_Mark}@anchor{d64}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Type_Mark (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type_Mark}@anchor{16bc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type_Mark}@anchor{d65}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Type_Mark (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Disconnect_Flag}@anchor{16bd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Disconnect_Flag}@anchor{d66}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Disconnect_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Active_Flag}@anchor{16be}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Active_Flag}@anchor{d67}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Active_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Within_Flag}@anchor{16bf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Within_Flag}@anchor{d68}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Within_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Marks_List}@anchor{16c0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Marks_List}@anchor{d69}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Marks_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Alias_Flag}@anchor{16c1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Alias_Flag}@anchor{d6a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implicit_Alias_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alias_Signature}@anchor{16c2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alias_Signature}@anchor{d6b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Alias_Signature (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Signature}@anchor{16c3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Signature}@anchor{d6c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Signature (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_List}@anchor{16c4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_List}@anchor{d6d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Overload_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Identifier}@anchor{16c5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Identifier}@anchor{d6e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Name_Identifier (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Subtype}@anchor{16c6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Subtype}@anchor{d6f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Name_Subtype (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Body}@anchor{16c7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Body}@anchor{d70}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Protected_Type_Body (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Declaration}@anchor{16c8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Declaration}@anchor{d71}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Protected_Type_Declaration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Flag}@anchor{16c9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Flag}@anchor{d72}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Use_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Elaborated_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elaborated_Flag}@anchor{d73}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Elaborated_Flag (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Reserved_Id}@anchor{16ca}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Reserved_Id}@anchor{d74}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Reserved_Id (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Identifier}@anchor{16cb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Identifier}@anchor{d75}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Identifier (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Postponed}@anchor{16cc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Postponed}@anchor{d76}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Postponed (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Label}@anchor{16cd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Label}@anchor{d77}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Label (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Begin}@anchor{16ce}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Begin}@anchor{d78}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Begin (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_End() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_End}@anchor{16cf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_End}@anchor{d79}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_End (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Is}@anchor{16d0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Is}@anchor{d7a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Is (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Pure}@anchor{16d1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Pure}@anchor{d7b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Pure (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Body}@anchor{16d2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Body}@anchor{d7c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Body (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Parameter}@anchor{16d3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Parameter}@anchor{d7d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Parameter (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Component}@anchor{16d4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Component}@anchor{d7e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Component (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Identifier_List}@anchor{16d5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Identifier_List}@anchor{d7f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Identifier_List (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Mode}@anchor{16d6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Mode}@anchor{d80}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Mode (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Class}@anchor{16d7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Class}@anchor{d81}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Class (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Delay_Mechanism}@anchor{16d8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Delay_Mechanism}@anchor{d82}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Delay_Mechanism (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suspend_Flag}@anchor{16d9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suspend_Flag}@anchor{d83}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Stop_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Stop_Flag}@anchor{d84}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Stop_Flag (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Ref}@anchor{16da}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Ref}@anchor{d85}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Ref (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Forward_Ref}@anchor{16db}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Forward_Ref}@anchor{d86}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Forward_Ref (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Property}@anchor{16dc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Property}@anchor{d87}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Property (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Sequence}@anchor{16dd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Sequence}@anchor{d88}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Sequence (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Declaration}@anchor{16de}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Declaration}@anchor{d89}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Declaration (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Expression}@anchor{16df}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Expression}@anchor{d8a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Boolean}@anchor{16e0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Boolean}@anchor{d8b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Boolean (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock}@anchor{16e1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock}@anchor{d8c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Clock (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_NFA}@anchor{16e2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_NFA}@anchor{d8d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_NFA (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Nbr_States}@anchor{16e3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Nbr_States}@anchor{d8e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Nbr_States (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock_Sensitivity}@anchor{16e4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock_Sensitivity}@anchor{d8f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Clock_Sensitivity (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_EOS_Flag}@anchor{16e5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_EOS_Flag}@anchor{d90}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_EOS_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_PSL_Abort_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Abort_Flag}@anchor{16e6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Abort_Flag}@anchor{d91}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Abort_Flag (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Count_Expression}@anchor{16e7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Count_Expression}@anchor{d92}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Count_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Clock_Expression}@anchor{16e8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Clock_Expression}@anchor{d93}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Clock_Expression (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Clock}@anchor{16e9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Clock}@anchor{d94}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Clock (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
@end deffn
@geindex Has_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Node}@anchor{16ea}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Node}@anchor{d95}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Foreign_Node (kind)
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Suspend_State_Index() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suspend_State_Index}@anchor{d96}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_State_Index (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Suspend_State_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suspend_State_Chain}@anchor{d97}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_State_Chain (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Suspend_State_Last() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suspend_State_Last}@anchor{d98}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_State_Last (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+@geindex Has_Suspend_State_Decl() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suspend_State_Decl}@anchor{d99}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_State_Decl (kind)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
+
+
+@*Parameters:
+@code{kind} (@code{IirKind}) –
+
+@end deffn
+
+
+__________________________________________________________________
+
+
+`Classes'
+
+@geindex types (class in pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types}@anchor{d9a}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.types (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-7d951822f1cb663b4dc831df2782ce0819407c4d,,,[graphviz],png}
+@end deffn
+
+@geindex Attr (class in pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr}@anchor{d9b}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.Attr (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+@image{inheritance-4355ec527ee4f564d0b6b9bb31d0a43816131ae5,,,[graphviz],png}
+@end deffn
+
+@geindex fields (class in pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields}@anchor{d9c}
+@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.fields (value)
+
+An enumeration.
+
+@subsubheading Inheritance
+
+@image{inheritance-91ac845863fb0255eda601fa0922259a1081c517,,,[graphviz],png}
@end deffn
@c # Load pre-defined aliases and graphical characters like © from docutils
@@ -53783,69 +54843,73 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils doc}@anchor{1889}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils module-pyGHDL libghdl vhdl nodes_utils}@anchor{31}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyghdl-libghdl-vhdl-nodes-utils}@anchor{188a}
-@subsubsection pyGHDL.libghdl.vhdl.nodes_utils
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils doc}@anchor{d9d}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils module-pyGHDL libghdl vhdl nodes_utils}@anchor{33}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyghdl-libghdl-vhdl-nodes-utils}@anchor{d9e}
+@subsubsection @code{pyGHDL.libghdl.vhdl.nodes_utils}
@geindex module; pyGHDL.libghdl.vhdl.nodes_utils
-@c #-----------------------------------
-
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{188b,,Strip_Denoting_Name()}:
+@ref{d9f,,Strip_Denoting_Name()}:
If @code{Name} is a simple or an expanded name, return the denoted declaration.
@item
-@ref{188c,,Get_Entity()}:
+@ref{da0,,Get_Entity()}:
This is a wrapper around @code{Get_Entity_Name} to return the entity declaration
@item
-@ref{188d,,Is_Second_Subprogram_Specification()}:
+@ref{da1,,Is_Second_Subprogram_Specification()}:
Check if @code{Spec} is the subprogram specification of a subprogram body
@item
-@ref{188e,,Get_Entity_From_Entity_Aspect()}:
+@ref{da2,,Get_Entity_From_Entity_Aspect()}:
Extract the entity from @code{Aspect}.
@item
-@ref{188f,,Get_Interface_Of_Formal()}:
+@ref{da3,,Get_Interface_Of_Formal()}:
Get the interface corresponding to the formal name @code{Formal}. This is
@end itemize
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex Strip_Denoting_Name() (in module pyGHDL.libghdl.vhdl.nodes_utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Strip_Denoting_Name}@anchor{188b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Strip_Denoting_Name}@anchor{d9f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Strip_Denoting_Name (Name)
If @code{Name} is a simple or an expanded name, return the denoted declaration.
Otherwise, return @code{Name}.
@*Parameters:
-@code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Simple or an expanded name.
+@code{Name} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Simple or an expanded name.
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -53854,18 +54918,18 @@ Denoted declaration.
@end deffn
@geindex Get_Entity() (in module pyGHDL.libghdl.vhdl.nodes_utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity}@anchor{188c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity}@anchor{da0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Entity (Decl)
This is a wrapper around @code{Get_Entity_Name} to return the entity declaration
of the entity name of @code{Decl}, or @code{Null_Iir} in case of error.
@*Parameters:
-@code{Decl} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Declaration
+@code{Decl} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Declaration
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -53874,7 +54938,7 @@ Entity
@end deffn
@geindex Is_Second_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes_utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Is_Second_Subprogram_Specification}@anchor{188d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Is_Second_Subprogram_Specification}@anchor{da1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Is_Second_Subprogram_Specification (Spec)
Check if @code{Spec} is the subprogram specification of a subprogram body
@@ -53882,11 +54946,11 @@ which was previously declared. In that case, the only use of @code{Spec}
is to match the body with its declaration.
@*Parameters:
-@code{Spec} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Specification
+@code{Spec} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Specification
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
@*Returns:
@@ -53895,7 +54959,7 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Get_Entity_From_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity_From_Entity_Aspect}@anchor{188e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity_From_Entity_Aspect}@anchor{da2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Entity_From_Entity_Aspect (Aspect)
Extract the entity from @code{Aspect}.
@@ -53904,11 +54968,11 @@ If @code{Aspect} is a component declaration, return @code{Aspect}. If it’s
open, return @code{Null_Iir}
@*Parameters:
-@code{Aspect} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Aspect
+@code{Aspect} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Aspect
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -53917,18 +54981,18 @@ Entity
@end deffn
@geindex Get_Interface_Of_Formal() (in module pyGHDL.libghdl.vhdl.nodes_utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Interface_Of_Formal}@anchor{188f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Interface_Of_Formal}@anchor{da3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Interface_Of_Formal (Formal)
Get the interface corresponding to the formal name @code{Formal}. This is
always an interface, even if the formal is a name.
@*Parameters:
-@code{Formal} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – The formal.
+@code{Formal} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – The formal.
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -53945,47 +55009,55 @@ The corresponding interface.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
-@node pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl parse doc}@anchor{1890}@anchor{pyGHDL/pyGHDL libghdl vhdl parse module-pyGHDL libghdl vhdl parse}@anchor{32}@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyghdl-libghdl-vhdl-parse}@anchor{1891}
-@subsubsection pyGHDL.libghdl.vhdl.parse
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+@node pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl prints,pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl parse doc}@anchor{da4}@anchor{pyGHDL/pyGHDL libghdl vhdl parse module-pyGHDL libghdl vhdl parse}@anchor{34}@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyghdl-libghdl-vhdl-parse}@anchor{da5}
+@subsubsection @code{pyGHDL.libghdl.vhdl.parse}
-@geindex module; pyGHDL.libghdl.vhdl.parse
-@c #-----------------------------------
+@geindex module; pyGHDL.libghdl.vhdl.parse
-@strong{Variables}
+`Variables'
@itemize -
@item
-@ref{1892,,Flag_Parse_Parenthesis}
+@ref{da6,,Flag_Parse_Parenthesis}
@end itemize
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{1893,,Parse_Design_File()}:
+@ref{da7,,Parse_Design_File()}:
Parse a file.
@end itemize
+
+__________________________________________________________________
+
+
+`Variables'
+
@geindex Flag_Parse_Parenthesis (in module pyGHDL.libghdl.vhdl.parse)
-@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Flag_Parse_Parenthesis}@anchor{1892}
+@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Flag_Parse_Parenthesis}@anchor{da6}
@deffn {Data} pyGHDL.libghdl.vhdl.parse.Flag_Parse_Parenthesis
@example
@@ -53993,12 +55065,14 @@ c_bool(False)
@end example
@end deffn
-@c #-----------------------------------
-@strong{Functions}
+__________________________________________________________________
+
+
+`Functions'
@geindex Parse_Design_File() (in module pyGHDL.libghdl.vhdl.parse)
-@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Parse_Design_File}@anchor{1893}
+@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Parse_Design_File}@anchor{da7}
@deffn {Function} pyGHDL.libghdl.vhdl.parse.Parse_Design_File ()
Parse a file.
@@ -54006,11 +55080,11 @@ Parse a file.
..note:: The scanner must have been initialized as for parse_design_unit.
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
-Return @code{Null_Iir} in case of error. Type: @code{Iir_Design_File}
+Return @ref{816,,Null_Iir} in case of error. Type: @code{Iir_Design_File}
@end deffn
@@ -54023,163 +55097,170 @@ Return @code{Null_Iir} in case of error. Type: @code{Iir_Design_File}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
-@node pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem doc}@anchor{1894}@anchor{pyGHDL/pyGHDL libghdl vhdl sem module-pyGHDL libghdl vhdl sem}@anchor{33}@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyghdl-libghdl-vhdl-sem}@anchor{1895}
-@subsubsection pyGHDL.libghdl.vhdl.sem
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+@node pyGHDL libghdl vhdl prints,pyGHDL libghdl vhdl scanner,pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl prints doc}@anchor{da8}@anchor{pyGHDL/pyGHDL libghdl vhdl prints module-pyGHDL libghdl vhdl prints}@anchor{35}@anchor{pyGHDL/pyGHDL libghdl vhdl prints pyghdl-libghdl-vhdl-prints}@anchor{da9}
+@subsubsection @code{pyGHDL.libghdl.vhdl.prints}
-@geindex module; pyGHDL.libghdl.vhdl.sem
-@c #-----------------------------------
+@geindex module; pyGHDL.libghdl.vhdl.prints
-@strong{Functions}
+`Functions'
@itemize -
@item
-@ref{1896,,Semantic()}:
-Do the semantic analysis of design unit @code{DesignUnit}.
-@end itemize
-
-@c #-----------------------------------
-
-@strong{Functions}
+@ref{daa,,Print_String()}:
+Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
-@geindex Semantic() (in module pyGHDL.libghdl.vhdl.sem)
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyGHDL libghdl vhdl sem Semantic}@anchor{1896}
-@deffn {Function} pyGHDL.libghdl.vhdl.sem.Semantic (DesignUnit)
+@item
+@ref{dab,,Allocate_Handle()}:
+.. todo:: Undocumented in Ada code.
-Do the semantic analysis of design unit @code{DesignUnit}.
+@item
+@ref{dac,,Get_Length()}:
+.. todo:: Undocumented in Ada code.
-Also add a few node or change some nodes, when for example an identifier is
-changed into an access to the type.
+@item
+@ref{dad,,Get_C_String()}:
+.. todo:: Undocumented in Ada code.
-@*Parameters:
-@code{DesignUnit} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Design unit to semantically analyze. Type: @code{Iir_Design_Unit}
+@item
+@ref{dae,,Free_Handle()}:
+.. todo:: Undocumented in Ada code.
+@end itemize
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+__________________________________________________________________
-@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
-@c # <file> is used to denote the special path
-@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+`Functions'
-@c This data file has been placed in the public domain.
+@geindex Print_String() (in module pyGHDL.libghdl.vhdl.prints)
+@anchor{pyGHDL/pyGHDL libghdl vhdl prints pyGHDL libghdl vhdl prints Print_String}@anchor{daa}
+@deffn {Function} pyGHDL.libghdl.vhdl.prints.Print_String (File, Handle)
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
-@c This data file has been placed in the public domain.
+@*Parameters:
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@itemize *
-@c # define a hard line break for HTML
+@item
+@code{File} (int@footnote{https://docs.python.org/3/library/functions.html#int}) – File to indent lines within. Type: @code{Iir_Design_File}
-@node pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib doc}@anchor{1897}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib module-pyGHDL libghdl vhdl sem_lib}@anchor{34}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyghdl-libghdl-vhdl-sem-lib}@anchor{1898}
-@subsubsection pyGHDL.libghdl.vhdl.sem_lib
+@item
+@code{Handle} (c_void_p@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_void_p}) – undocumented. Type: @code{Vstring_Acc}
+@item
+@code{FirstLine} – undocumented.
-@geindex module; pyGHDL.libghdl.vhdl.sem_lib
+@item
+@code{LastLine} – undocumented.
+@end itemize
-@c #-----------------------------------
-@strong{Functions}
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+@end deffn
-@itemize -
+@geindex Allocate_Handle() (in module pyGHDL.libghdl.vhdl.prints)
+@anchor{pyGHDL/pyGHDL libghdl vhdl prints pyGHDL libghdl vhdl prints Allocate_Handle}@anchor{dab}
+@deffn {Function} pyGHDL.libghdl.vhdl.prints.Allocate_Handle ()
-@item
-@ref{1899,,Load_File()}:
-Start to analyse a file (i.e. load and parse it).
+@cartouche
+@quotation Todo
+Undocumented in Ada code.
+@end quotation
+@end cartouche
-@item
-@ref{189a,,Finish_Compilation()}:
-Analyze @code{Unit}.
+@*Return type:
+c_void_p@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_void_p}
-@item
-@ref{189b,,Free_Dependence_List()}:
-Free the dependence list of @code{Design}.
-@end itemize
-@c #-----------------------------------
+@*Returns:
+undocumented. Type: @code{Vstring_Acc}
-@strong{Functions}
+@end deffn
-@geindex Load_File() (in module pyGHDL.libghdl.vhdl.sem_lib)
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Load_File}@anchor{1899}
-@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Load_File (File)
+@geindex Get_Length() (in module pyGHDL.libghdl.vhdl.prints)
+@anchor{pyGHDL/pyGHDL libghdl vhdl prints pyGHDL libghdl vhdl prints Get_Length}@anchor{dac}
+@deffn {Function} pyGHDL.libghdl.vhdl.prints.Get_Length (Handle)
-Start to analyse a file (i.e. load and parse it).
+@cartouche
+@quotation Todo
+Undocumented in Ada code.
+@end quotation
+@end cartouche
@*Parameters:
-@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File to analyse.
+@code{Handle} (c_void_p@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_void_p}) – undocumented. Type: @code{Vstring_Acc}
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_File}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
-Return @code{Null_Iir} in case of parse error. Type: @code{Iir_Design_File}
+undocumented.
@end deffn
-@geindex Finish_Compilation() (in module pyGHDL.libghdl.vhdl.sem_lib)
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Finish_Compilation}@anchor{189a}
-@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Finish_Compilation (Unit, Main=False)
+@geindex Get_C_String() (in module pyGHDL.libghdl.vhdl.prints)
+@anchor{pyGHDL/pyGHDL libghdl vhdl prints pyGHDL libghdl vhdl prints Get_C_String}@anchor{dad}
+@deffn {Function} pyGHDL.libghdl.vhdl.prints.Get_C_String (Handle)
-Analyze @code{Unit}.
+@cartouche
+@quotation Todo
+Undocumented in Ada code.
+@end quotation
+@end cartouche
@*Parameters:
+@code{Handle} (c_void_p@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_void_p}) – undocumented. Type: @code{Vstring_Acc}
-@itemize *
-@item
-@code{Unit} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Design unit to analyze.
-
-@item
-@code{Main} (bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}) – Is main unit.
-@end itemize
+@*Return type:
+c_char_p@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_char_p}
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+@*Returns:
+Type: @code{Grt.Types.Ghdl_C_String}
@end deffn
-@geindex Free_Dependence_List() (in module pyGHDL.libghdl.vhdl.sem_lib)
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Free_Dependence_List}@anchor{189b}
-@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Free_Dependence_List (Design)
+@geindex Free_Handle() (in module pyGHDL.libghdl.vhdl.prints)
+@anchor{pyGHDL/pyGHDL libghdl vhdl prints pyGHDL libghdl vhdl prints Free_Handle}@anchor{dae}
+@deffn {Function} pyGHDL.libghdl.vhdl.prints.Free_Handle (Handle)
-Free the dependence list of @code{Design}.
+@cartouche
+@quotation Todo
+Undocumented in Ada code.
+@end quotation
+@end cartouche
@*Parameters:
-@code{Design} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Design unit to free dependencies for.
+@code{Handle} (c_void_p@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_void_p}) – undocumented. Type: @code{Vstring_Acc}
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@@ -54192,1399 +55273,858 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
-@node pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl std_package doc}@anchor{189c}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package module-pyGHDL libghdl vhdl std_package}@anchor{35}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyghdl-libghdl-vhdl-std-package}@anchor{189d}
-@subsubsection pyGHDL.libghdl.vhdl.std_package
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+@node pyGHDL libghdl vhdl scanner,pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl prints,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner doc}@anchor{daf}@anchor{pyGHDL/pyGHDL libghdl vhdl scanner module-pyGHDL libghdl vhdl scanner}@anchor{36}@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyghdl-libghdl-vhdl-scanner}@anchor{db0}
+@subsubsection @code{pyGHDL.libghdl.vhdl.scanner}
-@geindex module; pyGHDL.libghdl.vhdl.std_package
-@c #-----------------------------------
+@geindex module; pyGHDL.libghdl.vhdl.scanner
-@strong{Variables}
+`Variables'
@itemize -
@item
-@ref{189e,,Std_Location}
-
-@item
-@ref{189f,,Standard_Package}
+@ref{db1,,Current_Token}
@item
-@ref{18a0,,Character_Type_Definition}
+@ref{db2,,Flag_Comment}
@end itemize
-@geindex Std_Location (in module pyGHDL.libghdl.vhdl.std_package)
-@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Std_Location}@anchor{189e}
-@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Std_Location
+`Functions'
-Virtual location for the @code{std.standard} package. Use @code{.value} to access this variable inside libghdl.
-
-@example
-c_int(0)
-@end example
-@end deffn
-@geindex Standard_Package (in module pyGHDL.libghdl.vhdl.std_package)
-@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Standard_Package}@anchor{189f}
-@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Standard_Package
-
-Virtual package @code{std.package}. Use @code{.value} to access this variable inside libghdl.
-
-@example
-c_int(0)
-@end example
-@end deffn
-
-@geindex Character_Type_Definition (in module pyGHDL.libghdl.vhdl.std_package)
-@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Character_Type_Definition}@anchor{18a0}
-@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Character_Type_Definition
+@itemize -
-Predefined character. Use @code{.value} to access this variable inside libghdl.
+@item
+@ref{db3,,Set_File()}:
+Initialize the scanner with file @code{SourceFile}.
-@example
-c_int(0)
-@end example
-@end deffn
+@item
+@ref{db4,,Close_File()}:
+Finalize the scanner.
-@c # Load pre-defined aliases and graphical characters like © from docutils
-@c # <file> is used to denote the special path
-@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+@item
+@ref{db5,,Scan()}:
+Get a new token.
-@c This data file has been placed in the public domain.
+@item
+@ref{db6,,Get_Current_Line()}:
+Get the current location, or the location of the current token.
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@item
+@ref{db7,,Get_Token_Offset()}:
+Get the current token’s offset in the current line.
-@c This data file has been placed in the public domain.
+@item
+@ref{db8,,Get_Token_Position()}:
+Get the current token’s position.
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@item
+@ref{db9,,Get_Position()}:
+Get the current position.
-@c # define a hard line break for HTML
+@item
+@ref{dba,,Current_Identifier()}:
+When @ref{db1,,Current_Token} is an
+@end itemize
-@node pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl utils,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens doc}@anchor{18a1}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens module-pyGHDL libghdl vhdl tokens}@anchor{36}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyghdl-libghdl-vhdl-tokens}@anchor{18a2}
-@subsubsection pyGHDL.libghdl.vhdl.tokens
+__________________________________________________________________
-@geindex module; pyGHDL.libghdl.vhdl.tokens
-@c #-----------------------------------
+`Variables'
-@strong{Classes}
+@geindex Current_Token (in module pyGHDL.libghdl.vhdl.scanner)
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyGHDL libghdl vhdl scanner Current_Token}@anchor{db1}
+@deffn {Data} pyGHDL.libghdl.vhdl.scanner.Current_Token
+@example
+c_int(0)
+@end example
+@end deffn
-@itemize -
+@geindex Flag_Comment (in module pyGHDL.libghdl.vhdl.scanner)
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyGHDL libghdl vhdl scanner Flag_Comment}@anchor{db2}
+@deffn {Data} pyGHDL.libghdl.vhdl.scanner.Flag_Comment
-@item
-@ref{156c,,Tok}:
-An enumeration.
-@end itemize
+@example
+c_bool(False)
+@end example
+@end deffn
-@c #-----------------------------------
-@geindex Tok (class in pyGHDL.libghdl.vhdl.tokens)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok}@anchor{156c}
-@deffn {Class} pyGHDL.libghdl.vhdl.tokens.Tok (value)
+__________________________________________________________________
-An enumeration.
-@subsubheading Inheritance
+`Functions'
-@image{inheritance-0d5efe97cd2aa50e9d9f72279948c41c24dd4d21,,,[graphviz],png}
+@geindex Set_File() (in module pyGHDL.libghdl.vhdl.scanner)
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyGHDL libghdl vhdl scanner Set_File}@anchor{db3}
+@deffn {Function} pyGHDL.libghdl.vhdl.scanner.Set_File (SourceFile)
-@subsubheading Members
+Initialize the scanner with file @code{SourceFile}.
+@*Parameters:
+@code{SourceFile} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – File to scan.
-@geindex Invalid (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Invalid}@anchor{18a3}
-@deffn {Attribute} Invalid = 0
-@end deffn
-@geindex Eof (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Eof}@anchor{18a4}
-@deffn {Attribute} Eof = 1
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Newline (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Newline}@anchor{18a5}
-@deffn {Attribute} Newline = 2
@end deffn
-@geindex Block_Comment_Start (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_Start}@anchor{18a6}
-@deffn {Attribute} Block_Comment_Start = 3
-@end deffn
+@geindex Close_File() (in module pyGHDL.libghdl.vhdl.scanner)
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyGHDL libghdl vhdl scanner Close_File}@anchor{db4}
+@deffn {Function} pyGHDL.libghdl.vhdl.scanner.Close_File ()
-@geindex Block_Comment_End (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_End}@anchor{18a7}
-@deffn {Attribute} Block_Comment_End = 4
-@end deffn
+Finalize the scanner.
-@geindex Block_Comment_Text (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_Text}@anchor{18a8}
-@deffn {Attribute} Block_Comment_Text = 5
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Line_Comment (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Line_Comment}@anchor{18a9}
-@deffn {Attribute} Line_Comment = 6
@end deffn
-@geindex Character (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Character}@anchor{18aa}
-@deffn {Attribute} Character = 7
-@end deffn
+@geindex Scan() (in module pyGHDL.libghdl.vhdl.scanner)
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyGHDL libghdl vhdl scanner Scan}@anchor{db5}
+@deffn {Function} pyGHDL.libghdl.vhdl.scanner.Scan ()
-@geindex Identifier (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Identifier}@anchor{18ab}
-@deffn {Attribute} Identifier = 8
-@end deffn
+Get a new token.
-@geindex Integer (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Integer}@anchor{18ac}
-@deffn {Attribute} Integer = 9
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Real (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Real}@anchor{18ad}
-@deffn {Attribute} Real = 10
@end deffn
-@geindex String (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok String}@anchor{18ae}
-@deffn {Attribute} String = 11
-@end deffn
+@geindex Get_Current_Line() (in module pyGHDL.libghdl.vhdl.scanner)
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyGHDL libghdl vhdl scanner Get_Current_Line}@anchor{db6}
+@deffn {Function} pyGHDL.libghdl.vhdl.scanner.Get_Current_Line ()
-@geindex Bit_String (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bit_String}@anchor{18af}
-@deffn {Attribute} Bit_String = 12
-@end deffn
+Get the current location, or the location of the current token.
-@geindex Integer_Letter (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Integer_Letter}@anchor{18b0}
-@deffn {Attribute} Integer_Letter = 13
-@end deffn
+Since a token cannot spread over lines, file and line of the current token are
+the same as those of the current position. The offset is the offset in the current line.
-@geindex Left_Paren (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Paren}@anchor{18b1}
-@deffn {Attribute} Left_Paren = 14
-@end deffn
+@*Return type:
+int@footnote{https://docs.python.org/3/library/functions.html#int}
-@geindex Right_Paren (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Paren}@anchor{18b2}
-@deffn {Attribute} Right_Paren = 15
-@end deffn
-@geindex Left_Bracket (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Bracket}@anchor{18b3}
-@deffn {Attribute} Left_Bracket = 16
-@end deffn
+@*Returns:
+Current token’s line.
-@geindex Right_Bracket (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Bracket}@anchor{18b4}
-@deffn {Attribute} Right_Bracket = 17
@end deffn
-@geindex Colon (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Colon}@anchor{18b5}
-@deffn {Attribute} Colon = 18
-@end deffn
+@geindex Get_Token_Offset() (in module pyGHDL.libghdl.vhdl.scanner)
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyGHDL libghdl vhdl scanner Get_Token_Offset}@anchor{db7}
+@deffn {Function} pyGHDL.libghdl.vhdl.scanner.Get_Token_Offset ()
-@geindex Semi_Colon (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Semi_Colon}@anchor{18b6}
-@deffn {Attribute} Semi_Colon = 19
-@end deffn
+Get the current token’s offset in the current line.
-@geindex Comma (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Comma}@anchor{18b7}
-@deffn {Attribute} Comma = 20
-@end deffn
+@*Return type:
+int@footnote{https://docs.python.org/3/library/functions.html#int}
-@geindex Double_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Arrow}@anchor{18b8}
-@deffn {Attribute} Double_Arrow = 21
-@end deffn
-@geindex Tick (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Tick}@anchor{18b9}
-@deffn {Attribute} Tick = 22
-@end deffn
+@*Returns:
+Current token’s offset.
-@geindex Double_Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Star}@anchor{18ba}
-@deffn {Attribute} Double_Star = 23
@end deffn
-@geindex Assign (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assign}@anchor{18bb}
-@deffn {Attribute} Assign = 24
-@end deffn
+@geindex Get_Token_Position() (in module pyGHDL.libghdl.vhdl.scanner)
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyGHDL libghdl vhdl scanner Get_Token_Position}@anchor{db8}
+@deffn {Function} pyGHDL.libghdl.vhdl.scanner.Get_Token_Position ()
-@geindex Bar (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar}@anchor{18bc}
-@deffn {Attribute} Bar = 25
-@end deffn
+Get the current token’s position.
-@geindex Box (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Box}@anchor{18bd}
-@deffn {Attribute} Box = 26
-@end deffn
+@*Return type:
+int@footnote{https://docs.python.org/3/library/functions.html#int}
-@geindex Dot (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Dot}@anchor{18be}
-@deffn {Attribute} Dot = 27
-@end deffn
-@geindex Equal_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equal_Equal}@anchor{18bf}
-@deffn {Attribute} Equal_Equal = 28
-@end deffn
+@*Returns:
+Current token’s position. Type: @code{Source_Ptr}
-@geindex Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equal}@anchor{18c0}
-@deffn {Attribute} Equal = 29
@end deffn
-@geindex Not_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Not_Equal}@anchor{18c1}
-@deffn {Attribute} Not_Equal = 30
-@end deffn
+@geindex Get_Position() (in module pyGHDL.libghdl.vhdl.scanner)
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyGHDL libghdl vhdl scanner Get_Position}@anchor{db9}
+@deffn {Function} pyGHDL.libghdl.vhdl.scanner.Get_Position ()
-@geindex Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Less}@anchor{18c2}
-@deffn {Attribute} Less = 31
-@end deffn
+Get the current position.
-@geindex Less_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Less_Equal}@anchor{18c3}
-@deffn {Attribute} Less_Equal = 32
-@end deffn
+@*Return type:
+int@footnote{https://docs.python.org/3/library/functions.html#int}
-@geindex Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Greater}@anchor{18c4}
-@deffn {Attribute} Greater = 33
-@end deffn
-@geindex Greater_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Greater_Equal}@anchor{18c5}
-@deffn {Attribute} Greater_Equal = 34
-@end deffn
+@*Returns:
+Current position. Type: @code{Source_Ptr}
-@geindex Match_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Equal}@anchor{18c6}
-@deffn {Attribute} Match_Equal = 35
@end deffn
-@geindex Match_Not_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Not_Equal}@anchor{18c7}
-@deffn {Attribute} Match_Not_Equal = 36
-@end deffn
+@geindex Current_Identifier() (in module pyGHDL.libghdl.vhdl.scanner)
+@anchor{pyGHDL/pyGHDL libghdl vhdl scanner pyGHDL libghdl vhdl scanner Current_Identifier}@anchor{dba}
+@deffn {Function} pyGHDL.libghdl.vhdl.scanner.Current_Identifier ()
-@geindex Match_Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Less}@anchor{18c8}
-@deffn {Attribute} Match_Less = 37
-@end deffn
+When @ref{db1,,Current_Token} is an
+@code{tok_identifier}, @code{tok_char} or @code{tok_string}, its name_id can be
+retrieved via this function.
-@geindex Match_Less_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Less_Equal}@anchor{18c9}
-@deffn {Attribute} Match_Less_Equal = 38
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Match_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Greater}@anchor{18ca}
-@deffn {Attribute} Match_Greater = 39
-@end deffn
-@geindex Match_Greater_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Greater_Equal}@anchor{18cb}
-@deffn {Attribute} Match_Greater_Equal = 40
-@end deffn
+@*Returns:
+NameId of the current token.
-@geindex Plus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Plus}@anchor{18cc}
-@deffn {Attribute} Plus = 41
@end deffn
-@geindex Minus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Minus}@anchor{18cd}
-@deffn {Attribute} Minus = 42
-@end deffn
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@geindex Ampersand (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Ampersand}@anchor{18ce}
-@deffn {Attribute} Ampersand = 43
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Question_Mark (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Question_Mark}@anchor{18cf}
-@deffn {Attribute} Question_Mark = 44
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex Condition (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Condition}@anchor{18d0}
-@deffn {Attribute} Condition = 45
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Double_Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Less}@anchor{18d1}
-@deffn {Attribute} Double_Less = 46
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex Double_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Greater}@anchor{18d2}
-@deffn {Attribute} Double_Greater = 47
-@end deffn
+@c # define a hard line break for HTML
-@geindex Caret (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Caret}@anchor{18d3}
-@deffn {Attribute} Caret = 48
-@end deffn
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
-@geindex And_And (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok And_And}@anchor{18d4}
-@deffn {Attribute} And_And = 49
-@end deffn
+@node pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl scanner,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem doc}@anchor{dbb}@anchor{pyGHDL/pyGHDL libghdl vhdl sem module-pyGHDL libghdl vhdl sem}@anchor{37}@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyghdl-libghdl-vhdl-sem}@anchor{dbc}
+@subsubsection @code{pyGHDL.libghdl.vhdl.sem}
-@geindex Bar_Bar (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Bar}@anchor{18d5}
-@deffn {Attribute} Bar_Bar = 50
-@end deffn
-@geindex Left_Curly (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Curly}@anchor{18d6}
-@deffn {Attribute} Left_Curly = 51
-@end deffn
+@geindex module; pyGHDL.libghdl.vhdl.sem
-@geindex Right_Curly (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Curly}@anchor{18d7}
-@deffn {Attribute} Right_Curly = 52
-@end deffn
+`Functions'
-@geindex Exclam_Mark (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Exclam_Mark}@anchor{18d8}
-@deffn {Attribute} Exclam_Mark = 53
-@end deffn
-@geindex Brack_Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Star}@anchor{18d9}
-@deffn {Attribute} Brack_Star = 54
-@end deffn
+@itemize -
-@geindex Brack_Plus_Brack (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Plus_Brack}@anchor{18da}
-@deffn {Attribute} Brack_Plus_Brack = 55
-@end deffn
+@item
+@ref{dbd,,Semantic()}:
+Do the semantic analysis of design unit @code{DesignUnit}.
+@end itemize
-@geindex Brack_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Arrow}@anchor{18db}
-@deffn {Attribute} Brack_Arrow = 56
-@end deffn
-@geindex Brack_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Equal}@anchor{18dc}
-@deffn {Attribute} Brack_Equal = 57
-@end deffn
+__________________________________________________________________
-@geindex Bar_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Arrow}@anchor{18dd}
-@deffn {Attribute} Bar_Arrow = 58
-@end deffn
-@geindex Bar_Double_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Double_Arrow}@anchor{18de}
-@deffn {Attribute} Bar_Double_Arrow = 59
-@end deffn
+`Functions'
-@geindex Minus_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Minus_Greater}@anchor{18df}
-@deffn {Attribute} Minus_Greater = 60
-@end deffn
+@geindex Semantic() (in module pyGHDL.libghdl.vhdl.sem)
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyGHDL libghdl vhdl sem Semantic}@anchor{dbd}
+@deffn {Function} pyGHDL.libghdl.vhdl.sem.Semantic (DesignUnit)
-@geindex Equiv_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equiv_Arrow}@anchor{18e0}
-@deffn {Attribute} Equiv_Arrow = 61
-@end deffn
+Do the semantic analysis of design unit @code{DesignUnit}.
-@geindex Arobase (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Arobase}@anchor{18e1}
-@deffn {Attribute} Arobase = 62
-@end deffn
+Also add a few node or change some nodes, when for example an identifier is
+changed into an access to the type.
-@geindex Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Star}@anchor{18e2}
-@deffn {Attribute} Star = 63
-@end deffn
+@*Parameters:
+@code{DesignUnit} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Design unit to semantically analyze. Type: @code{Iir_Design_Unit}
-@geindex Slash (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Slash}@anchor{18e3}
-@deffn {Attribute} Slash = 64
-@end deffn
-@geindex Mod (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Mod}@anchor{18e4}
-@deffn {Attribute} Mod = 65
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Rem (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rem}@anchor{18e5}
-@deffn {Attribute} Rem = 66
@end deffn
-@geindex Abs (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Abs}@anchor{18e6}
-@deffn {Attribute} Abs = 67
-@end deffn
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@geindex Not (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Not}@anchor{18e7}
-@deffn {Attribute} Not = 68
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Access (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Access}@anchor{18e8}
-@deffn {Attribute} Access = 69
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex After (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok After}@anchor{18e9}
-@deffn {Attribute} After = 70
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Alias (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Alias}@anchor{18ea}
-@deffn {Attribute} Alias = 71
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex All (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok All}@anchor{18eb}
-@deffn {Attribute} All = 72
-@end deffn
+@c # define a hard line break for HTML
-@geindex Architecture (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Architecture}@anchor{18ec}
-@deffn {Attribute} Architecture = 73
-@end deffn
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
-@geindex Array (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Array}@anchor{18ed}
-@deffn {Attribute} Array = 74
-@end deffn
+@node pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib doc}@anchor{dbe}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib module-pyGHDL libghdl vhdl sem_lib}@anchor{38}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyghdl-libghdl-vhdl-sem-lib}@anchor{dbf}
+@subsubsection @code{pyGHDL.libghdl.vhdl.sem_lib}
-@geindex Assert (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assert}@anchor{18ee}
-@deffn {Attribute} Assert = 75
-@end deffn
-@geindex Attribute (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Attribute}@anchor{18ef}
-@deffn {Attribute} Attribute = 76
-@end deffn
+@geindex module; pyGHDL.libghdl.vhdl.sem_lib
-@geindex Begin (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Begin}@anchor{18f0}
-@deffn {Attribute} Begin = 77
-@end deffn
+`Functions'
-@geindex Block (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block}@anchor{18f1}
-@deffn {Attribute} Block = 78
-@end deffn
-@geindex Body (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Body}@anchor{18f2}
-@deffn {Attribute} Body = 79
-@end deffn
+@itemize -
-@geindex Buffer (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Buffer}@anchor{18f3}
-@deffn {Attribute} Buffer = 80
-@end deffn
+@item
+@ref{dc0,,Load_File()}:
+Start to analyse a file (i.e. load and parse it).
-@geindex Bus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bus}@anchor{18f4}
-@deffn {Attribute} Bus = 81
-@end deffn
+@item
+@ref{dc1,,Finish_Compilation()}:
+Analyze @code{Unit}.
-@geindex Case (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Case}@anchor{18f5}
-@deffn {Attribute} Case = 82
-@end deffn
+@item
+@ref{dc2,,Free_Dependence_List()}:
+Free the dependence list of @code{Design}.
+@end itemize
-@geindex Component (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Component}@anchor{18f6}
-@deffn {Attribute} Component = 83
-@end deffn
-@geindex Configuration (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Configuration}@anchor{18f7}
-@deffn {Attribute} Configuration = 84
-@end deffn
+__________________________________________________________________
-@geindex Constant (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Constant}@anchor{18f8}
-@deffn {Attribute} Constant = 85
-@end deffn
-@geindex Disconnect (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Disconnect}@anchor{18f9}
-@deffn {Attribute} Disconnect = 86
-@end deffn
+`Functions'
-@geindex Downto (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Downto}@anchor{18fa}
-@deffn {Attribute} Downto = 87
-@end deffn
+@geindex Load_File() (in module pyGHDL.libghdl.vhdl.sem_lib)
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Load_File}@anchor{dc0}
+@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Load_File (File)
-@geindex Else (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Else}@anchor{18fb}
-@deffn {Attribute} Else = 88
-@end deffn
+Start to analyse a file (i.e. load and parse it).
-@geindex Elsif (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Elsif}@anchor{18fc}
-@deffn {Attribute} Elsif = 89
-@end deffn
+@*Parameters:
+@code{File} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_uint})) – File to analyse.
-@geindex End (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok End}@anchor{18fd}
-@deffn {Attribute} End = 90
-@end deffn
-@geindex Entity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Entity}@anchor{18fe}
-@deffn {Attribute} Entity = 91
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Design_File}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Exit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Exit}@anchor{18ff}
-@deffn {Attribute} Exit = 92
-@end deffn
-@geindex File (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok File}@anchor{1900}
-@deffn {Attribute} File = 93
-@end deffn
+@*Returns:
+Return @ref{816,,Null_Iir} in case of parse error. Type: @code{Iir_Design_File}
-@geindex For (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok For}@anchor{1901}
-@deffn {Attribute} For = 94
@end deffn
-@geindex Function (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Function}@anchor{1902}
-@deffn {Attribute} Function = 95
-@end deffn
+@geindex Finish_Compilation() (in module pyGHDL.libghdl.vhdl.sem_lib)
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Finish_Compilation}@anchor{dc1}
+@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Finish_Compilation (Unit, Main=False)
-@geindex Generate (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Generate}@anchor{1903}
-@deffn {Attribute} Generate = 96
-@end deffn
+Analyze @code{Unit}.
-@geindex Generic (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Generic}@anchor{1904}
-@deffn {Attribute} Generic = 97
-@end deffn
+@*Parameters:
-@geindex Guarded (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Guarded}@anchor{1905}
-@deffn {Attribute} Guarded = 98
-@end deffn
+@itemize *
-@geindex If (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok If}@anchor{1906}
-@deffn {Attribute} If = 99
-@end deffn
+@item
+@code{Unit} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Design unit to analyze.
-@geindex In (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok In}@anchor{1907}
-@deffn {Attribute} In = 100
-@end deffn
+@item
+@code{Main} (bool@footnote{https://docs.python.org/3/library/functions.html#bool}) – Is main unit.
+@end itemize
-@geindex Inout (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inout}@anchor{1908}
-@deffn {Attribute} Inout = 101
-@end deffn
-@geindex Is (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Is}@anchor{1909}
-@deffn {Attribute} Is = 102
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Label (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Label}@anchor{190a}
-@deffn {Attribute} Label = 103
@end deffn
-@geindex Library (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Library}@anchor{190b}
-@deffn {Attribute} Library = 104
-@end deffn
+@geindex Free_Dependence_List() (in module pyGHDL.libghdl.vhdl.sem_lib)
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Free_Dependence_List}@anchor{dc2}
+@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Free_Dependence_List (Design)
-@geindex Linkage (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Linkage}@anchor{190c}
-@deffn {Attribute} Linkage = 105
-@end deffn
+Free the dependence list of @code{Design}.
-@geindex Loop (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Loop}@anchor{190d}
-@deffn {Attribute} Loop = 106
-@end deffn
+@*Parameters:
+@code{Design} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Design unit to free dependencies for.
-@geindex Map (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Map}@anchor{190e}
-@deffn {Attribute} Map = 107
-@end deffn
-@geindex New (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok New}@anchor{190f}
-@deffn {Attribute} New = 108
-@end deffn
+@*Return type:
+None@footnote{https://docs.python.org/3/library/constants.html#None}
-@geindex Next (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next}@anchor{1910}
-@deffn {Attribute} Next = 109
@end deffn
-@geindex Null (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Null}@anchor{1911}
-@deffn {Attribute} Null = 110
-@end deffn
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@geindex Of (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Of}@anchor{1912}
-@deffn {Attribute} Of = 111
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex On (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok On}@anchor{1913}
-@deffn {Attribute} On = 112
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex Open (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Open}@anchor{1914}
-@deffn {Attribute} Open = 113
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Others (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Others}@anchor{1915}
-@deffn {Attribute} Others = 114
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex Out (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Out}@anchor{1916}
-@deffn {Attribute} Out = 115
-@end deffn
+@c # define a hard line break for HTML
-@geindex Package (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Package}@anchor{1917}
-@deffn {Attribute} Package = 116
-@end deffn
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
-@geindex Port (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Port}@anchor{1918}
-@deffn {Attribute} Port = 117
-@end deffn
+@node pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package doc}@anchor{dc3}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package module-pyGHDL libghdl vhdl std_package}@anchor{39}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyghdl-libghdl-vhdl-std-package}@anchor{dc4}
+@subsubsection @code{pyGHDL.libghdl.vhdl.std_package}
-@geindex Procedure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Procedure}@anchor{1919}
-@deffn {Attribute} Procedure = 118
-@end deffn
-@geindex Process (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Process}@anchor{191a}
-@deffn {Attribute} Process = 119
-@end deffn
+@geindex module; pyGHDL.libghdl.vhdl.std_package
-@geindex Range (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Range}@anchor{191b}
-@deffn {Attribute} Range = 120
-@end deffn
+`Variables'
-@geindex Record (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Record}@anchor{191c}
-@deffn {Attribute} Record = 121
-@end deffn
-@geindex Register (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Register}@anchor{191d}
-@deffn {Attribute} Register = 122
-@end deffn
+@itemize -
-@geindex Report (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Report}@anchor{191e}
-@deffn {Attribute} Report = 123
-@end deffn
+@item
+@ref{dc5,,Std_Location}
-@geindex Return (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Return}@anchor{191f}
-@deffn {Attribute} Return = 124
-@end deffn
+@item
+@ref{dc6,,Standard_Package}
-@geindex Select (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Select}@anchor{1920}
-@deffn {Attribute} Select = 125
-@end deffn
+@item
+@ref{dc7,,Character_Type_Definition}
+@end itemize
-@geindex Severity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Severity}@anchor{1921}
-@deffn {Attribute} Severity = 126
-@end deffn
-@geindex Signal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Signal}@anchor{1922}
-@deffn {Attribute} Signal = 127
-@end deffn
+__________________________________________________________________
-@geindex Subtype (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Subtype}@anchor{1923}
-@deffn {Attribute} Subtype = 128
-@end deffn
-@geindex Then (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Then}@anchor{1924}
-@deffn {Attribute} Then = 129
-@end deffn
+`Variables'
-@geindex To (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok To}@anchor{1925}
-@deffn {Attribute} To = 130
-@end deffn
+@geindex Std_Location (in module pyGHDL.libghdl.vhdl.std_package)
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Std_Location}@anchor{dc5}
+@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Std_Location
-@geindex Transport (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Transport}@anchor{1926}
-@deffn {Attribute} Transport = 131
-@end deffn
+Virtual location for the @code{std.standard} package.
-@geindex Type (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Type}@anchor{1927}
-@deffn {Attribute} Type = 132
-@end deffn
+Use the property @code{.value} to access the variable’s value.
-@geindex Units (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Units}@anchor{1928}
-@deffn {Attribute} Units = 133
+@example
+c_int(0)
+@end example
@end deffn
-@geindex Until (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until}@anchor{1929}
-@deffn {Attribute} Until = 134
-@end deffn
+@geindex Standard_Package (in module pyGHDL.libghdl.vhdl.std_package)
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Standard_Package}@anchor{dc6}
+@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Standard_Package
-@geindex Use (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Use}@anchor{192a}
-@deffn {Attribute} Use = 135
-@end deffn
+Virtual package @code{std.package}.
-@geindex Variable (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Variable}@anchor{192b}
-@deffn {Attribute} Variable = 136
-@end deffn
+Use the property @code{.value} to access the variable’s value.
-@geindex Wait (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Wait}@anchor{192c}
-@deffn {Attribute} Wait = 137
+@example
+c_int(0)
+@end example
@end deffn
-@geindex When (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok When}@anchor{192d}
-@deffn {Attribute} When = 138
-@end deffn
+@geindex Character_Type_Definition (in module pyGHDL.libghdl.vhdl.std_package)
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Character_Type_Definition}@anchor{dc7}
+@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Character_Type_Definition
-@geindex While (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok While}@anchor{192e}
-@deffn {Attribute} While = 139
-@end deffn
+Predefined character.
-@geindex With (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok With}@anchor{192f}
-@deffn {Attribute} With = 140
-@end deffn
+Use the property @code{.value} to access the variable’s value.
-@geindex And (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok And}@anchor{1930}
-@deffn {Attribute} And = 141
+@example
+c_int(0)
+@end example
@end deffn
-@geindex Or (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Or}@anchor{1931}
-@deffn {Attribute} Or = 142
-@end deffn
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@geindex Xor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Xor}@anchor{1932}
-@deffn {Attribute} Xor = 143
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Nand (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nand}@anchor{1933}
-@deffn {Attribute} Nand = 144
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex Nor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nor}@anchor{1934}
-@deffn {Attribute} Nor = 145
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Xnor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Xnor}@anchor{1935}
-@deffn {Attribute} Xnor = 146
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex Group (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Group}@anchor{1936}
-@deffn {Attribute} Group = 147
-@end deffn
+@c # define a hard line break for HTML
-@geindex Impure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Impure}@anchor{1937}
-@deffn {Attribute} Impure = 148
-@end deffn
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
-@geindex Inertial (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inertial}@anchor{1938}
-@deffn {Attribute} Inertial = 149
-@end deffn
+@node pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl utils,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens doc}@anchor{dc8}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens module-pyGHDL libghdl vhdl tokens}@anchor{3a}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyghdl-libghdl-vhdl-tokens}@anchor{dc9}
+@subsubsection @code{pyGHDL.libghdl.vhdl.tokens}
-@geindex Literal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Literal}@anchor{1939}
-@deffn {Attribute} Literal = 150
-@end deffn
-@geindex Postponed (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Postponed}@anchor{193a}
-@deffn {Attribute} Postponed = 151
-@end deffn
+@geindex module; pyGHDL.libghdl.vhdl.tokens
-@geindex Pure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Pure}@anchor{193b}
-@deffn {Attribute} Pure = 152
-@end deffn
+`Classes'
-@geindex Reject (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Reject}@anchor{193c}
-@deffn {Attribute} Reject = 153
-@end deffn
-@geindex Shared (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Shared}@anchor{193d}
-@deffn {Attribute} Shared = 154
-@end deffn
+@itemize -
-@geindex Unaffected (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Unaffected}@anchor{193e}
-@deffn {Attribute} Unaffected = 155
-@end deffn
+@item
+@ref{c0e,,Tok}:
+An enumeration.
+@end itemize
-@geindex Sll (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sll}@anchor{193f}
-@deffn {Attribute} Sll = 156
-@end deffn
-@geindex Sla (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sla}@anchor{1940}
-@deffn {Attribute} Sla = 157
-@end deffn
+__________________________________________________________________
-@geindex Sra (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sra}@anchor{1941}
-@deffn {Attribute} Sra = 158
-@end deffn
-@geindex Srl (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Srl}@anchor{1942}
-@deffn {Attribute} Srl = 159
-@end deffn
+`Classes'
-@geindex Rol (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rol}@anchor{1943}
-@deffn {Attribute} Rol = 160
-@end deffn
+@geindex Tok (class in pyGHDL.libghdl.vhdl.tokens)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok}@anchor{c0e}
+@deffn {Class} pyGHDL.libghdl.vhdl.tokens.Tok (value)
-@geindex Ror (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Ror}@anchor{1944}
-@deffn {Attribute} Ror = 161
-@end deffn
+An enumeration.
-@geindex Protected (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Protected}@anchor{1945}
-@deffn {Attribute} Protected = 162
-@end deffn
+@subsubheading Inheritance
-@geindex Assume (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assume}@anchor{1946}
-@deffn {Attribute} Assume = 163
+@image{inheritance-3d810f37adde382aa068a6d957b3de4425391193,,,[graphviz],png}
@end deffn
-@geindex Context (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Context}@anchor{1947}
-@deffn {Attribute} Context = 164
-@end deffn
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@geindex Cover (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Cover}@anchor{1948}
-@deffn {Attribute} Cover = 165
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Default (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Default}@anchor{1949}
-@deffn {Attribute} Default = 166
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex Force (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Force}@anchor{194a}
-@deffn {Attribute} Force = 167
-@end deffn
+@c This data file has been placed in the public domain.
-@geindex Parameter (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Parameter}@anchor{194b}
-@deffn {Attribute} Parameter = 168
-@end deffn
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <https://docutils.sourceforge.io>.
-@geindex Property (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Property}@anchor{194c}
-@deffn {Attribute} Property = 169
-@end deffn
+@c # define a hard line break for HTML
-@geindex Release (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Release}@anchor{194d}
-@deffn {Attribute} Release = 170
-@end deffn
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
-@geindex Restrict (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Restrict}@anchor{194e}
-@deffn {Attribute} Restrict = 171
-@end deffn
+@node pyGHDL libghdl vhdl utils,,pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl utils doc}@anchor{dca}@anchor{pyGHDL/pyGHDL libghdl vhdl utils module-pyGHDL libghdl vhdl utils}@anchor{3b}@anchor{pyGHDL/pyGHDL libghdl vhdl utils pyghdl-libghdl-vhdl-utils}@anchor{dcb}
+@subsubsection @code{pyGHDL.libghdl.vhdl.utils}
-@geindex Restrict_Guarantee (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Restrict_Guarantee}@anchor{194f}
-@deffn {Attribute} Restrict_Guarantee = 172
-@end deffn
-@geindex Sequence (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sequence}@anchor{1950}
-@deffn {Attribute} Sequence = 173
-@end deffn
+@geindex module; pyGHDL.libghdl.vhdl.utils
-@geindex Inherit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inherit}@anchor{1951}
-@deffn {Attribute} Inherit = 174
-@end deffn
+`Functions'
-@geindex Vmode (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vmode}@anchor{1952}
-@deffn {Attribute} Vmode = 175
-@end deffn
-@geindex Vprop (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vprop}@anchor{1953}
-@deffn {Attribute} Vprop = 176
-@end deffn
+@itemize -
-@geindex Vunit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vunit}@anchor{1954}
-@deffn {Attribute} Vunit = 177
-@end deffn
+@item
+@ref{dcc,,Get_Source_Identifier()}:
+Like @code{Get_Identifier} but return a @code{NameId} for the same casing as it appears in the source file.
-@geindex Across (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Across}@anchor{1955}
-@deffn {Attribute} Across = 178
-@end deffn
+@item
+@ref{dcd,,Get_Source_Identifier_Str()}:
+Undocumented.
+@end itemize
-@geindex Break (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Break}@anchor{1956}
-@deffn {Attribute} Break = 179
-@end deffn
-@geindex Limit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Limit}@anchor{1957}
-@deffn {Attribute} Limit = 180
-@end deffn
+__________________________________________________________________
-@geindex Nature (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nature}@anchor{1958}
-@deffn {Attribute} Nature = 181
-@end deffn
-@geindex Noise (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Noise}@anchor{1959}
-@deffn {Attribute} Noise = 182
-@end deffn
+`Functions'
-@geindex Procedural (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Procedural}@anchor{195a}
-@deffn {Attribute} Procedural = 183
-@end deffn
+@geindex Get_Source_Identifier() (in module pyGHDL.libghdl.vhdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl vhdl utils pyGHDL libghdl vhdl utils Get_Source_Identifier}@anchor{dcc}
+@deffn {Function} pyGHDL.libghdl.vhdl.utils.Get_Source_Identifier (Decl)
-@geindex Quantity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Quantity}@anchor{195b}
-@deffn {Attribute} Quantity = 184
-@end deffn
+Like @code{Get_Identifier} but return a @code{NameId} for the same casing as it appears in the source file.
+Not useful for analysis as VHDL is case insensitive, but could be useful for error messages or tooling.
-@geindex Reference (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Reference}@anchor{195c}
-@deffn {Attribute} Reference = 185
-@end deffn
+@*Parameters:
+@code{Decl} (TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})) – Iir Node. Type: @code{Iir}
-@geindex Spectrum (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Spectrum}@anchor{195d}
-@deffn {Attribute} Spectrum = 186
-@end deffn
-@geindex Subnature (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Subnature}@anchor{195e}
-@deffn {Attribute} Subnature = 187
-@end deffn
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
-@geindex Terminal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Terminal}@anchor{195f}
-@deffn {Attribute} Terminal = 188
@end deffn
-@geindex Through (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Through}@anchor{1960}
-@deffn {Attribute} Through = 189
-@end deffn
+@geindex Get_Source_Identifier_Str() (in module pyGHDL.libghdl.vhdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl vhdl utils pyGHDL libghdl vhdl utils Get_Source_Identifier_Str}@anchor{dcd}
+@deffn {Function} pyGHDL.libghdl.vhdl.utils.Get_Source_Identifier_Str (n)
-@geindex Tolerance (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Tolerance}@anchor{1961}
-@deffn {Attribute} Tolerance = 190
-@end deffn
+@*Return type:
+str@footnote{https://docs.python.org/3/library/stdtypes.html#str}
-@geindex Psl_Clock (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Clock}@anchor{1962}
-@deffn {Attribute} Psl_Clock = 191
-@end deffn
-@geindex Psl_Endpoint (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Endpoint}@anchor{1963}
-@deffn {Attribute} Psl_Endpoint = 192
-@end deffn
+@*Parameters:
+@code{n} (@code{Iir}) –
-@geindex Psl_Const (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Const}@anchor{1964}
-@deffn {Attribute} Psl_Const = 193
@end deffn
-@geindex Psl_Boolean (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Boolean}@anchor{1965}
-@deffn {Attribute} Psl_Boolean = 194
-@end deffn
+`Variables'
-@geindex Inf (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inf}@anchor{1966}
-@deffn {Attribute} Inf = 195
-@end deffn
-@geindex Within (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Within}@anchor{1967}
-@deffn {Attribute} Within = 196
-@end deffn
+@itemize -
-@geindex Abort (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Abort}@anchor{1968}
-@deffn {Attribute} Abort = 197
-@end deffn
+@item
+@ref{dce,,ENCODING}
+@end itemize
-@geindex Async_Abort (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Async_Abort}@anchor{1969}
-@deffn {Attribute} Async_Abort = 198
-@end deffn
+`Functions'
-@geindex Sync_Abort (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sync_Abort}@anchor{196a}
-@deffn {Attribute} Sync_Abort = 199
-@end deffn
-@geindex Before (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before}@anchor{196b}
-@deffn {Attribute} Before = 200
-@end deffn
+@itemize -
-@geindex Before_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Em}@anchor{196c}
-@deffn {Attribute} Before_Em = 201
-@end deffn
+@item
+@ref{dcf,,_get_libghdl_name()}:
+Get the name of the libghdl library (with version and extension).
-@geindex Before_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Un}@anchor{196d}
-@deffn {Attribute} Before_Un = 202
-@end deffn
+@item
+@ref{dd0,,_check_libghdl_libdir()}:
+Returns libghdl path in @code{libdir}, if found.
-@geindex Before_Em_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Em_Un}@anchor{196e}
-@deffn {Attribute} Before_Em_Un = 203
-@end deffn
+@item
+@ref{dd1,,_check_libghdl_bindir()}:
+Undocumented.
-@geindex Always (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Always}@anchor{196f}
-@deffn {Attribute} Always = 204
-@end deffn
+@item
+@ref{dd2,,_get_libghdl_path()}:
+Locate the directory where the shared library is installed.
-@geindex Never (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Never}@anchor{1970}
-@deffn {Attribute} Never = 205
-@end deffn
+@item
+@ref{dd3,,_initialize()}:
+Undocumented.
-@geindex Eventually_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Eventually_Em}@anchor{1971}
-@deffn {Attribute} Eventually_Em = 206
-@end deffn
+@item
+@ref{dd4,,finalize()}:
+Free all the memory, be ready for a new initialization.
-@geindex Next_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Em}@anchor{1972}
-@deffn {Attribute} Next_Em = 207
-@end deffn
+@item
+@ref{dd5,,initialize()}:
+Initialize or re-initialize the shared library.
-@geindex Next_A (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_A}@anchor{1973}
-@deffn {Attribute} Next_A = 208
-@end deffn
+@item
+@ref{dd6,,set_option()}:
+Set option @code{opt}.
-@geindex Next_A_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_A_Em}@anchor{1974}
-@deffn {Attribute} Next_A_Em = 209
-@end deffn
+@item
+@ref{dd7,,analyze_init()}:
+Initialize the analyzer.
-@geindex Next_E (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_E}@anchor{1975}
-@deffn {Attribute} Next_E = 210
-@end deffn
+@item
+@ref{dd8,,analyze_init_status()}:
+Initialize the analyzer.
-@geindex Next_E_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_E_Em}@anchor{1976}
-@deffn {Attribute} Next_E_Em = 211
-@end deffn
+@item
+@ref{dd9,,analyze_file()}:
+Analyze a given filename @code{fname}.
-@geindex Next_Event (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event}@anchor{1977}
-@deffn {Attribute} Next_Event = 212
-@end deffn
+@item
+@ref{dda,,disp_config()}:
+Display the configured prefixes for libghdl.
+@end itemize
-@geindex Next_Event_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_Em}@anchor{1978}
-@deffn {Attribute} Next_Event_Em = 213
-@end deffn
+`Exceptions'
-@geindex Next_Event_A (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_A}@anchor{1979}
-@deffn {Attribute} Next_Event_A = 214
-@end deffn
-@geindex Next_Event_A_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_A_Em}@anchor{197a}
-@deffn {Attribute} Next_Event_A_Em = 215
-@end deffn
+@itemize -
-@geindex Next_Event_E (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_E}@anchor{197b}
-@deffn {Attribute} Next_Event_E = 216
-@end deffn
+@item
+@ref{827,,LibGHDLException}:
+Common base class for all non-exit exceptions.
+@end itemize
-@geindex Next_Event_E_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_E_Em}@anchor{197c}
-@deffn {Attribute} Next_Event_E_Em = 217
-@end deffn
-@geindex Until_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Em}@anchor{197d}
-@deffn {Attribute} Until_Em = 218
-@end deffn
+__________________________________________________________________
-@geindex Until_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Un}@anchor{197e}
-@deffn {Attribute} Until_Un = 219
-@end deffn
-@geindex Until_Em_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Em_Un}@anchor{197f}
-@deffn {Attribute} Until_Em_Un = 220
-@end deffn
+`Variables'
-@geindex Prev (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Prev}@anchor{1980}
-@deffn {Attribute} Prev = 221
-@end deffn
+@geindex ENCODING (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl ENCODING}@anchor{dce}
+@deffn {Data} pyGHDL.libghdl.ENCODING
-@geindex Stable (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Stable}@anchor{1981}
-@deffn {Attribute} Stable = 222
-@end deffn
+str(object=’’) -> str
+str(bytes_or_buffer[, encoding[, errors]]) -> str
-@geindex Fell (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Fell}@anchor{1982}
-@deffn {Attribute} Fell = 223
-@end deffn
+Create a new string object from the given object. If encoding or
+errors is specified, then the object must expose a data buffer
+that will be decoded using the given encoding and error handler.
+Otherwise, returns the result of object.__str__() (if defined)
+or repr(object).
+encoding defaults to sys.getdefaultencoding().
+errors defaults to ‘strict’.
-@geindex Rose (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rose}@anchor{1983}
-@deffn {Attribute} Rose = 224
+@example
+'latin-1'
+@end example
@end deffn
-@geindex Onehot (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Onehot}@anchor{1984}
-@deffn {Attribute} Onehot = 225
-@end deffn
-@geindex Onehot0 (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Onehot0}@anchor{1985}
-@deffn {Attribute} Onehot0 = 226
-@end deffn
-@end deffn
+__________________________________________________________________
-@c # Load pre-defined aliases and graphical characters like © from docutils
-@c # <file> is used to denote the special path
-@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-@c This data file has been placed in the public domain.
+`Functions'
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@geindex _get_libghdl_name() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl _get_libghdl_name}@anchor{dcf}
+@deffn {Function} pyGHDL.libghdl._get_libghdl_name ()
-@c This data file has been placed in the public domain.
+Get the name of the libghdl library (with version and extension).
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@*Return type:
+Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}
-@c # define a hard line break for HTML
+@end deffn
-@node pyGHDL libghdl vhdl utils,,pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl utils doc}@anchor{1986}@anchor{pyGHDL/pyGHDL libghdl vhdl utils module-pyGHDL libghdl vhdl utils}@anchor{37}@anchor{pyGHDL/pyGHDL libghdl vhdl utils pyghdl-libghdl-vhdl-utils}@anchor{1987}
-@subsubsection pyGHDL.libghdl.vhdl.utils
+@geindex _check_libghdl_libdir() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl _check_libghdl_libdir}@anchor{dd0}
+@deffn {Function} pyGHDL.libghdl._check_libghdl_libdir (libdir, basename)
+Returns libghdl path in @code{libdir}, if found.
-@geindex module; pyGHDL.libghdl.vhdl.utils
+@*Return type:
+Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}
-@c #-----------------------------------
-@strong{Functions}
+@*Parameters:
+@itemize *
-@itemize -
+@item
+@code{libdir} (Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}) –
@item
-@ref{1988,,Get_Source_Identifier()}:
-Like @code{Get_Identifier} but return a @code{NameId} for the same casing as it appears in the source file.
+@code{basename} (Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}) –
@end itemize
-@c #-----------------------------------
+@end deffn
-@strong{Functions}
+@geindex _check_libghdl_bindir() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl _check_libghdl_bindir}@anchor{dd1}
+@deffn {Function} pyGHDL.libghdl._check_libghdl_bindir (bindir, basename)
-@geindex Get_Source_Identifier() (in module pyGHDL.libghdl.vhdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl utils pyGHDL libghdl vhdl utils Get_Source_Identifier}@anchor{1988}
-@deffn {Function} pyGHDL.libghdl.vhdl.utils.Get_Source_Identifier (Decl)
+@*Return type:
+Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}
-Like @code{Get_Identifier} but return a @code{NameId} for the same casing as it appears in the source file.
-Not useful for analysis as VHDL is case insensitive, but could be useful for error messages or tooling.
@*Parameters:
-@code{Decl} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Iir Node. Type: @code{Iir}
-
-@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+@itemize *
-@end deffn
+@item
+@code{bindir} (Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}) –
-@c #-----------------------------------
+@item
+@code{basename} (Path@footnote{https://docs.python.org/3/library/pathlib.html#pathlib.Path}) –
+@end itemize
-@c #-----------------------------------
+@end deffn
-@strong{Functions}
+@geindex _get_libghdl_path() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl _get_libghdl_path}@anchor{dd2}
+@deffn {Function} pyGHDL.libghdl._get_libghdl_path ()
+Locate the directory where the shared library is installed.
-@itemize -
+`Search order:'
-@item
-@ref{1989,,finalize()}:
-Free all the memory, be ready for a new initialization.
-@item
-@ref{198a,,initialize()}:
-Initialize or re-initialize the shared library.
+@enumerate
@item
-@ref{198b,,set_option()}:
-Set option @code{opt}.
+@cite{GHDL_PREFIX} - directory (prefix) of the vhdl libraries.
@item
-@ref{198c,,analyze_init()}:
-Initialize the analyzer.
+@cite{VUNIT_GHDL_PATH} - path of the @cite{ghdl} binary when using VUnit.
@item
-@ref{198d,,analyze_init_status()}:
-Initialize the analyzer.
+@cite{GHDL} - name of, or path to the @cite{ghdl} binary.
@item
-@ref{198e,,analyze_file()}:
-Analyze a given filename @code{fname}.
+Try within @cite{libghdl/} Python installation.
@item
-@ref{198f,,disp_config()}:
-Display the configured prefixes for libghdl.
-@end itemize
-
-@c #-----------------------------------
+Try when running from the build directory.
+@end enumerate
+@end deffn
-@strong{Functions}
+@geindex _initialize() (in module pyGHDL.libghdl)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl _initialize}@anchor{dd3}
+@deffn {Function} pyGHDL.libghdl._initialize ()
+@end deffn
@geindex finalize() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl finalize}@anchor{1989}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl finalize}@anchor{dd4}
@deffn {Function} pyGHDL.libghdl.finalize ()
Free all the memory, be ready for a new initialization.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex initialize() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl initialize}@anchor{198a}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl initialize}@anchor{dd5}
@deffn {Function} pyGHDL.libghdl.initialize ()
Initialize or re-initialize the shared library.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
@end deffn
@geindex set_option() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl set_option}@anchor{198b}
-@deffn {Function} pyGHDL.libghdl.set_option (Opt)
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl set_option}@anchor{dd6}
+@deffn {Function} pyGHDL.libghdl.set_option (opt)
Set option @code{opt}.
@*Parameters:
-@code{Opt} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – Option to set.
+@code{opt} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – Option to set.
@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+bool@footnote{https://docs.python.org/3/library/functions.html#bool}
@*Returns:
@@ -55593,28 +56133,29 @@ Return @code{True}, if the option is known and handled.
@end deffn
@geindex analyze_init() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init}@anchor{198c}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init}@anchor{dd7}
@deffn {Function} pyGHDL.libghdl.analyze_init ()
Initialize the analyzer.
+:rtype: None@footnote{https://docs.python.org/3/library/constants.html#None}
-Deprecated since version 1.0.0: Deprecated as it may raise an exception. Use @ref{198d,,analyze_init_status()}.
+Deprecated since version 1.0.0: Deprecated as it may raise an exception. Use @ref{dd8,,analyze_init_status()}.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None
@end deffn
@geindex analyze_init_status() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init_status}@anchor{198d}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init_status}@anchor{dd8}
@deffn {Function} pyGHDL.libghdl.analyze_init_status ()
Initialize the analyzer.
@*Return type:
-int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+int@footnote{https://docs.python.org/3/library/functions.html#int}
@*Returns:
@@ -55623,17 +56164,17 @@ Returns 0 in case of success.
@end deffn
@geindex analyze_file() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_file}@anchor{198e}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_file}@anchor{dd9}
@deffn {Function} pyGHDL.libghdl.analyze_file (fname)
Analyze a given filename @code{fname}.
@*Parameters:
-@code{fname} (str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}) – File name
+@code{fname} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) – File name
@*Return type:
-TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+TypeVar@footnote{https://docs.python.org/3/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -55642,13 +56183,40 @@ Internal Intermediate Representation (IIR)
@end deffn
@geindex disp_config() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl disp_config}@anchor{198f}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl disp_config}@anchor{dda}
@deffn {Function} pyGHDL.libghdl.disp_config ()
Display the configured prefixes for libghdl.
@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+None@footnote{https://docs.python.org/3/library/constants.html#None}
+
+@end deffn
+
+
+__________________________________________________________________
+
+
+`Exceptions'
+
+@geindex LibGHDLException
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl LibGHDLException}@anchor{827}
+@deffn {Exception} pyGHDL.libghdl.LibGHDLException (message, errors=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-8d741282660e73fdfbce07960f9b06492bf7ba3c,,,[graphviz],png}
+
+@*Parameters:
+
+@itemize *
+
+@item
+@code{message} (str@footnote{https://docs.python.org/3/library/stdtypes.html#str}) –
+
+@item
+@code{errors} (List@footnote{https://docs.python.org/3/library/typing.html#typing.List}@code{[}str@footnote{https://docs.python.org/3/library/stdtypes.html#str}@code{]}) –
+@end itemize
@end deffn
@@ -55661,27 +56229,29 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL lsp,,pyGHDL libghdl,pyGHDL
-@anchor{pyGHDL/pyGHDL lsp doc}@anchor{1990}@anchor{pyGHDL/pyGHDL lsp module-pyGHDL lsp}@anchor{38}@anchor{pyGHDL/pyGHDL lsp pyghdl-lsp}@anchor{1991}
-@section pyGHDL.lsp
+@anchor{pyGHDL/pyGHDL lsp doc}@anchor{ddb}@anchor{pyGHDL/pyGHDL lsp module-pyGHDL lsp}@anchor{3c}@anchor{pyGHDL/pyGHDL lsp pyghdl-lsp}@anchor{ddc}
+@section @code{pyGHDL.lsp}
@geindex module; pyGHDL.lsp
-@c #-----------------------------------
-
-@strong{Submodules}
+`Submodules'
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@@ -55692,17 +56262,21 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@menu
* pyGHDL.lsp.document: pyGHDL lsp document.
* pyGHDL.lsp.lsp: pyGHDL lsp lsp.
@@ -55716,14 +56290,12 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end menu
@node pyGHDL lsp document,pyGHDL lsp lsp,,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp document doc}@anchor{1992}@anchor{pyGHDL/pyGHDL lsp document module-pyGHDL lsp document}@anchor{39}@anchor{pyGHDL/pyGHDL lsp document pyghdl-lsp-document}@anchor{1993}
-@subsection pyGHDL.lsp.document
+@anchor{pyGHDL/pyGHDL lsp document doc}@anchor{ddd}@anchor{pyGHDL/pyGHDL lsp document module-pyGHDL lsp document}@anchor{3d}@anchor{pyGHDL/pyGHDL lsp document pyghdl-lsp-document}@anchor{dde}
+@subsection @code{pyGHDL.lsp.document}
@geindex module; pyGHDL.lsp.document
-@c #-----------------------------------
-
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -55733,26 +56305,28 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL lsp lsp,pyGHDL lsp lsptools,pyGHDL lsp document,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp lsp doc}@anchor{1994}@anchor{pyGHDL/pyGHDL lsp lsp module-pyGHDL lsp lsp}@anchor{3a}@anchor{pyGHDL/pyGHDL lsp lsp pyghdl-lsp-lsp}@anchor{1995}
-@subsection pyGHDL.lsp.lsp
+@anchor{pyGHDL/pyGHDL lsp lsp doc}@anchor{ddf}@anchor{pyGHDL/pyGHDL lsp lsp module-pyGHDL lsp lsp}@anchor{3e}@anchor{pyGHDL/pyGHDL lsp lsp pyghdl-lsp-lsp}@anchor{de0}
+@subsection @code{pyGHDL.lsp.lsp}
@geindex module; pyGHDL.lsp.lsp
-@c #-----------------------------------
-
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -55762,26 +56336,28 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL lsp lsptools,pyGHDL lsp references,pyGHDL lsp lsp,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp lsptools doc}@anchor{1996}@anchor{pyGHDL/pyGHDL lsp lsptools module-pyGHDL lsp lsptools}@anchor{3b}@anchor{pyGHDL/pyGHDL lsp lsptools pyghdl-lsp-lsptools}@anchor{1997}
-@subsection pyGHDL.lsp.lsptools
+@anchor{pyGHDL/pyGHDL lsp lsptools doc}@anchor{de1}@anchor{pyGHDL/pyGHDL lsp lsptools module-pyGHDL lsp lsptools}@anchor{3f}@anchor{pyGHDL/pyGHDL lsp lsptools pyghdl-lsp-lsptools}@anchor{de2}
+@subsection @code{pyGHDL.lsp.lsptools}
@geindex module; pyGHDL.lsp.lsptools
-@c #-----------------------------------
-
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -55791,26 +56367,28 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL lsp references,pyGHDL lsp symbols,pyGHDL lsp lsptools,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp references doc}@anchor{1998}@anchor{pyGHDL/pyGHDL lsp references module-pyGHDL lsp references}@anchor{3c}@anchor{pyGHDL/pyGHDL lsp references pyghdl-lsp-references}@anchor{1999}
-@subsection pyGHDL.lsp.references
+@anchor{pyGHDL/pyGHDL lsp references doc}@anchor{de3}@anchor{pyGHDL/pyGHDL lsp references module-pyGHDL lsp references}@anchor{40}@anchor{pyGHDL/pyGHDL lsp references pyghdl-lsp-references}@anchor{de4}
+@subsection @code{pyGHDL.lsp.references}
@geindex module; pyGHDL.lsp.references
-@c #-----------------------------------
-
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -55820,26 +56398,28 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL lsp symbols,pyGHDL lsp version,pyGHDL lsp references,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp symbols doc}@anchor{199a}@anchor{pyGHDL/pyGHDL lsp symbols module-pyGHDL lsp symbols}@anchor{3d}@anchor{pyGHDL/pyGHDL lsp symbols pyghdl-lsp-symbols}@anchor{199b}
-@subsection pyGHDL.lsp.symbols
+@anchor{pyGHDL/pyGHDL lsp symbols doc}@anchor{de5}@anchor{pyGHDL/pyGHDL lsp symbols module-pyGHDL lsp symbols}@anchor{41}@anchor{pyGHDL/pyGHDL lsp symbols pyghdl-lsp-symbols}@anchor{de6}
+@subsection @code{pyGHDL.lsp.symbols}
@geindex module; pyGHDL.lsp.symbols
-@c #-----------------------------------
-
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -55849,26 +56429,28 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL lsp version,pyGHDL lsp vhdl_ls,pyGHDL lsp symbols,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp version doc}@anchor{199c}@anchor{pyGHDL/pyGHDL lsp version module-pyGHDL lsp version}@anchor{3e}@anchor{pyGHDL/pyGHDL lsp version pyghdl-lsp-version}@anchor{199d}
-@subsection pyGHDL.lsp.version
+@anchor{pyGHDL/pyGHDL lsp version doc}@anchor{de7}@anchor{pyGHDL/pyGHDL lsp version module-pyGHDL lsp version}@anchor{42}@anchor{pyGHDL/pyGHDL lsp version pyghdl-lsp-version}@anchor{de8}
+@subsection @code{pyGHDL.lsp.version}
@geindex module; pyGHDL.lsp.version
-@c #-----------------------------------
-
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -55878,26 +56460,28 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL lsp vhdl_ls,pyGHDL lsp workspace,pyGHDL lsp version,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp vhdl_ls doc}@anchor{199e}@anchor{pyGHDL/pyGHDL lsp vhdl_ls module-pyGHDL lsp vhdl_ls}@anchor{3f}@anchor{pyGHDL/pyGHDL lsp vhdl_ls pyghdl-lsp-vhdl-ls}@anchor{199f}
-@subsection pyGHDL.lsp.vhdl_ls
+@anchor{pyGHDL/pyGHDL lsp vhdl_ls doc}@anchor{de9}@anchor{pyGHDL/pyGHDL lsp vhdl_ls module-pyGHDL lsp vhdl_ls}@anchor{43}@anchor{pyGHDL/pyGHDL lsp vhdl_ls pyghdl-lsp-vhdl-ls}@anchor{dea}
+@subsection @code{pyGHDL.lsp.vhdl_ls}
@geindex module; pyGHDL.lsp.vhdl_ls
-@c #-----------------------------------
-
@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -55907,74 +56491,51 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
+@c # Template modified by Patrick Lehmann
+@c * removed automodule on top, because private members are activated for autodoc (no doubled documentation).
+@c * Made sections like 'submodules' bold text, but no headlines to reduce number of ToC levels.
+
@node pyGHDL lsp workspace,,pyGHDL lsp vhdl_ls,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp workspace doc}@anchor{19a0}@anchor{pyGHDL/pyGHDL lsp workspace module-pyGHDL lsp workspace}@anchor{40}@anchor{pyGHDL/pyGHDL lsp workspace pyghdl-lsp-workspace}@anchor{19a1}
-@subsection pyGHDL.lsp.workspace
+@anchor{pyGHDL/pyGHDL lsp workspace doc}@anchor{deb}@anchor{pyGHDL/pyGHDL lsp workspace module-pyGHDL lsp workspace}@anchor{44}@anchor{pyGHDL/pyGHDL lsp workspace pyghdl-lsp-workspace}@anchor{dec}
+@subsection @code{pyGHDL.lsp.workspace}
@geindex module; pyGHDL.lsp.workspace
-@c #-----------------------------------
-
-@c #-----------------------------------
-
-@c #-----------------------------------
-
-@strong{Exceptions}
+`Exceptions'
@itemize -
@item
-@ref{19a2,,GHDLBaseException}:
+@ref{ded,,GHDLBaseException}:
Common base class for all non-exit exceptions.
@end itemize
-@c #-----------------------------------
-
-@geindex GHDLBaseException
-@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException}@anchor{19a2}
-@deffn {Exception} pyGHDL.GHDLBaseException
-
-@subheading Inheritance
-
-@image{inheritance-a60b3e2d87738be6bc5777692be4010afda80e33,,,[graphviz],png}
-
-@subheading Members
+__________________________________________________________________
-@geindex message (pyGHDL.GHDLBaseException property)
-@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException message}@anchor{19a3}
-@deffn {Property} message: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-
-@end deffn
+`Exceptions'
-@geindex args (pyGHDL.GHDLBaseException attribute)
-@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException args}@anchor{19a4}
-@deffn {Attribute} args
-@end deffn
+@geindex GHDLBaseException
+@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException}@anchor{ded}
+@deffn {Exception} pyGHDL.GHDLBaseException
-@geindex with_traceback() (pyGHDL.GHDLBaseException method)
-@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException with_traceback}@anchor{19a5}
-@deffn {Method} with_traceback ()
+@subheading Inheritance
-Exception.with_traceback(tb) –
-set self.__traceback__ to tb and return self.
-@end deffn
+@image{inheritance-f9f0cd4092c11697a94043d44c43104c71308ad4,,,[graphviz],png}
@end deffn
@c # Load pre-defined aliases and graphical characters like © from docutils
@@ -55986,19 +56547,19 @@ set self.__traceback__ to tb and return self.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node GHDL Waveform GHW,Debugging,pyGHDL,Top
-@anchor{ghw/index doc}@anchor{19a6}@anchor{ghw/index ghdl-waveform-ghw}@anchor{19a7}@anchor{ghw/index ghw}@anchor{4a}
+@anchor{ghw/index doc}@anchor{dee}@anchor{ghw/index ghdl-waveform-ghw}@anchor{def}@anchor{ghw/index ghw}@anchor{4e}
@chapter GHDL Waveform (GHW)
@@ -56033,14 +56594,14 @@ Kaitai Struct (see kaitai.io@footnote{https://kaitai.io/}).
@end menu
@node libghw,ghwdump,,GHDL Waveform GHW
-@anchor{ghw/index libghw}@anchor{19a8}
+@anchor{ghw/index libghw}@anchor{df0}
@section libghw
GHW reading features are provided as a shared library, which is built and installed with GHDL by default.
@node ghwdump,,libghw,GHDL Waveform GHW
-@anchor{ghw/index ghwdump}@anchor{19a9}
+@anchor{ghw/index ghwdump}@anchor{df1}
@section ghwdump
@@ -56057,19 +56618,19 @@ It’s used in the GHDL test suite for catching regressions.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Debugging,Coding Style,GHDL Waveform GHW,Top
-@anchor{development/Debugging doc}@anchor{19aa}@anchor{development/Debugging debugging}@anchor{19ab}@anchor{development/Debugging dev-debugging}@anchor{120}
+@anchor{development/Debugging doc}@anchor{df2}@anchor{development/Debugging debugging}@anchor{df3}@anchor{development/Debugging dev-debugging}@anchor{170}
@chapter Debugging
@@ -56079,94 +56640,94 @@ It’s used in the GHDL test suite for catching regressions.
@end menu
@node Simulation and runtime debugging options,,,Debugging
-@anchor{development/Debugging simulation-and-runtime-debugging-options}@anchor{19ac}
+@anchor{development/Debugging simulation-and-runtime-debugging-options}@anchor{df4}
@section Simulation and runtime debugging options
-Besides the options described in @ref{c5,,Options}, @cite{GHDL} passes any debugging options (those that begin with
+Besides the options described in @ref{c9,,Options}, @cite{GHDL} passes any debugging options (those that begin with
@code{-g}) and optimizations options (those that begin with @code{-O} or @code{-f}) to @cite{GCC}. Refer to the @cite{GCC} manual for
-details. Moreover, some debugging options are also available, but not described here. The --help@footnote{https://docs.python.org/3.6/using/cmdline.html#cmdoption-help} option lists
+details. Moreover, some debugging options are also available, but not described here. The --help@footnote{https://docs.python.org/3/using/cmdline.html#cmdoption-help} option lists
all options available, including the debugging ones.
@geindex command line option; --trace-signals
-@anchor{development/Debugging cmdoption-trace-signals}@anchor{19ad}
+@anchor{development/Debugging cmdoption-trace-signals}@anchor{df5}
@deffn {Option} @w{-}@w{-}trace@w{-}signals
Display signals after each cycle.
@end deffn
@geindex command line option; --trace-processes
-@anchor{development/Debugging cmdoption-trace-processes}@anchor{19ae}
+@anchor{development/Debugging cmdoption-trace-processes}@anchor{df6}
@deffn {Option} @w{-}@w{-}trace@w{-}processes
Display process name before each cycle.
@end deffn
@geindex command line option; --stats
-@anchor{development/Debugging cmdoption-stats}@anchor{19af}
+@anchor{development/Debugging cmdoption-stats}@anchor{df7}
@deffn {Option} @w{-}@w{-}stats
Display run-time statistics.
@end deffn
@geindex command line option; --disp-order
-@anchor{development/Debugging cmdoption-disp-order}@anchor{19b0}
+@anchor{development/Debugging cmdoption-disp-order}@anchor{df8}
@deffn {Option} @w{-}@w{-}disp@w{-}order
Display signals order.
@end deffn
@geindex command line option; --disp-sources
-@anchor{development/Debugging cmdoption-disp-sources}@anchor{19b1}
+@anchor{development/Debugging cmdoption-disp-sources}@anchor{df9}
@deffn {Option} @w{-}@w{-}disp@w{-}sources
Display sources while displaying signals.
@end deffn
@geindex command line option; --disp-sig-types
-@anchor{development/Debugging cmdoption-disp-sig-types}@anchor{19b2}
+@anchor{development/Debugging cmdoption-disp-sig-types}@anchor{dfa}
@deffn {Option} @w{-}@w{-}disp@w{-}sig@w{-}types
Display signal types.
@end deffn
@geindex command line option; --disp-signals-map
-@anchor{development/Debugging cmdoption-disp-signals-map}@anchor{19b3}
+@anchor{development/Debugging cmdoption-disp-signals-map}@anchor{dfb}
@deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}map
Display map bw declared signals and internal signals.
@end deffn
@geindex command line option; --disp-signals-table
-@anchor{development/Debugging cmdoption-disp-signals-table}@anchor{19b4}
+@anchor{development/Debugging cmdoption-disp-signals-table}@anchor{dfc}
@deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}table
Display internal signals.
@end deffn
@geindex command line option; --checks
-@anchor{development/Debugging cmdoption-checks}@anchor{19b5}
+@anchor{development/Debugging cmdoption-checks}@anchor{dfd}
@deffn {Option} @w{-}@w{-}checks
Do internal checks after each process run.
@end deffn
@geindex command line option; --activity
-@anchor{development/Debugging cmdoption-activity}@anchor{19b6}
+@anchor{development/Debugging cmdoption-activity}@anchor{dfe}
@deffn {Option} @w{-}@w{-}activity=<LEVEL>
Watch activity of LEVEL signals: LEVEL is @code{all}, @code{min} (default) or @code{none} (unsafe).
@end deffn
@geindex command line option; --dump-rti
-@anchor{development/Debugging cmdoption-dump-rti}@anchor{19b7}
+@anchor{development/Debugging cmdoption-dump-rti}@anchor{dff}
@deffn {Option} @w{-}@w{-}dump@w{-}rti
Dump Run Time Information (RTI).
@end deffn
@geindex command line option; --bootstrap
-@anchor{development/Debugging cmdoption-bootstrap}@anchor{19b8}
+@anchor{development/Debugging cmdoption-bootstrap}@anchor{e00}
@deffn {Option} @w{-}@w{-}bootstrap
Allow @code{--work=std}
@@ -56178,7 +56739,7 @@ Allow @code{--work=std}
@end menu
@node GNU Debugger GDB,,,Simulation and runtime debugging options
-@anchor{development/Debugging gnu-debugger-gdb}@anchor{19b9}
+@anchor{development/Debugging gnu-debugger-gdb}@anchor{e01}
@subsection GNU Debugger (GDB)
@@ -56209,19 +56770,19 @@ When the breakpoint is hit, use the @code{where} or @code{bt} command to display
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Coding Style,Scripts,Debugging,Top
-@anchor{development/CodingStyle doc}@anchor{19ba}@anchor{development/CodingStyle coding-style}@anchor{19bb}@anchor{development/CodingStyle dev-style}@anchor{74}
+@anchor{development/CodingStyle doc}@anchor{e02}@anchor{development/CodingStyle coding-style}@anchor{e03}@anchor{development/CodingStyle dev-style}@anchor{78}
@chapter Coding Style
@@ -56234,7 +56795,7 @@ When the breakpoint is hit, use the @code{where} or @code{bt} command to display
@end menu
@node Ada,Shell,,Coding Style
-@anchor{development/CodingStyle ada}@anchor{19bc}
+@anchor{development/CodingStyle ada}@anchor{e04}
@section Ada
@@ -56416,7 +56977,7 @@ not use a constant.
@end itemize
@node Shell,Guidelines to edit the documentation,Ada,Coding Style
-@anchor{development/CodingStyle shell}@anchor{19bd}
+@anchor{development/CodingStyle shell}@anchor{e05}
@section Shell
@@ -56425,7 +56986,7 @@ Ubuntu uses @cite{dash} instead of @cite{bash} when a shell script is run. As a
they are sourced in a @cite{bash} shell. The same applies to the scripts in @cite{testsuite}.
@node Guidelines to edit the documentation,Documentation configuration,Shell,Coding Style
-@anchor{development/CodingStyle guidelines-to-edit-the-documentation}@anchor{19be}
+@anchor{development/CodingStyle guidelines-to-edit-the-documentation}@anchor{e06}
@section Guidelines to edit the documentation
@@ -56518,7 +57079,7 @@ Please keep errors to a minimum.
@end menu
@node Guidelines to edit section ‘Building’,,,Guidelines to edit the documentation
-@anchor{development/CodingStyle guidelines-to-edit-section-building}@anchor{19bf}
+@anchor{development/CodingStyle guidelines-to-edit-section-building}@anchor{e07}
@subsection Guidelines to edit section ‘Building’
@@ -56545,7 +57106,7 @@ llvm-del (LLVM development package)
The goal is also to explain what a user is installing and what the few lines in the build description do. Now they know the name, can search for similar names if they have another package manager or distro or can ask Google/Wikipedia. We often find many build receipts with cryptic shell code and to execute this unknown stuff with sudo is not comfortable. We would like to know what it does before hitting enter.
@node Documentation configuration,,Guidelines to edit the documentation,Coding Style
-@anchor{development/CodingStyle documentation-configuration}@anchor{19c0}
+@anchor{development/CodingStyle documentation-configuration}@anchor{e08}
@section Documentation configuration
@@ -56600,19 +57161,19 @@ External ref to option (no link):
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Scripts,Overview,Coding Style,Top
-@anchor{development/Scripts doc}@anchor{19c1}@anchor{development/Scripts dev-scripts}@anchor{19c2}@anchor{development/Scripts scripts}@anchor{19c3}
+@anchor{development/Scripts doc}@anchor{e09}@anchor{development/Scripts dev-scripts}@anchor{e0a}@anchor{development/Scripts scripts}@anchor{e0b}
@chapter Scripts
@@ -56628,7 +57189,7 @@ External ref to option (no link):
@end menu
@node pnodes,pnodespy,,Scripts
-@anchor{development/Scripts cmdref-pnodes}@anchor{19c4}@anchor{development/Scripts pnodes}@anchor{19c5}
+@anchor{development/Scripts cmdref-pnodes}@anchor{e0c}@anchor{development/Scripts pnodes}@anchor{e0d}
@section pnodes
@@ -56651,100 +57212,100 @@ usage: pnodes [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
@end menu
@node positional arguments,optional arguments<2>,,pnodes
-@anchor{development/Scripts positional-arguments}@anchor{19c6}
+@anchor{development/Scripts positional-arguments}@anchor{e0e}
@subsection positional arguments
@geindex pnodes command line option; action
-@anchor{development/Scripts cmdoption-pnodes-arg-action}@anchor{19c7}
+@anchor{development/Scripts cmdoption-pnodes-arg-action}@anchor{e0f}
@deffn {Option} action
@end deffn
@node optional arguments<2>,,positional arguments,pnodes
-@anchor{development/Scripts optional-arguments}@anchor{19c8}
+@anchor{development/Scripts optional-arguments}@anchor{e10}
@subsection optional arguments
@geindex pnodes command line option; -h
@geindex pnodes command line option; --help
-@anchor{development/Scripts cmdoption-pnodes-h}@anchor{19c9}@anchor{development/Scripts cmdoption-pnodes-help}@anchor{19ca}
+@anchor{development/Scripts cmdoption-pnodes-h}@anchor{e11}@anchor{development/Scripts cmdoption-pnodes-help}@anchor{e12}
@deffn {Option} @w{-}h, @w{-}@w{-}help
show this help message and exit
@end deffn
@geindex pnodes command line option; --field-file
-@anchor{development/Scripts cmdoption-pnodes-field-file}@anchor{19cb}
+@anchor{development/Scripts cmdoption-pnodes-field-file}@anchor{e13}
@deffn {Option} @w{-}@w{-}field@w{-}file <field_file>
specify file which defines fields
@end deffn
@geindex pnodes command line option; --kind-file
-@anchor{development/Scripts cmdoption-pnodes-kind-file}@anchor{19cc}
+@anchor{development/Scripts cmdoption-pnodes-kind-file}@anchor{e14}
@deffn {Option} @w{-}@w{-}kind@w{-}file <kind_file>
specify file which defines nodes kind
@end deffn
@geindex pnodes command line option; --node-file
-@anchor{development/Scripts cmdoption-pnodes-node-file}@anchor{19cd}
+@anchor{development/Scripts cmdoption-pnodes-node-file}@anchor{e15}
@deffn {Option} @w{-}@w{-}node@w{-}file <node_file>
specify file which defines nodes and methods
@end deffn
@geindex pnodes command line option; --template-file
-@anchor{development/Scripts cmdoption-pnodes-template-file}@anchor{19ce}
+@anchor{development/Scripts cmdoption-pnodes-template-file}@anchor{e16}
@deffn {Option} @w{-}@w{-}template@w{-}file <template_file>
specify template body file
@end deffn
@geindex pnodes command line option; --meta-basename
-@anchor{development/Scripts cmdoption-pnodes-meta-basename}@anchor{19cf}
+@anchor{development/Scripts cmdoption-pnodes-meta-basename}@anchor{e17}
@deffn {Option} @w{-}@w{-}meta@w{-}basename <meta_basename>
specify base name of meta files
@end deffn
@geindex pnodes command line option; --kind-type
-@anchor{development/Scripts cmdoption-pnodes-kind-type}@anchor{19d0}
+@anchor{development/Scripts cmdoption-pnodes-kind-type}@anchor{e18}
@deffn {Option} @w{-}@w{-}kind@w{-}type <kind_type>
name of kind type
@end deffn
@geindex pnodes command line option; --kind-prefix
-@anchor{development/Scripts cmdoption-pnodes-kind-prefix}@anchor{19d1}
+@anchor{development/Scripts cmdoption-pnodes-kind-prefix}@anchor{e19}
@deffn {Option} @w{-}@w{-}kind@w{-}prefix <kind_prefix>
prefix for kind literals
@end deffn
@geindex pnodes command line option; --kind-range-prefix
-@anchor{development/Scripts cmdoption-pnodes-kind-range-prefix}@anchor{19d2}
+@anchor{development/Scripts cmdoption-pnodes-kind-range-prefix}@anchor{e1a}
@deffn {Option} @w{-}@w{-}kind@w{-}range@w{-}prefix <kind_range_prefix>
prefix for kind subtype (range)
@end deffn
@geindex pnodes command line option; --node-type
-@anchor{development/Scripts cmdoption-pnodes-node-type}@anchor{19d3}
+@anchor{development/Scripts cmdoption-pnodes-node-type}@anchor{e1b}
@deffn {Option} @w{-}@w{-}node@w{-}type <node_type>
name of the node type
@end deffn
@geindex pnodes command line option; --keep-order
-@anchor{development/Scripts cmdoption-pnodes-keep-order}@anchor{19d4}
+@anchor{development/Scripts cmdoption-pnodes-keep-order}@anchor{e1c}
@deffn {Option} @w{-}@w{-}keep@w{-}order
keep field order of nodes
@end deffn
@node pnodespy,,pnodes,Scripts
-@anchor{development/Scripts cmdref-pnodespy}@anchor{19d5}@anchor{development/Scripts pnodespy}@anchor{19d6}
+@anchor{development/Scripts cmdref-pnodespy}@anchor{e1d}@anchor{development/Scripts pnodespy}@anchor{e1e}
@section pnodespy
@@ -56755,8 +57316,8 @@ usage: pnodespy [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
[--node-file NODE_FILE] [--template-file TEMPLATE_FILE]
[--meta-basename META_BASENAME] [--kind-type KIND_TYPE]
[--kind-prefix KIND_PREFIX]
- [--kind-range-prefix KIND_RANGE_PREFIX]
- [--node-type NODE_TYPE] [--keep-order]
+ [--kind-range-prefix KIND_RANGE_PREFIX] [--node-type NODE_TYPE]
+ [--keep-order]
@{disp-nodes,disp-kinds,disp-formats,disp-funcs,disp-types,get_format,body,meta_specs,meta_body,class-kinds,libghdl-nodes,libghdl-meta,libghdl-names,libghdl-tokens,libghdl-elocs,libghdl-errorout@}
@end example
@@ -56767,93 +57328,93 @@ usage: pnodespy [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
@end menu
@node positional arguments<2>,optional arguments<3>,,pnodespy
-@anchor{development/Scripts id1}@anchor{19d7}
+@anchor{development/Scripts id1}@anchor{e1f}
@subsection positional arguments
@geindex pnodespy command line option; action
-@anchor{development/Scripts cmdoption-pnodespy-arg-action}@anchor{19d8}
+@anchor{development/Scripts cmdoption-pnodespy-arg-action}@anchor{e20}
@deffn {Option} action
@end deffn
@node optional arguments<3>,,positional arguments<2>,pnodespy
-@anchor{development/Scripts id2}@anchor{19d9}
+@anchor{development/Scripts id2}@anchor{e21}
@subsection optional arguments
@geindex pnodespy command line option; -h
@geindex pnodespy command line option; --help
-@anchor{development/Scripts cmdoption-pnodespy-h}@anchor{19da}@anchor{development/Scripts cmdoption-pnodespy-help}@anchor{19db}
+@anchor{development/Scripts cmdoption-pnodespy-h}@anchor{e22}@anchor{development/Scripts cmdoption-pnodespy-help}@anchor{e23}
@deffn {Option} @w{-}h, @w{-}@w{-}help
show this help message and exit
@end deffn
@geindex pnodespy command line option; --field-file
-@anchor{development/Scripts cmdoption-pnodespy-field-file}@anchor{19dc}
+@anchor{development/Scripts cmdoption-pnodespy-field-file}@anchor{e24}
@deffn {Option} @w{-}@w{-}field@w{-}file <field_file>
specify file which defines fields
@end deffn
@geindex pnodespy command line option; --kind-file
-@anchor{development/Scripts cmdoption-pnodespy-kind-file}@anchor{19dd}
+@anchor{development/Scripts cmdoption-pnodespy-kind-file}@anchor{e25}
@deffn {Option} @w{-}@w{-}kind@w{-}file <kind_file>
specify file which defines nodes kind
@end deffn
@geindex pnodespy command line option; --node-file
-@anchor{development/Scripts cmdoption-pnodespy-node-file}@anchor{19de}
+@anchor{development/Scripts cmdoption-pnodespy-node-file}@anchor{e26}
@deffn {Option} @w{-}@w{-}node@w{-}file <node_file>
specify file which defines nodes and methods
@end deffn
@geindex pnodespy command line option; --template-file
-@anchor{development/Scripts cmdoption-pnodespy-template-file}@anchor{19df}
+@anchor{development/Scripts cmdoption-pnodespy-template-file}@anchor{e27}
@deffn {Option} @w{-}@w{-}template@w{-}file <template_file>
specify template body file
@end deffn
@geindex pnodespy command line option; --meta-basename
-@anchor{development/Scripts cmdoption-pnodespy-meta-basename}@anchor{19e0}
+@anchor{development/Scripts cmdoption-pnodespy-meta-basename}@anchor{e28}
@deffn {Option} @w{-}@w{-}meta@w{-}basename <meta_basename>
specify base name of meta files
@end deffn
@geindex pnodespy command line option; --kind-type
-@anchor{development/Scripts cmdoption-pnodespy-kind-type}@anchor{19e1}
+@anchor{development/Scripts cmdoption-pnodespy-kind-type}@anchor{e29}
@deffn {Option} @w{-}@w{-}kind@w{-}type <kind_type>
name of kind type
@end deffn
@geindex pnodespy command line option; --kind-prefix
-@anchor{development/Scripts cmdoption-pnodespy-kind-prefix}@anchor{19e2}
+@anchor{development/Scripts cmdoption-pnodespy-kind-prefix}@anchor{e2a}
@deffn {Option} @w{-}@w{-}kind@w{-}prefix <kind_prefix>
prefix for kind literals
@end deffn
@geindex pnodespy command line option; --kind-range-prefix
-@anchor{development/Scripts cmdoption-pnodespy-kind-range-prefix}@anchor{19e3}
+@anchor{development/Scripts cmdoption-pnodespy-kind-range-prefix}@anchor{e2b}
@deffn {Option} @w{-}@w{-}kind@w{-}range@w{-}prefix <kind_range_prefix>
prefix for kind subtype (range)
@end deffn
@geindex pnodespy command line option; --node-type
-@anchor{development/Scripts cmdoption-pnodespy-node-type}@anchor{19e4}
+@anchor{development/Scripts cmdoption-pnodespy-node-type}@anchor{e2c}
@deffn {Option} @w{-}@w{-}node@w{-}type <node_type>
name of the node type
@end deffn
@geindex pnodespy command line option; --keep-order
-@anchor{development/Scripts cmdoption-pnodespy-keep-order}@anchor{19e5}
+@anchor{development/Scripts cmdoption-pnodespy-keep-order}@anchor{e2d}
@deffn {Option} @w{-}@w{-}keep@w{-}order
keep field order of nodes
@@ -56868,19 +57429,19 @@ keep field order of nodes
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Overview,Front-end,Scripts,Top
-@anchor{internals/index doc}@anchor{19e6}@anchor{internals/index int-overview}@anchor{143}@anchor{internals/index overview}@anchor{19e7}
+@anchor{internals/index doc}@anchor{e2e}@anchor{internals/index int-overview}@anchor{193}@anchor{internals/index overview}@anchor{e2f}
@chapter Overview
@@ -56894,7 +57455,7 @@ keep field order of nodes
@end float
-@cite{GHDL} is architectured like a traditionnal compiler. It has:
+@cite{GHDL} is architectured like a traditional compiler. It has:
@itemize *
@@ -56908,7 +57469,7 @@ This ensures that execution is cycle-accurate.
@item
a front-end (sources in src/vhdl@footnote{https://github.com/ghdl/ghdl/blob/master/src/vhdl}) to parse and analyse VHDL.
-See @ref{19e8,,Front-end} and @ref{19e9,,AST}.
+See @ref{e30,,Front-end} and @ref{e31,,AST}.
@item
multiple back-ends to generate either code or netlists.
@@ -56917,17 +57478,17 @@ multiple back-ends to generate either code or netlists.
@itemize *
@item
-Three for @ref{ca,,simulation} (sources are in src/ortho@footnote{https://github.com/ghdl/ghdl/blob/master/src/ortho}): mcode, LLVM and GCC.
-See @ref{51,,Building GHDL from Sources}.
+Three for @ref{ce,,simulation} (sources are in src/ortho@footnote{https://github.com/ghdl/ghdl/blob/master/src/ortho}): mcode, LLVM and GCC.
+See @ref{55,,Building GHDL from Sources}.
@item
-Two for @ref{49,,synthesis} (sources in src/synth@footnote{https://github.com/ghdl/ghdl/blob/master/src/synth}):
-@ref{13d,,–synth} and @ref{6c,,Yosys plugin}.
+Two for @ref{4d,,synthesis} (sources in src/synth@footnote{https://github.com/ghdl/ghdl/blob/master/src/synth}):
+@ref{18d,,–synth} and @ref{70,,Yosys plugin}.
@end itemize
@end itemize
The architecture is modular and some of the components are available through the shared library @code{libghdl}.
-@code{libghdl} is used by the @ref{6c,,Yosys plugin}, the Language Server Protocol (LSP) server, and to fill the data of
+@code{libghdl} is used by the @ref{70,,Yosys plugin}, the Language Server Protocol (LSP) server, and to fill the data of
pyVHDLModel (see The pyVHDLModel Documentation@footnote{https://vhdl.github.io/pyVHDLModel/index.html}).
The main work with regard to understanding the VHDL language is performed by the front-end, which is documented in the
@@ -56942,19 +57503,19 @@ next section.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Front-end,AST,Overview,Top
-@anchor{internals/Frontend doc}@anchor{19ea}@anchor{internals/Frontend front-end}@anchor{19eb}@anchor{internals/Frontend int-frontend}@anchor{19e8}
+@anchor{internals/Frontend doc}@anchor{e32}@anchor{internals/Frontend front-end}@anchor{e33}@anchor{internals/Frontend int-frontend}@anchor{e30}
@chapter Front-end
@@ -56993,19 +57554,19 @@ The main client of the scanner is the parser.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node AST,Run Time Information RTI,Front-end,Top
-@anchor{internals/AST doc}@anchor{19ec}@anchor{internals/AST ast}@anchor{19ed}@anchor{internals/AST int-ast}@anchor{19e9}
+@anchor{internals/AST doc}@anchor{e34}@anchor{internals/AST ast}@anchor{e35}@anchor{internals/AST int-ast}@anchor{e31}
@chapter AST
@@ -57019,7 +57580,7 @@ The main client of the scanner is the parser.
@end menu
@node Introduction,The AST in GHDL,,AST
-@anchor{internals/AST introduction}@anchor{19ee}
+@anchor{internals/AST introduction}@anchor{e36}
@section Introduction
@@ -57057,7 +57618,7 @@ Finally, it is not a tree anymore because new links are added during semantic
analysis. Simple names are linked to their declaration.
@node The AST in GHDL,Why a meta-model ?,Introduction,AST
-@anchor{internals/AST the-ast-in-ghdl}@anchor{19ef}
+@anchor{internals/AST the-ast-in-ghdl}@anchor{e37}
@section The AST in GHDL
@@ -57104,7 +57665,7 @@ The accessors for the node are generated automatically by the python
script @code{src/scripts/pnodes.py}.
@node Why a meta-model ?,Dealing with ownership,The AST in GHDL,AST
-@anchor{internals/AST why-a-meta-model}@anchor{19f0}
+@anchor{internals/AST why-a-meta-model}@anchor{e38}
@section Why a meta-model ?
@@ -57142,7 +57703,7 @@ The meta-model API is mostly automatically generated by the python
script.
@node Dealing with ownership,Node Type,Why a meta-model ?,AST
-@anchor{internals/AST dealing-with-ownership}@anchor{19f1}
+@anchor{internals/AST dealing-with-ownership}@anchor{e39}
@section Dealing with ownership
@@ -57186,7 +57747,7 @@ indeed this is an important notion. The implementation of the Rust
AST has to be investigated.
@node Node Type,,Dealing with ownership,AST
-@anchor{internals/AST node-type}@anchor{19f2}
+@anchor{internals/AST node-type}@anchor{e3a}
@section Node Type
@@ -57201,19 +57762,19 @@ TBC: 32-bit, extensions.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@node Run Time Information RTI,GNATdoc,AST,Top
-@anchor{internals/RTI doc}@anchor{19f3}@anchor{internals/RTI run-time-information-rti}@anchor{19f4}
+@anchor{internals/RTI doc}@anchor{e3b}@anchor{internals/RTI run-time-information-rti}@anchor{e3c}
@chapter Run Time Information (RTI)
@@ -57221,7 +57782,7 @@ TBC: 32-bit, extensions.
@quotation Warning
This section was written while trying to understand how the RTI is
organized. It almost certainly contains errors, and it likely
-won’t be updated with the codebase, so don’t belive any of the
+won’t be updated with the codebase, so don’t believe any of the
information here. Nevertheless, it may be helpful for a developer new
to GHDL trying to understand the organization of the RTI.
@end quotation
@@ -57234,7 +57795,7 @@ to GHDL trying to understand the organization of the RTI.
@end menu
@node Useful Definitions,RTI Nodes / RTII Nodes,,Run Time Information RTI
-@anchor{internals/RTI useful-definitions}@anchor{19f5}
+@anchor{internals/RTI useful-definitions}@anchor{e3d}
@section Useful Definitions
@@ -57263,7 +57824,7 @@ Context
The context of a node is the position in the elaborated design. For
example a architecture might be instantiated 4 times in a design, but
will have a single RTI node. The data related to that node but
-specfic to particular instantiation has an address in memory. A
+specific to particular instantiation has an address in memory. A
context object (@cite{Rti_Context}) bundles an RTI node with an address for
it’s instantiation data. If this RTI node references another RTI node we
can find the relevant instantiation data for that node by considering the
@@ -57282,7 +57843,7 @@ of signals, ports, generics, constants and their subcomponents.
@end quotation
@node RTI Nodes / RTII Nodes,,Useful Definitions,Run Time Information RTI
-@anchor{internals/RTI rti-nodes-rtii-nodes}@anchor{19f6}
+@anchor{internals/RTI rti-nodes-rtii-nodes}@anchor{e3e}
@section RTI Nodes / RTII Nodes
@@ -57374,7 +57935,7 @@ This document will now review that main classes of RTI Nodes.
@end menu
@node Architecture RTI Ghdl_Rtin_Block,Entity RTI Ghdl_Rtin_Block,,RTI Nodes / RTII Nodes
-@anchor{internals/RTI architecture-rti-ghdl-rtin-block}@anchor{19f7}
+@anchor{internals/RTI architecture-rti-ghdl-rtin-block}@anchor{e3f}
@subsection Architecture RTI (Ghdl_Rtin_Block)
@@ -57391,7 +57952,7 @@ store which of the possible architectures of this entity was
instantiated.
@node Entity RTI Ghdl_Rtin_Block,Other Blocks Package/Process Ghdl_Rtin_Block,Architecture RTI Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
-@anchor{internals/RTI entity-rti-ghdl-rtin-block}@anchor{19f8}
+@anchor{internals/RTI entity-rti-ghdl-rtin-block}@anchor{e40}
@subsection Entity RTI (Ghdl_Rtin_Block)
@@ -57401,14 +57962,14 @@ accessed via the architecture’s @cite{Parent} element. The generics and
ports can be accessed as the children of the entity.
@node Other Blocks Package/Process Ghdl_Rtin_Block,if_generate / case_generate Ghdl_Rtin_Block,Entity RTI Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
-@anchor{internals/RTI other-blocks-package-process-ghdl-rtin-block}@anchor{19f9}
+@anchor{internals/RTI other-blocks-package-process-ghdl-rtin-block}@anchor{e41}
@subsection Other Blocks (Package/Process) (Ghdl_Rtin_Block)
The block just loops over it’s children.
@node if_generate / case_generate Ghdl_Rtin_Block,for_generate Ghdl_Rtin_Generate,Other Blocks Package/Process Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
-@anchor{internals/RTI if-generate-case-generate-ghdl-rtin-block}@anchor{19fa}
+@anchor{internals/RTI if-generate-case-generate-ghdl-rtin-block}@anchor{e42}
@subsection if_generate / case_generate (Ghdl_Rtin_Block)
@@ -57428,7 +57989,7 @@ The child node is then created from the RTI node
@cite{instance_pointer}.
@node for_generate Ghdl_Rtin_Generate,instance Ghdl_Rtin_Instance,if_generate / case_generate Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
-@anchor{internals/RTI for-generate-ghdl-rtin-generate}@anchor{19fb}
+@anchor{internals/RTI for-generate-ghdl-rtin-generate}@anchor{e43}
@subsection for_generate (Ghdl_Rtin_Generate)
@@ -57450,7 +58011,7 @@ Size : Ghdl_Index_Type
@quotation
-The amount of memory requrired for the context of their child.
+The amount of memory required for the context of their child.
@end quotation
The Child element is a generate body. There is only a single RTI-node
@@ -57474,7 +58035,7 @@ There might be a bug if the for-generate loop uses a type that wasn’t
defined implicitly.
@node instance Ghdl_Rtin_Instance,Port Ghdl_Rtin_Object,for_generate Ghdl_Rtin_Generate,RTI Nodes / RTII Nodes
-@anchor{internals/RTI instance-ghdl-rtin-instance}@anchor{19fc}
+@anchor{internals/RTI instance-ghdl-rtin-instance}@anchor{e44}
@subsection instance (Ghdl_Rtin_Instance)
@@ -57486,12 +58047,12 @@ of the architecture. The architecture context also contains a single
item, which is a pointer to the architecture RTI Node.
@node Port Ghdl_Rtin_Object,Array Kinds,instance Ghdl_Rtin_Instance,RTI Nodes / RTII Nodes
-@anchor{internals/RTI port-ghdl-rtin-object}@anchor{19fd}
+@anchor{internals/RTI port-ghdl-rtin-object}@anchor{e45}
@subsection Port (Ghdl_Rtin_Object)
@node Array Kinds,Object_To_Base_Bound,Port Ghdl_Rtin_Object,RTI Nodes / RTII Nodes
-@anchor{internals/RTI array-kinds}@anchor{19fe}
+@anchor{internals/RTI array-kinds}@anchor{e46}
@subsection Array Kinds
@@ -57509,7 +58070,7 @@ A Type_Array together with the bounds.
@end table
@node Object_To_Base_Bound,Array_Type Ghdl_Rtin_Type_Array,Array Kinds,RTI Nodes / RTII Nodes
-@anchor{internals/RTI object-to-base-bound}@anchor{19ff}
+@anchor{internals/RTI object-to-base-bound}@anchor{e47}
@subsection Object_To_Base_Bound
@@ -57529,7 +58090,7 @@ record. The contains @cite{Bounds} which points to the bounds, and @cite{Base}
which points to the complex context location.
@node Array_Type Ghdl_Rtin_Type_Array,Array Subtype Ghdl_Rtin_Subtype_Array,Object_To_Base_Bound,RTI Nodes / RTII Nodes
-@anchor{internals/RTI array-type-ghdl-rtin-type-array}@anchor{1a00}
+@anchor{internals/RTI array-type-ghdl-rtin-type-array}@anchor{e48}
@subsection Array_Type (Ghdl_Rtin_Type_Array)
@@ -57556,7 +58117,7 @@ know what kind of object they are represented by yet.
Functions acting on types don’t seem to use context in the same way.
The functions are often pass the RTI object, a context (of a object
-higher in the hierarcy, and a pointer to a local context (often called
+higher in the hierarchy, and a pointer to a local context (often called
layout)).
The context of an Array Type has a defined structure which is @cite{Ghdl_Uc_Array}.
@@ -57575,7 +58136,7 @@ Points to the bounds of the array.
@end table
@node Array Subtype Ghdl_Rtin_Subtype_Array,Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,Array_Type Ghdl_Rtin_Type_Array,RTI Nodes / RTII Nodes
-@anchor{internals/RTI array-subtype-ghdl-rtin-subtype-array}@anchor{1a01}
+@anchor{internals/RTI array-subtype-ghdl-rtin-subtype-array}@anchor{e49}
@subsection Array Subtype (Ghdl_Rtin_Subtype_Array)
@@ -57598,7 +58159,7 @@ a value size, a signal sizes, and the bounds.
@end table
@node Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,Record Kinds,Array Subtype Ghdl_Rtin_Subtype_Array,RTI Nodes / RTII Nodes
-@anchor{internals/RTI port-signal-generic-constant-variable-ghdl-rtin-object}@anchor{1a02}
+@anchor{internals/RTI port-signal-generic-constant-variable-ghdl-rtin-object}@anchor{e4a}
@subsection Port / Signal / Generic / Constant / Variable (Ghdl_Rtin_Object)
@@ -57653,7 +58214,7 @@ this layer of indirection is necessary.
@end table
@node Record Kinds,Record Type Ghdl_Rtin_Type_Record,Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,RTI Nodes / RTII Nodes
-@anchor{internals/RTI record-kinds}@anchor{1a03}
+@anchor{internals/RTI record-kinds}@anchor{e4b}
@subsection Record Kinds
@@ -57687,7 +58248,7 @@ unbound arrays have been bound.
@end quotation
@node Record Type Ghdl_Rtin_Type_Record,Record Type Ghdl_Rtin_Type_Record<2>,Record Kinds,RTI Nodes / RTII Nodes
-@anchor{internals/RTI record-type-ghdl-rtin-type-record}@anchor{1a04}
+@anchor{internals/RTI record-type-ghdl-rtin-type-record}@anchor{e4c}
@subsection Record Type (Ghdl_Rtin_Type_Record)
@@ -57705,7 +58266,7 @@ Elements : Ghdl_Rti_Arr_Acc;
@quotation
-The RTI nodes of the element defintions.
+The RTI nodes of the element definitions.
@end quotation
Layout : Ghdl_Rti_Loc
@@ -57717,7 +58278,7 @@ of the elements will be relative to.
@end quotation
@node Record Type Ghdl_Rtin_Type_Record<2>,Element Type Ghdl_Rtin_Element,Record Type Ghdl_Rtin_Type_Record,RTI Nodes / RTII Nodes
-@anchor{internals/RTI id1}@anchor{1a05}
+@anchor{internals/RTI id1}@anchor{e4d}
@subsection Record Type (Ghdl_Rtin_Type_Record)
@@ -57725,7 +58286,7 @@ For an unbounded record the Layout is not used, but rather a @cite{Bounds} must
given.
@node Element Type Ghdl_Rtin_Element,Examples,Record Type Ghdl_Rtin_Type_Record<2>,RTI Nodes / RTII Nodes
-@anchor{internals/RTI element-type-ghdl-rtin-element}@anchor{1a06}
+@anchor{internals/RTI element-type-ghdl-rtin-element}@anchor{e4e}
@subsection Element Type (Ghdl_Rtin_Element)
@@ -57756,7 +58317,7 @@ when the unbounded record is given bounds.
@end table
@node Examples,,Element Type Ghdl_Rtin_Element,RTI Nodes / RTII Nodes
-@anchor{internals/RTI examples}@anchor{1a07}
+@anchor{internals/RTI examples}@anchor{e4f}
@subsection Examples
@@ -57889,21 +58450,21 @@ end arch;
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@c # This file is a placeholder and will be replaced
@node GNATdoc,Index<2>,Run Time Information RTI,Top
-@anchor{gnatdoc/index doc}@anchor{1a08}@anchor{gnatdoc/index gnatdoc}@anchor{1a09}
+@anchor{gnatdoc/index doc}@anchor{e50}@anchor{gnatdoc/index gnatdoc}@anchor{e51}
@chapter GNATdoc
@@ -57916,21 +58477,21 @@ end arch;
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@c # This file is a placeholder and will be replaced
@node Index<2>,Python Module Index<2>,GNATdoc,Top
-@anchor{genindex doc}@anchor{1a0a}@anchor{genindex index}@anchor{1a0b}
+@anchor{genindex doc}@anchor{e52}@anchor{genindex index}@anchor{e53}
@chapter Index
@@ -57943,21 +58504,21 @@ end arch;
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c This data file has been placed in the public domain.
@c Derived from the Unicode character mappings available from
@c <http://www.w3.org/2003/entities/xml/>.
@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
+@c <https://docutils.sourceforge.io>.
@c # define a hard line break for HTML
@c This file is a placeholder and will be replaced
@node Python Module Index<2>,Python Module Index,Index<2>,Top
-@anchor{py-modindex doc}@anchor{1a0c}@anchor{py-modindex python-module-index}@anchor{1a0d}
+@anchor{py-modindex doc}@anchor{e54}@anchor{py-modindex python-module-index}@anchor{e55}
@chapter Python Module Index
@@ -57979,58 +58540,62 @@ end arch;
* pyGHDL.dom.DesignUnit: a.
* pyGHDL.dom.Expression: b.
* pyGHDL.dom.formatting: c.
-* pyGHDL.dom.formatting.prettyprint: d.
-* pyGHDL.dom.InterfaceItem: e.
-* pyGHDL.dom.Literal: f.
-* pyGHDL.dom.Misc: 10.
-* pyGHDL.dom.Names: 11.
-* pyGHDL.dom.NonStandard: 12.
-* pyGHDL.dom.Object: 13.
-* pyGHDL.dom.PSL: 14.
-* pyGHDL.dom.Range: 15.
-* pyGHDL.dom.Sequential: 16.
-* pyGHDL.dom.Subprogram: 17.
-* pyGHDL.dom.Symbol: 18.
-* pyGHDL.dom.Type: 19.
-* pyGHDL.libghdl: 1a.
-* pyGHDL.libghdl._decorator: 1b.
-* pyGHDL.libghdl._types: 1c.
-* pyGHDL.libghdl.errorout: 1d.
-* pyGHDL.libghdl.errorout_console: 1e.
-* pyGHDL.libghdl.errorout_memory: 1f.
-* pyGHDL.libghdl.files_map: 20.
-* pyGHDL.libghdl.files_map_editor: 21.
-* pyGHDL.libghdl.flags: 22.
-* pyGHDL.libghdl.libraries: 23.
-* pyGHDL.libghdl.name_table: 24.
-* pyGHDL.libghdl.std_names: 25.
-* pyGHDL.libghdl.str_table: 26.
-* pyGHDL.libghdl.utils: 27.
-* pyGHDL.libghdl.vhdl: 28.
-* pyGHDL.libghdl.vhdl.canon: 29.
-* pyGHDL.libghdl.vhdl.elocations: 2a.
-* pyGHDL.libghdl.vhdl.flists: 2b.
-* pyGHDL.libghdl.vhdl.formatters: 2c.
-* pyGHDL.libghdl.vhdl.ieee: 2d.
-* pyGHDL.libghdl.vhdl.lists: 2e.
-* pyGHDL.libghdl.vhdl.nodes: 2f.
-* pyGHDL.libghdl.vhdl.nodes_meta: 30.
-* pyGHDL.libghdl.vhdl.nodes_utils: 31.
-* pyGHDL.libghdl.vhdl.parse: 32.
-* pyGHDL.libghdl.vhdl.sem: 33.
-* pyGHDL.libghdl.vhdl.sem_lib: 34.
-* pyGHDL.libghdl.vhdl.std_package: 35.
-* pyGHDL.libghdl.vhdl.tokens: 36.
-* pyGHDL.libghdl.vhdl.utils: 37.
-* pyGHDL.lsp: 38.
-* pyGHDL.lsp.document: 39.
-* pyGHDL.lsp.lsp: 3a.
-* pyGHDL.lsp.lsptools: 3b.
-* pyGHDL.lsp.references: 3c.
-* pyGHDL.lsp.symbols: 3d.
-* pyGHDL.lsp.version: 3e.
-* pyGHDL.lsp.vhdl_ls: 3f.
-* pyGHDL.lsp.workspace: 40.
+* pyGHDL.dom.formatting.GraphML: d.
+* pyGHDL.dom.formatting.prettyprint: e.
+* pyGHDL.dom.InterfaceItem: f.
+* pyGHDL.dom.Literal: 10.
+* pyGHDL.dom.Misc: 11.
+* pyGHDL.dom.Names: 12.
+* pyGHDL.dom.NonStandard: 13.
+* pyGHDL.dom.Object: 14.
+* pyGHDL.dom.PSL: 15.
+* pyGHDL.dom.Range: 16.
+* pyGHDL.dom.Sequential: 17.
+* pyGHDL.dom.Subprogram: 18.
+* pyGHDL.dom.Symbol: 19.
+* pyGHDL.dom.Type: 1a.
+* pyGHDL.libghdl: 1b.
+* pyGHDL.libghdl._decorator: 1c.
+* pyGHDL.libghdl._types: 1d.
+* pyGHDL.libghdl.errorout: 1e.
+* pyGHDL.libghdl.errorout_console: 1f.
+* pyGHDL.libghdl.errorout_memory: 20.
+* pyGHDL.libghdl.file_comments: 21.
+* pyGHDL.libghdl.files_map: 22.
+* pyGHDL.libghdl.files_map_editor: 23.
+* pyGHDL.libghdl.flags: 24.
+* pyGHDL.libghdl.libraries: 25.
+* pyGHDL.libghdl.name_table: 26.
+* pyGHDL.libghdl.std_names: 27.
+* pyGHDL.libghdl.str_table: 28.
+* pyGHDL.libghdl.utils: 29.
+* pyGHDL.libghdl.vhdl: 2a.
+* pyGHDL.libghdl.vhdl.canon: 2b.
+* pyGHDL.libghdl.vhdl.elocations: 2c.
+* pyGHDL.libghdl.vhdl.flists: 2d.
+* pyGHDL.libghdl.vhdl.formatters: 2e.
+* pyGHDL.libghdl.vhdl.ieee: 2f.
+* pyGHDL.libghdl.vhdl.lists: 30.
+* pyGHDL.libghdl.vhdl.nodes: 31.
+* pyGHDL.libghdl.vhdl.nodes_meta: 32.
+* pyGHDL.libghdl.vhdl.nodes_utils: 33.
+* pyGHDL.libghdl.vhdl.parse: 34.
+* pyGHDL.libghdl.vhdl.prints: 35.
+* pyGHDL.libghdl.vhdl.scanner: 36.
+* pyGHDL.libghdl.vhdl.sem: 37.
+* pyGHDL.libghdl.vhdl.sem_lib: 38.
+* pyGHDL.libghdl.vhdl.std_package: 39.
+* pyGHDL.libghdl.vhdl.tokens: 3a.
+* pyGHDL.libghdl.vhdl.utils: 3b.
+* pyGHDL.lsp: 3c.
+* pyGHDL.lsp.document: 3d.
+* pyGHDL.lsp.lsp: 3e.
+* pyGHDL.lsp.lsptools: 3f.
+* pyGHDL.lsp.references: 40.
+* pyGHDL.lsp.symbols: 41.
+* pyGHDL.lsp.version: 42.
+* pyGHDL.lsp.vhdl_ls: 43.
+* pyGHDL.lsp.workspace: 44.
@end menu