aboutsummaryrefslogtreecommitdiffstats
path: root/doc
diff options
context:
space:
mode:
authorXiretza <xiretza@xiretza.xyz>2022-02-04 17:30:46 +0100
committertgingold <tgingold@users.noreply.github.com>2022-02-28 20:35:32 +0100
commit723a80c63b362ffb988ce08abc9a6d10f5a22cea (patch)
tree6cc3c224c8b8f908b522cddf90e38d2160ab7109 /doc
parent6b6397b75abb7068d4ac484119ecf7ff56868192 (diff)
downloadghdl-723a80c63b362ffb988ce08abc9a6d10f5a22cea.tar.gz
ghdl-723a80c63b362ffb988ce08abc9a6d10f5a22cea.tar.bz2
ghdl-723a80c63b362ffb988ce08abc9a6d10f5a22cea.zip
Update documentation for VHDL-2019
Diffstat (limited to 'doc')
-rw-r--r--doc/about.rst5
-rw-r--r--doc/using/ImplementationOfVHDL.rst15
2 files changed, 14 insertions, 6 deletions
diff --git a/doc/about.rst b/doc/about.rst
index 088f98b3a..c620efad3 100644
--- a/doc/about.rst
+++ b/doc/about.rst
@@ -42,7 +42,7 @@ At the same time, like a design written in another `HDL`, a set of VHDL sources
:dfn:`synthesis tool` into a netlist, that is, a detailed gate-level implementation.
The development of VHDL started in 1983 and the standard is named `IEEE <https://www.ieee.org/>`__ `1076`.
-Five revisions exist:
+Six revisions exist:
`1987 <http://ieeexplore.ieee.org/document/26487/>`__,
`1993 <http://ieeexplore.ieee.org/document/392561/>`__,
`2002 <http://ieeexplore.ieee.org/document/1003477/>`__,
@@ -91,7 +91,8 @@ It supports the
`1987 <http://ieeexplore.ieee.org/document/26487/>`__,
`1993 <http://ieeexplore.ieee.org/document/392561/>`__ and
`2002 <http://ieeexplore.ieee.org/document/1003477/>`__ revisions and, partially,
-`2008 <http://ieeexplore.ieee.org/document/4772740/>`__.
+`2008 <http://ieeexplore.ieee.org/document/4772740/>`__ and
+`2019 <https://ieeexplore.ieee.org/document/8938196/>`__.
:wikipedia:`Property Specification Language (PSL) <Property_Specification_Language>` is also partially supported.
Several third party projects are supported:
diff --git a/doc/using/ImplementationOfVHDL.rst b/doc/using/ImplementationOfVHDL.rst
index 42681dcc9..c0a39c276 100644
--- a/doc/using/ImplementationOfVHDL.rst
+++ b/doc/using/ImplementationOfVHDL.rst
@@ -31,6 +31,8 @@ VHDL standards
.. index:: v08
+.. index:: v19
+
Unfortunately, there are many versions of the VHDL
language, and they aren't backward compatible.
@@ -67,7 +69,7 @@ Minor corrections were added by the 2002 revision of the VHDL standard. This
revision is not fully backward compatible with VHDL-00 since, for example,
the value of the `'instance_name` attribute has slightly changed.
-The latest version is 2008. Many features have been added, and GHDL
+The latest version is 2019. Many features have been added, and GHDL
doesn't implement all of them.
You can select the VHDL standard expected by GHDL with the
@@ -93,6 +95,9 @@ You can select the VHDL standard expected by GHDL with the
08
Select VHDL-2008 standard (partially implemented).
+19
+ Select VHDL-2019 standard (partially implemented).
+
Multiple standards can be used in a design:
+-----+----------------+
@@ -104,6 +109,8 @@ Multiple standards can be used in a design:
+-----+----------------+
| 08 | 08 |
+-----+----------------+
+| 19 | 19 |
++-----+----------------+
.. note::
@@ -175,7 +182,7 @@ GHDL understands embedded PSL annotations in VHDL files:
ghdl -a -fpsl vhdl_design.vhdl
ghdl -e vhdl_design
-PSL annotations (VHDL-2008 only)
+PSL annotations (VHDL-2008 and later)
^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
Since VHDL-2008 PSL is integrated in the VHDL language. You can use
@@ -197,7 +204,7 @@ PSL in a VHDL(-2008) design without embedding it in comments.
ghdl -a --std=08 vhdl_design.vhdl
ghdl -e --std=08 vhdl_design
-PSL vunit files (VHDL-2008 / Synthesis only)
+PSL vunit files (VHDL-2008 and later, synthesis only)
^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
GHDL supports vunit (Verification Unit) files.
@@ -269,7 +276,7 @@ changed with the :option:`--work` option of GHDL.
To keep the list of design units in a design library, GHDL creates
library files. The name of these files is :file:`<LIB_NAME>-obj<GROUP>.cf`, where
`<LIB_NAME>` is the name of the library, and `<GROUP>` the VHDL version (87,
-93 or 08) used to analyze the design units.
+93, 08, or 19) used to analyze the design units.
For details on ``GROUP`` values see section :ref:`VHDL_standards`.