aboutsummaryrefslogtreecommitdiffstats
path: root/doc
diff options
context:
space:
mode:
authorTristan Gingold <tgingold@free.fr>2022-02-28 19:46:53 +0100
committerTristan Gingold <tgingold@free.fr>2022-02-28 19:46:53 +0100
commit8185ed878df5054a9624af97ae4c73bbd3e906ef (patch)
treec7e513ed6e05a314fe58ebd8ed3e5ab01581b961 /doc
parente58709aefc057b4af0d7c011fc1c7d45d6176e10 (diff)
downloadghdl-8185ed878df5054a9624af97ae4c73bbd3e906ef.tar.gz
ghdl-8185ed878df5054a9624af97ae4c73bbd3e906ef.tar.bz2
ghdl-8185ed878df5054a9624af97ae4c73bbd3e906ef.zip
Prepare for release 2.0.0
Diffstat (limited to 'doc')
-rw-r--r--doc/ghdl.texi36382
-rw-r--r--doc/licenses.rst2
2 files changed, 22647 insertions, 13737 deletions
diff --git a/doc/ghdl.texi b/doc/ghdl.texi
index da2aa4d51..39d2fad6d 100644
--- a/doc/ghdl.texi
+++ b/doc/ghdl.texi
@@ -3,7 +3,7 @@
@setfilename GHDL.info
@documentencoding UTF-8
@ifinfo
-@*Generated by Sphinx 3.3.1.@*
+@*Generated by Sphinx 4.4.0.@*
@end ifinfo
@settitle GHDL Documentation
@defindex ge
@@ -21,7 +21,7 @@
@copying
@quotation
-GHDL 2.0.0-dev, Jun 26, 2021
+GHDL 2.0.0, Feb 28, 2022
Tristan Gingold and contributors
@@ -47,8 +47,8 @@ Copyright @copyright{} 2002-2021, Tristan Gingold and contributors
@end ifnottex
@c %**start of body
-@anchor{index doc}@anchor{3c}
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@anchor{index doc}@anchor{41}
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -70,7 +70,7 @@ Copyright @copyright{} 2002-2021, Tristan Gingold and contributors
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -334,21 +334,25 @@ pyGHDL
pyGHDL.cli
-* pyGHDL.cli.DOM: pyGHDL cli DOM.
+* pyGHDL.cli.dom: pyGHDL cli dom.
* pyGHDL.cli.lsp: pyGHDL cli lsp.
pyGHDL.dom
* pyGHDL.dom.Aggregates: pyGHDL dom Aggregates.
-* pyGHDL.dom.Common: pyGHDL dom Common.
+* pyGHDL.dom.Attribute: pyGHDL dom Attribute.
+* pyGHDL.dom.Concurrent: pyGHDL dom Concurrent.
* pyGHDL.dom.DesignUnit: pyGHDL dom DesignUnit.
* pyGHDL.dom.Expression: pyGHDL dom Expression.
* pyGHDL.dom.InterfaceItem: pyGHDL dom InterfaceItem.
* pyGHDL.dom.Literal: pyGHDL dom Literal.
* pyGHDL.dom.Misc: pyGHDL dom Misc.
+* pyGHDL.dom.Names: pyGHDL dom Names.
* pyGHDL.dom.NonStandard: pyGHDL dom NonStandard.
* pyGHDL.dom.Object: pyGHDL dom Object.
+* pyGHDL.dom.PSL: pyGHDL dom PSL.
* pyGHDL.dom.Range: pyGHDL dom Range.
+* pyGHDL.dom.Sequential: pyGHDL dom Sequential.
* pyGHDL.dom.Subprogram: pyGHDL dom Subprogram.
* pyGHDL.dom.Symbol: pyGHDL dom Symbol.
* pyGHDL.dom.Type: pyGHDL dom Type.
@@ -373,8 +377,8 @@ pyGHDL.libghdl
* pyGHDL.libghdl.libraries: pyGHDL libghdl libraries.
* pyGHDL.libghdl.name_table: pyGHDL libghdl name_table.
* pyGHDL.libghdl.std_names: pyGHDL libghdl std_names.
+* pyGHDL.libghdl.str_table: pyGHDL libghdl str_table.
* pyGHDL.libghdl.utils: pyGHDL libghdl utils.
-* pyGHDL.libghdl.version: pyGHDL libghdl version.
* pyGHDL.libghdl.vhdl: pyGHDL libghdl vhdl.
pyGHDL.libghdl.vhdl
@@ -393,6 +397,7 @@ pyGHDL.libghdl.vhdl
* pyGHDL.libghdl.vhdl.sem_lib: pyGHDL libghdl vhdl sem_lib.
* pyGHDL.libghdl.vhdl.std_package: pyGHDL libghdl vhdl std_package.
* pyGHDL.libghdl.vhdl.tokens: pyGHDL libghdl vhdl tokens.
+* pyGHDL.libghdl.vhdl.utils: pyGHDL libghdl vhdl utils.
pyGHDL.lsp
@@ -481,7 +486,7 @@ RTI Nodes / RTII Nodes
@end menu
@node About,Getting | Installing,Top,Top
-@anchor{about doc}@anchor{3d}@anchor{about about}@anchor{3e}@anchor{about ghdl}@anchor{3f}
+@anchor{about doc}@anchor{42}@anchor{about about}@anchor{43}@anchor{about ghdl}@anchor{44}
@chapter About
@@ -493,80 +498,118 @@ RTI Nodes / RTII Nodes
@end menu
@node What is VHDL?,What is GHDL?,,About
-@anchor{about intro-vhdl}@anchor{40}@anchor{about what-is-vhdl}@anchor{41}
+@anchor{about intro-vhdl}@anchor{45}@anchor{about what-is-vhdl}@anchor{46}
@section What is @cite{VHDL}?
-VHDL@footnote{https://en.wikipedia.org/wiki/VHDL} is an acronym for Very High Speed Integrated Circuit (VHSIC@footnote{https://en.wikipedia.org/wiki/VHSIC}) Hardware Description
-Language (HDL@footnote{https://en.wikipedia.org/wiki/HDL}), which is a programming language used to describe a logic circuit by function, data flow
-behavior, or structure.
+VHDL@footnote{https://en.wikipedia.org/wiki/VHDL} is an acronym for @emph{Verification and Hardware Description Language} (HDL@footnote{https://en.wikipedia.org/wiki/HDL}),
+which is a computer language used to describe a logic circuit by function, data flow behavior, or structure.
-Although VHDL was not designed for writing general purpose programs, VHDL @emph{is} a programming language, and you can write any
-algorithm with it. If you are able to write programs, you will find in VHDL features similar to those found in procedural
-languages such as @cite{C}, @cite{Python}, or @cite{Ada}. Indeed, VHDL derives most of its syntax and semantics from Ada. Knowing @cite{Ada} is
-an advantage for learning VHDL (it is an advantage in general as well).
-
-However, VHDL was not designed as a general purpose language but as an @cite{HDL}. As the name implies, VHDL aims at modeling or
-documenting electronics systems. Due to the nature of hardware components which are always running, VHDL is a highly
-concurrent language, built upon an event-based timing model.
-
-Like a program written in any other language, a VHDL program can be executed. Since VHDL is used to model designs, the term
-@emph{simulation} is often used instead of @cite{execution}, with the same meaning. At the same time, like a design written in
-another @cite{HDL}, a set of VHDL sources can be transformed with a @emph{synthesis tool} into a netlist, that is, a detailed
-gate-level implementation.
+@cartouche
+@quotation Note
+Historically the ‘V’ in the language’s acronym came from the Very High Speed Integrated Circuit (VHSIC@footnote{https://en.wikipedia.org/wiki/VHSIC}),
+which was the U.S. government program that funded the early work on the standard.
+Changing the ‘V’ to mean @emph{verification} reflects the language’s increasing usage for verification as well as design.
+See VHDL 202X PAR@footnote{http://www.eda-twiki.org/twiki/pub/P1076/PrivateDocuments/Par1076_202X.pdf} via VHDL-202X@footnote{https://ieee-p1076.gitlab.io/VHDL-202X/index.html#vhdl202x}.
+@end quotation
+@end cartouche
-The development of VHDL started in 1983 and the standard is named IEEE@footnote{https://www.ieee.org/} @cite{1076}. Five revisions
-exist: 1987@footnote{http://ieeexplore.ieee.org/document/26487/}, 1993@footnote{http://ieeexplore.ieee.org/document/392561/},
-2002@footnote{http://ieeexplore.ieee.org/document/1003477/}, 2008@footnote{http://ieeexplore.ieee.org/document/4772740/} and
-2019@footnote{https://ieeexplore.ieee.org/document/8938196}. The standardization is handled by the VHDL Analysis and
-Standardization Group (VASG/P1076@footnote{http://www.eda-twiki.org/vasg/}).
+Although VHDL was not designed for writing general purpose programs, VHDL @emph{is} a programming language: any algorithm can
+be written with it, it can interact with files, the environment, stdin and stdout, etc.
+VHDL has features similar to those found in procedural languages such as
+C@footnote{https://en.wikipedia.org/wiki/C_(programming_language)},
+Python@footnote{https://en.wikipedia.org/wiki/Python_(programming_language)},
+or Ada@footnote{https://en.wikipedia.org/wiki/Ada_(programming_language)}.
+Indeed, VHDL derives most of its syntax and semantics from Ada. Knowing @cite{Ada} is an advantage for learning VHDL (it is
+an advantage in general as well).
+
+However, VHDL was not designed as a general purpose language but as an @cite{HDL}.
+As the name implies, VHDL aims at modeling or documenting electronics systems.
+Due to the nature of hardware components –which are always running–, VHDL is a highly concurrent language, built upon
+an event-driven execution model.
+
+Like a program written in any other language, a VHDL program can be executed.
+Since VHDL is used to model designs, the term @emph{simulation} is often used instead of @cite{execution}, with the same
+meaning.
+At the same time, like a design written in another @cite{HDL}, a set of VHDL sources can be transformed with a
+@emph{synthesis tool} into a netlist, that is, a detailed gate-level implementation.
+
+The development of VHDL started in 1983 and the standard is named IEEE@footnote{https://www.ieee.org/} @cite{1076}.
+Five revisions exist:
+1987@footnote{http://ieeexplore.ieee.org/document/26487/},
+1993@footnote{http://ieeexplore.ieee.org/document/392561/},
+2002@footnote{http://ieeexplore.ieee.org/document/1003477/},
+2008@footnote{http://ieeexplore.ieee.org/document/4772740/} and
+2019@footnote{https://ieeexplore.ieee.org/document/8938196}.
+The standardization is handled by the IEEE P1076 Working Group: VHDL Analysis and Standardization Group (VASG)@footnote{https://ieee-p1076.gitlab.io/index.html}.
+Find on-going work towards @emph{VHDL 202X} in gitlab.com/IEEE-P1076/VHDL-Issues@footnote{https://gitlab.com/IEEE-P1076/VHDL-Issues/-/issues}.
@node What is GHDL?,Who uses GHDL?,What is VHDL?,About
-@anchor{about intro-ghdl}@anchor{42}@anchor{about what-is-ghdl}@anchor{43}
+@anchor{about intro-ghdl}@anchor{47}@anchor{about what-is-ghdl}@anchor{48}
@section What is GHDL?
-@cite{GHDL} is a shorthand for @cite{G Hardware Design Language} (currently, @cite{G} has no meaning). It is a VHDL analyzer, compiler,
-simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
+
+@float Figure
+
+@image{GHDL-figures/internals,418.0pt,,,png}
+
+@caption{Architecture overview of the GHDL toolkit (CLI, libghdl, pyGHDL and ghdl-yosys-plugin).}
+
+@end float
+
+
+@cite{GHDL} is a shorthand for @cite{G Hardware Design Language} (currently, @cite{G} has no meaning).
+It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
@cartouche
@quotation Note
-For almost 20 years, GHDL was @emph{not} a synthesis tool: you could not create a netlist. Hence, most of the content in this
-documentation corresponds to the usage of GHDL as a compiler/simulator. See @ref{44,,Synthesis} for further details
-regarding synthesis.
+For almost 20 years, GHDL was @emph{not} a synthesis tool: a netlist could not be created.
+Hence, most of the content in this documentation corresponds to the usage of GHDL as a compiler/simulator.
+See @ref{49,,Synthesis} for further details regarding synthesis.
@end quotation
@end cartouche
Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an
-intermediary language such as @cite{C} or @cite{C++}. Therefore, the compiled code should be faster and the analysis time should be
-shorter than with a compiler using an intermediary language.
+intermediary language such as @cite{C} or @cite{C++}.
+Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an
+intermediary language.
GHDL can use multiple back-ends, i.e. code generators, (GCC@footnote{http://gcc.gnu.org/}, LLVM@footnote{http://llvm.org/} or
-x86@footnote{https://en.wikipedia.org/wiki/X86-64}/i386@footnote{https://en.wikipedia.org/wiki/Intel_80386} only, a built-in one named @emph{mcode}) and runs on GNU/Linux@footnote{https://en.wikipedia.org/wiki/Linux_distribution},
-Windows@footnote{https://en.wikipedia.org/wiki/Microsoft_Windows} ™ and macOS@footnote{https://en.wikipedia.org/wiki/MacOS} ™; on x86, x86_64, armv6/armv7/aarch32/aarch64,
-ppc64, etc.
-
-The current version of GHDL does not contain any built-in graphical viewer: you cannot see signal waves. You can still check
-the behavior of your design with a test bench. Moreover, GHW@footnote{http://ghdl.readthedocs.io/en/latest/using/Simulation.html?highlight=GHW#cmdoption-wave},
-VCD@footnote{https://en.wikipedia.org/wiki/Value_change_dump} or @cite{FST} files can be produced, which can be viewed with a waveform viewer@footnote{https://en.wikipedia.org/wiki/Waveform_viewer},
-such as GtkWave@footnote{http://gtkwave.sourceforge.net/}.
-
-GHDL aims at implementing VHDL as defined by IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}. It supports the
-1987@footnote{http://ieeexplore.ieee.org/document/26487/}, 1993@footnote{http://ieeexplore.ieee.org/document/392561/} and
-2002@footnote{http://ieeexplore.ieee.org/document/1003477/} revisions and, partially, 2008@footnote{http://ieeexplore.ieee.org/document/4772740/}.
+x86@footnote{https://en.wikipedia.org/wiki/X86-64}/i386@footnote{https://en.wikipedia.org/wiki/Intel_80386} only, a built-in one named @emph{mcode}) and runs on
+GNU/Linux@footnote{https://en.wikipedia.org/wiki/Linux_distribution}, Windows@footnote{https://en.wikipedia.org/wiki/Microsoft_Windows} ™ and
+macOS@footnote{https://en.wikipedia.org/wiki/MacOS} ™; on x86, x86_64, armv6/armv7/aarch32/aarch64, ppc64, etc.
+
+The current version of GHDL does not contain any built-in graphical viewer: signal waves cannot be seen through GHDL.
+However, the behavior of the designs can still be checked through test benches and verification frameworks.
+Moreover, @ref{4a,,GHDL Waveform (GHW)}, VCD@footnote{https://en.wikipedia.org/wiki/Value_change_dump} or @cite{FST} files can be produced, which can be viewed with an
+external waveform viewer@footnote{https://en.wikipedia.org/wiki/Waveform_viewer}, such as GtkWave@footnote{http://gtkwave.sourceforge.net/}.
+
+GHDL aims at implementing VHDL as defined by IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}.
+It supports the
+1987@footnote{http://ieeexplore.ieee.org/document/26487/},
+1993@footnote{http://ieeexplore.ieee.org/document/392561/} and
+2002@footnote{http://ieeexplore.ieee.org/document/1003477/} revisions and, partially,
+2008@footnote{http://ieeexplore.ieee.org/document/4772740/}.
Property Specification Language (PSL)@footnote{https://en.wikipedia.org/wiki/Property_Specification_Language} is also partially supported.
-Several third party projects are supported: Yosys@footnote{https://github.com/YosysHQ/yosys} (through the ghdl-yosys-plugin@footnote{https://github.com/ghdl/ghdl-yosys-plugin})
-cocotb@footnote{https://github.com/potentialventures/cocotb} (through the VPI interface@footnote{https://en.wikipedia.org/wiki/Verilog_Procedural_Interface}),
-VUnit@footnote{https://vunit.github.io/}, OSVVM@footnote{http://osvvm.org/}, …
+Several third party projects are supported:
+Yosys@footnote{https://github.com/YosysHQ/yosys}
+(through the ghdl-yosys-plugin@footnote{https://github.com/ghdl/ghdl-yosys-plugin})
+cocotb@footnote{https://github.com/potentialventures/cocotb},
+(through the VPI interface@footnote{https://en.wikipedia.org/wiki/Verilog_Procedural_Interface}),
+VUnit@footnote{https://vunit.github.io/},
+OSVVM@footnote{http://osvvm.org/},
+The pyVHDLModel Documentation@footnote{https://vhdl.github.io/pyVHDLModel/index.html},
+…
@node Who uses GHDL?,,What is GHDL?,About
-@anchor{about intro-who}@anchor{45}@anchor{about who-uses-ghdl}@anchor{46}
+@anchor{about intro-who}@anchor{4b}@anchor{about who-uses-ghdl}@anchor{4c}
@section Who uses GHDL?
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -587,7 +630,7 @@ VUnit@footnote{https://vunit.github.io/}, OSVVM@footnote{http://osvvm.org/}, …
@c # define a hard line break for HTML
@node Getting | Installing,Contributing,About,Top
-@anchor{getting doc}@anchor{47}@anchor{getting getting-installing}@anchor{48}@anchor{getting packages}@anchor{49}
+@anchor{getting doc}@anchor{4d}@anchor{getting getting-installing}@anchor{4e}@anchor{getting packages}@anchor{4f}
@chapter Getting | Installing
@@ -599,7 +642,7 @@ VUnit@footnote{https://vunit.github.io/}, OSVVM@footnote{http://osvvm.org/}, …
@end menu
@node Package managers,Nightly packages,,Getting | Installing
-@anchor{getting package-managers}@anchor{4a}
+@anchor{getting package-managers}@anchor{50}
@section Package managers
@@ -611,10 +654,10 @@ each package manager.
As a rule of thumb, mcode backend is the fastest for analysis and synthesis. It also allows setting the base simulation time
for speeding up execution. Therefore, it is the recommended pick if available on your platform (x86/amd64, on Windows x86
only). On other platforms, or for using specific features for co-simulation or code coverage, LLVM or GCC need to be used.
-See further differences between backends in @ref{4b,,Building GHDL from Sources}.
+See further differences between backends in @ref{51,,Building GHDL from Sources}.
@node Nightly packages,Precompile Vendor Primitives,Package managers,Getting | Installing
-@anchor{getting nightly-packages}@anchor{4c}@anchor{getting release-packages}@anchor{4d}
+@anchor{getting nightly-packages}@anchor{52}@anchor{getting release-packages}@anchor{53}
@section Nightly packages
@@ -625,7 +668,7 @@ allows to easily setup nightly assets in GitHub Actions workflows.
However, users on Windows (MSYS2) or Ubuntu might want to download the tarballs/zipfiles and extract/install them locally.
@node Precompile Vendor Primitives,,Nightly packages,Getting | Installing
-@anchor{getting getting-precompvendor}@anchor{4e}@anchor{getting precompile-vendor-primitives}@anchor{4f}
+@anchor{getting getting-precompvendor}@anchor{54}@anchor{getting precompile-vendor-primitives}@anchor{55}
@section Precompile Vendor Primitives
@@ -633,17 +676,17 @@ Vendors like Lattice, Intel (Altera) and Xilinx have their own simulation librar
especially for FPGA primitives, soft and hard macros. These libraries cannot
be shipped with GHDL, but GHDL offers prepared compile scripts to pre-compile
these vendor libraries, if the vendor tool is present in the environment. There
-are also popular simulation and verification libraries like OSVVM @footnote{@w{(1)}
+are also popular simulation and verification libraries like OSVVM @footnote{
OSVVM @indicateurl{http://github.com/OSVVM/OSVVM}
} or
-UVVM @footnote{@w{(2)}
+UVVM @footnote{
UVVM @indicateurl{https://github.com/UVVM/UVVM_All}
}, which can be pre-compiled, too.
The compilation scripts are writen in the shell languages: @emph{PowerShell} for
@emph{Windows™} and @emph{Bash} for @emph{GNU/Linux}, @emph{MacOS} and @emph{MSYS2}/@emph{MinGW}. The
compile scripts can colorize the GHDL warning and error lines with the help
-of @code{grc/grcat} @footnote{@w{(3)}
+of @code{grc/grcat} @footnote{
Generic Colourizer @indicateurl{http://kassiopeia.juls.savba.sk/~garabik/software/grc.html}
}.
@@ -665,7 +708,7 @@ Vendor precompile scripts for OSVVM and UVVM are tested periodically in ghdl/ext
@end menu
@node Supported Vendors Libraries,Supported Simulation and Verification Libraries,,Precompile Vendor Primitives
-@anchor{getting supported-vendors-libraries}@anchor{50}
+@anchor{getting supported-vendors-libraries}@anchor{56}
@subsection Supported Vendors Libraries
@@ -774,7 +817,7 @@ Xilinx Vivado (2014.1 or later):
@end itemize
@node Supported Simulation and Verification Libraries,Script Configuration,Supported Vendors Libraries,Precompile Vendor Primitives
-@anchor{getting supported-simulation-and-verification-libraries}@anchor{51}
+@anchor{getting supported-simulation-and-verification-libraries}@anchor{57}
@subsection Supported Simulation and Verification Libraries
@@ -782,12 +825,12 @@ Xilinx Vivado (2014.1 or later):
@itemize *
@item
-OSVVM @footnote{@w{(1)}
+OSVVM @footnote{
OSVVM @indicateurl{http://github.com/OSVVM/OSVVM}
} (for VHDL-2008)
@item
-UVVM @footnote{@w{(2)}
+UVVM @footnote{
UVVM @indicateurl{https://github.com/UVVM/UVVM_All}
} (for VHDL-2008)
@end itemize
@@ -797,7 +840,7 @@ __________________________________________________________________
@node Script Configuration,Compiling in Bash,Supported Simulation and Verification Libraries,Precompile Vendor Primitives
-@anchor{getting script-configuration}@anchor{52}
+@anchor{getting script-configuration}@anchor{58}
@subsection Script Configuration
@@ -826,7 +869,7 @@ __________________________________________________________________
@node Compiling in Bash,Compiling in PowerShell,Script Configuration,Precompile Vendor Primitives
-@anchor{getting compiling-in-bash}@anchor{53}
+@anchor{getting compiling-in-bash}@anchor{59}
@subsection Compiling in Bash
@@ -908,7 +951,7 @@ __________________________________________________________________
@node Compiling in PowerShell,Configuration Files,Compiling in Bash,Precompile Vendor Primitives
-@anchor{getting compiling-in-powershell}@anchor{54}
+@anchor{getting compiling-in-powershell}@anchor{5a}
@subsection Compiling in PowerShell
@@ -985,7 +1028,7 @@ __________________________________________________________________
@node Configuration Files,Additional Script Parameters,Compiling in PowerShell,Precompile Vendor Primitives
-@anchor{getting configuration-files}@anchor{55}
+@anchor{getting configuration-files}@anchor{5b}
@subsection Configuration Files
@@ -996,7 +1039,7 @@ __________________________________________________________________
@end menu
@node For Bash config sh,For PowerShell config psm1,,Configuration Files
-@anchor{getting for-bash-config-sh}@anchor{56}
+@anchor{getting for-bash-config-sh}@anchor{5c}
@subsubsection For Bash: @cite{config.sh}
@@ -1018,7 +1061,7 @@ InstallationDirectory[XilinxVivado]="/opt/Xilinx/Vivado/2020.2"
@end example
@node For PowerShell config psm1,,For Bash config sh,Configuration Files
-@anchor{getting for-powershell-config-psm1}@anchor{57}
+@anchor{getting for-powershell-config-psm1}@anchor{5d}
@subsubsection For PowerShell: @cite{config.psm1}
@@ -1041,7 +1084,7 @@ $InstallationDirectory = @@@{
@end example
@node Additional Script Parameters,,Configuration Files,Precompile Vendor Primitives
-@anchor{getting additional-script-parameters}@anchor{58}
+@anchor{getting additional-script-parameters}@anchor{5e}
@subsection Additional Script Parameters
@@ -1056,7 +1099,7 @@ controlled. Some scripts may offer vendor specific options.
@end menu
@node For Bash Scripts,For PowerShell Scripts,,Additional Script Parameters
-@anchor{getting for-bash-scripts}@anchor{59}
+@anchor{getting for-bash-scripts}@anchor{5f}
@subsubsection For Bash Scripts:
@@ -1158,7 +1201,7 @@ Selectable libraries:
@end itemize
@node For PowerShell Scripts,,For Bash Scripts,Additional Script Parameters
-@anchor{getting for-powershell-scripts}@anchor{5a}
+@anchor{getting for-powershell-scripts}@anchor{60}
@subsubsection For PowerShell Scripts:
@@ -1263,7 +1306,7 @@ __________________________________________________________________
@quotation
@end quotation
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -1285,24 +1328,24 @@ __________________________________________________________________
@node Contributing,Copyrights | Licenses,Getting | Installing,Top
-@anchor{contribute doc}@anchor{5b}@anchor{contribute contributing}@anchor{5c}@anchor{contribute intro-contributing}@anchor{5d}
+@anchor{contribute doc}@anchor{61}@anchor{contribute contributing}@anchor{62}@anchor{contribute intro-contributing}@anchor{63}
@chapter Contributing
As in many other free and open source projects, there are many areas requiring different skills where contributions to GHDL
are welcome. The first step might be to use GHDL and explore its possibilities in your own project. If you are new to VHDL,
-see the @ref{5e,,Simulation} for an introduction. Furthermore, we encourage you to read @ref{5f,,Invoking GHDL},
-where the most commonly used options are explained. You can also check the complete @ref{60,,Additional Command Reference}.
+see the @ref{64,,Simulation} for an introduction. Furthermore, we encourage you to read @ref{65,,Invoking GHDL},
+where the most commonly used options are explained. You can also check the complete @ref{66,,Additional Command Reference}.
If you are more familiar with GHDL, you might start asking yourself how it works internally. If so, you might find
-@ref{61,,Implementation of VHDL} and @ref{62,,Implementation of VITAL} interesting.
+@ref{67,,Implementation of VHDL} and @ref{68,,Implementation of VITAL} interesting.
While using GHDL, you might find flaws, such as bugs, missing features, typos in the documentation, or topics which still are
not covered. In order to improve GHDL, we welcome bug reports, suggestions, and contributions for any aspect of
GHDL. Whether it’s a bug or an enhancement, have a look at the
-@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/75d23ce669cbc7993d4d057361ad0c2a3d5d5271/ghdl,,,Open issues,svg}
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/75d23ce669cbc7993d4d057361ad0c2a3d5d5271/ghdl,,,Open issues,svg}
and
-@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/e1c1423669df5839910ed47f754b67708d362d8b/ghdl,,,Closed issues,svg}
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/e1c1423669df5839910ed47f754b67708d362d8b/ghdl,,,Closed issues,svg}
to see
if someone already told us about it. You might find a solution there.
@@ -1310,9 +1353,9 @@ Ideas for future work, enhancements, documentation, and internship programs are
If you found no information on your topic, please, report so that we are aware! You can reach us through various ways:
-@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
or open a
-@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/dfb2204df41464917807ba7d4295bf10566f1193/new-issue-yellowgreen,,,Open new issue at GitHub,svg}
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/dfb2204df41464917807ba7d4295bf10566f1193/new-issue-yellowgreen,,,Open new issue at GitHub,svg}
.
@cartouche
@@ -1340,7 +1383,7 @@ How To Ask Questions The Smart Way@footnote{www.catb.org/~esr/faqs/smart-questio
@end menu
@node Reporting bugs,Requesting enhancements,,Contributing
-@anchor{contribute id1}@anchor{63}@anchor{contribute reporting-bugs}@anchor{64}
+@anchor{contribute id1}@anchor{69}@anchor{contribute reporting-bugs}@anchor{6a}
@section Reporting bugs
@@ -1363,11 +1406,11 @@ If a compiler message is not clear enough, please tell us. The error messages ca
enough experience with them.
@item
-It is suggested to test synthesis features with @ref{65,,--synth}, before processing the design with @ref{66,,Yosys plugin}.
+It is suggested to test synthesis features with @ref{6b,,--synth}, before processing the design with @ref{6c,,Yosys plugin}.
@end itemize
Please, report issues through
-@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/bac95e4b3b8c2d7bfbc31a7ce9892272a524ef30/new-bug--report-yellowgreen,,,Open new bug report at GitHub,svg}
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/bac95e4b3b8c2d7bfbc31a7ce9892272a524ef30/new-bug--report-yellowgreen,,,Open new bug report at GitHub,svg}
, as this allows us to categorize issues into groups and to assign developers
to them. You can track the state and see how it’s getting solved.
@@ -1375,7 +1418,7 @@ to them. You can track the state and see how it’s getting solved.
@quotation Important
As suggested in the bug report template, please elaborate a @cite{Minimal (non) Working Example} (MWE@footnote{https://en.wikipedia.org/wiki/Minimal_Working_Example})
prior to sending the report, so that the possible bug source is isolated. Should it fulfill the format requirements of
-issue-runner@footnote{https://github.com/eine/issue-runner}, you would be able to test your bug with the latest GHDL version.
+issue-runner@footnote{https://github.com/umarcor/issue-runner}, you would be able to test your bug with the latest GHDL version.
Please do so in order to ensure that the bug is not solved already.
Also, please include enough information in the bug report, for the maintainers to reproduce the problem. The template
@@ -1422,18 +1465,20 @@ Anything else that you think would be helpful.
If you don’t know the LRM, be aware that an issue claimed as a bug report may be rejected because there is no bug
according to it. GHDL aims at implementing VHDL as defined in IEEE 1076@footnote{http://ieeexplore.ieee.org/document/4772740/}.
However, some other tools allow constructs which do not fully follow the standard revisions. Therefore, comparisons
-with other VHDL variants is not a solid argument. Some of them are supported by GHDL (see @ref{67,,IEEE library pitfalls}),
+with other VHDL variants is not a solid argument. Some of them are supported by GHDL (see @ref{6d,,IEEE library pitfalls}),
but any such enhancement will have very low priority.
@end quotation
@end cartouche
@node Requesting enhancements,Improving the documentation,Reporting bugs,Contributing
-@anchor{contribute id2}@anchor{68}@anchor{contribute requesting-enhancements}@anchor{69}
+@anchor{contribute id2}@anchor{6e}@anchor{contribute requesting-enhancements}@anchor{6f}
@section Requesting enhancements
+
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/89dd2439936c60b66feb51ba1c0d6a38facef2d8/1561565e8455e49d1382462c9afb48178cccd06f,,,Open new feature request at GitHub,svg?logo=github&style=flat-square&longCache=true}
-@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
All enhancements and feature requests are welcome. Please open a new issue@footnote{https://github.com/ghdl/ghdl/issues/new}
@@ -1441,7 +1486,7 @@ to report any, so you can track the status and implementation. Depending on the
you may want to chat on Gitter@footnote{https://gitter.im/ghdl/ghdl1}, for polishing it before opening an issue.
@node Improving the documentation,Fork modify and pull-request,Requesting enhancements,Contributing
-@anchor{contribute improving-the-documentation}@anchor{6a}
+@anchor{contribute improving-the-documentation}@anchor{70}
@section Improving the documentation
@@ -1451,10 +1496,10 @@ please tell us. English is not our mother tongue, so this documentation may not
Likewise, rewriting part of the documentation or missing content (such as examples) is a good way to improve it. Since
it is built automatically from @cite{reStructuredText}, you can fork, modify and push. The documentation will be shown
in the GitHub Pages site of your fork: @code{https://USERNAME.github.io/ghdl}. When you are done, request the maintainers
-to pull your copy. See @ref{6b,,Fork@comma{} modify and pull-request}.
+to pull your copy. See @ref{71,,Fork@comma{} modify and pull-request}.
@node Fork modify and pull-request,Related interesting projects,Improving the documentation,Contributing
-@anchor{contribute fork-modify-and-pull-request}@anchor{6c}@anchor{contribute pull-request}@anchor{6b}
+@anchor{contribute fork-modify-and-pull-request}@anchor{72}@anchor{contribute pull-request}@anchor{71}
@section Fork, modify and pull-request
@@ -1465,17 +1510,19 @@ to pull your copy. See @ref{6b,,Fork@comma{} modify and pull-request}.
@item
Before starting any modification, you might want to have a look at
-@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/c3a4692a25333d57bb8c1ce54f97f15c6846ba63/ghdl,,,Open pull requests,svg}
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/c3a4692a25333d57bb8c1ce54f97f15c6846ba63/ghdl,,,Open pull requests,svg}
and
-@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/6497f995f9ef9e87d1fe97a236cebe38959dc915/ghdl,,,Closed pull requests,svg}
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/6497f995f9ef9e87d1fe97a236cebe38959dc915/ghdl,,,Closed pull requests,svg}
,
to check which other contributions are being made or have been made. If you observe that the modifications you are
about to start might conflict with any other, please
-@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
- or open a to coordinate.
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/16176de4998e1a71b2f57993443202b2d81671f4/chat-on%20gitter-4db797,,,Talk to us on Gitter,svg}
+ or open a
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/82b759af84cd517081b3c031dfbe444f16595fb9/f125673efc1475d3e54f355fd17e00e44587099a,,,Open new Pull Request (PR) at GitHub,svg?logo=github&style=flat-square&longCache=true}
+ to coordinate.
@item
-See section @ref{6d,,Directory structure} to faster find the location of the sources you need to modify, and/or to know
+See section @ref{73,,Directory structure} to faster find the location of the sources you need to modify, and/or to know
where to place new ones.
@end itemize
@end quotation
@@ -1523,19 +1570,19 @@ in the commit message can have side effects. If you closed any issue unexpectedl
closed) so that maintainers can check it.
@item
-It is recommended to read @ref{6e,,Coding Style} before contributing modifications to Ada sources.
+It is recommended to read @ref{74,,Coding Style} before contributing modifications to Ada sources.
@end itemize
@end quotation
@end cartouche
@node Related interesting projects,,Fork modify and pull-request,Contributing
-@anchor{contribute related-interesting-projects}@anchor{6f}
+@anchor{contribute related-interesting-projects}@anchor{75}
@section Related interesting projects
-If you have an interesting project, please send us feedback or get listed on our @ref{45,,Who uses GHDL?} page.
+If you have an interesting project, please send us feedback or get listed on our @ref{4b,,Who uses GHDL?} page.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -1556,7 +1603,7 @@ If you have an interesting project, please send us feedback or get listed on our
@c # define a hard line break for HTML
@node Copyrights | Licenses,Quick Start Guide,Contributing,Top
-@anchor{licenses doc}@anchor{70}@anchor{licenses copyrights-licenses}@anchor{71}@anchor{licenses intro-copyrights}@anchor{72}
+@anchor{licenses doc}@anchor{76}@anchor{licenses copyrights-licenses}@anchor{77}@anchor{licenses intro-copyrights}@anchor{78}
@chapter Copyrights | Licenses
@@ -1564,16 +1611,16 @@ If you have an interesting project, please send us feedback or get listed on our
@itemize -
@item
-The GHDL front-end package @code{std.textio}, and the runtime library @code{GRT} are given under @ref{73,,GNU GPLv2}.
+The GHDL front-end package @code{std.textio}, and the runtime library @code{GRT} are given under @ref{79,,GNU GPLv2}.
@item
-The documentation is given under @ref{74,,CC-BY-SA}.
+The documentation is given under @ref{7a,,CC-BY-SA}.
@end itemize
@cartouche
@quotation Warning
As a consequence of the runtime copyright, you are not allowed to distribute an executable produced by GHDL without allowing
-access to the VHDL sources. Please, send a comment (@ref{69,,Requesting enhancements}) if you don’t like this policy.
+access to the VHDL sources. Please, send a comment (@ref{6f,,Requesting enhancements}) if you don’t like this policy.
@end quotation
@end cartouche
@@ -1621,18 +1668,18 @@ copyrighted by Synopsys@comma{} Inc.@footnote{https://www.synopsys.com/}
@end menu
@node GNU GPLv2,CC-BY-SA,,Copyrights | Licenses
-@anchor{licenses gnu-gplv2}@anchor{75}@anchor{licenses lic-gplv2}@anchor{73}
+@anchor{licenses gnu-gplv2}@anchor{7b}@anchor{licenses lic-gplv2}@anchor{79}
@section GNU GPLv2
-GHDL is copyright © 2002 - 2021 Tristan Gingold.
+GHDL is copyright © 2002 - 2022 Tristan Gingold.
This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation; either version 2 of the License, or (at your option) any later version.
This program is distributed in the hope that it will be useful, but @strong{WITHOUT ANY WARRANTY}; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License@footnote{https://www.gnu.org/licenses/old-licenses/gpl-2.0.html} for more details.
@node CC-BY-SA,List of Contributors,GNU GPLv2,Copyrights | Licenses
-@anchor{licenses cc-by-sa}@anchor{76}@anchor{licenses lic-cc-by-sa}@anchor{74}
+@anchor{licenses cc-by-sa}@anchor{7c}@anchor{licenses lic-cc-by-sa}@anchor{7a}
@section CC-BY-SA
@@ -1654,7 +1701,7 @@ This is a free documentation; you can redistribute it and/or modify it under the
See CC-BY-SA-4.0 Legal Code@footnote{https://creativecommons.org/licenses/by-sa/4.0/legalcode.txt} for more details.
@node List of Contributors,,CC-BY-SA,Copyrights | Licenses
-@anchor{licenses lic-contributors}@anchor{77}@anchor{licenses list-of-contributors}@anchor{78}
+@anchor{licenses lic-contributors}@anchor{7d}@anchor{licenses list-of-contributors}@anchor{7e}
@section List of Contributors
@@ -1662,7 +1709,7 @@ See CC-BY-SA-4.0 Legal Code@footnote{https://creativecommons.org/licenses/by-sa/
@multitable {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx} {xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx}
@headitem
-Contributor @footnote{@w{(1)}
+Contributor @footnote{
In alphabetical order
}
@@ -1704,7 +1751,7 @@ GCC 4.8.2 update, OSVVM port, some bugfixes
@item
-Gingold, Tristan @footnote{@w{(2)}
+Gingold, Tristan @footnote{
Maintainer
}
@@ -1754,7 +1801,7 @@ Property Specification Language (PSL): docs, tests, synthesis support
@item
-Martinez-Corral, Unai @footnote{@w{(2)}
+Martinez-Corral, Unai @footnote{
Maintainer
}
@@ -1775,7 +1822,7 @@ Debian packaging
Only those who made substantial contributions are shown in the table above, but many others contributed with minor patches. You can find a list at
-@image{GHDL-figures/D:/data-dev/umarcor/ghdl/ghdl/doc/_build/doctrees/images/6f8cd1a5e6840820b92af0cbdd95adc63019ebd5/ghdl,,,Contributors,svg}
+@image{GHDL-figures//Users/gingold/devel/ghdl/doc/_build/doctrees/images/6f8cd1a5e6840820b92af0cbdd95adc63019ebd5/ghdl,,,Contributors,svg}
With apologies to anyone who ought to be either on this table or in the GitHub contributor list, but isn’t. Thanks also to all those who have reported bugs and support issues, and often patches and testcases to either the late gna! website or sourceforge.net/p/ghdl-updates/tickets@footnote{https://sourceforge.net/p/ghdl-updates/tickets/}.
@@ -1784,7 +1831,7 @@ With apologies to anyone who ought to be either on this table or in the GitHub c
__________________________________________________________________
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -1805,7 +1852,7 @@ __________________________________________________________________
@c # define a hard line break for HTML
@node Quick Start Guide,Invoking GHDL,Copyrights | Licenses,Top
-@anchor{quick_start/index doc}@anchor{79}@anchor{quick_start/index quick-start-guide}@anchor{7a}@anchor{quick_start/index using-quickstart}@anchor{7b}
+@anchor{quick_start/index doc}@anchor{7f}@anchor{quick_start/index quick-start-guide}@anchor{80}@anchor{quick_start/index using-quickstart}@anchor{81}
@chapter Quick Start Guide
@@ -1814,7 +1861,7 @@ should have at least a basic knowledge of @cite{VHDL}. A good knowledge of @cite
is a plus. Nevertheless, multiple explained examples are provided, in the hope that they are useful for users to learn
about both @cite{GHDL} and @cite{VHDL}.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -1841,27 +1888,24 @@ about both @cite{GHDL} and @cite{VHDL}.
@end menu
@node Simulation,Python Interfaces,,Quick Start Guide
-@anchor{quick_start/simulation/index doc}@anchor{7c}@anchor{quick_start/simulation/index simulation}@anchor{7d}@anchor{quick_start/simulation/index using-quickstart-simulation}@anchor{5e}
+@anchor{quick_start/simulation/index doc}@anchor{82}@anchor{quick_start/simulation/index simulation}@anchor{83}@anchor{quick_start/simulation/index using-quickstart-simulation}@anchor{64}
@section Simulation
-As explained in @ref{42,,What is GHDL?}, @cite{GHDL} is a compiler which translates @cite{VHDL}
-files to machine code. Hence, the regular workflow is composed of three steps:
+As explained in @ref{47,,What is GHDL?}, @cite{GHDL} is a compiler which translates @cite{VHDL} files to machine code.
+Hence, the regular workflow is composed of three steps:
@itemize *
@item
-@ref{7e,,Analysis [-a]}: convert design units (@cite{VHDL} sources) to an internal
-representation.
+@ref{84,,Analysis [-a]}: convert design units (@cite{VHDL} sources) to an internal representation.
@item
-@ref{7f,,Elaboration [-e]}: generate executable machine code for a target module
-(top-level entity).
+@ref{85,,Elaboration [-e]}: generate executable machine code for a target module (top-level entity).
@item
-@ref{80,,Run [-r]}: execute the design to test the behaviour, generate
-output/waveforms, etc.
+@ref{86,,Run [-r]}: execute the design to test the behaviour, generate output/waveforms, etc.
@end itemize
The following tips might be useful:
@@ -1870,42 +1914,41 @@ The following tips might be useful:
@itemize *
@item
-Don’t forget to select the version of the VHDL standard you want to use (see
-@ref{81,,VHDL standards}). The default is @ref{82,,--std=93c}. Use
-@ref{82,,--std=08} for VHDL-2008 (albeit not fully implemented).
+Don’t forget to select the version of the VHDL standard you want to use (see @ref{87,,VHDL standards}).
+The default is @ref{88,,--std=93c}.
+Use @ref{88,,--std=08} for VHDL-2008 (albeit not fully implemented).
@itemize *
@item
-Use @ref{83,,--ieee=synopsys} if your design depends on a non-standard
-implementation of the IEEE library.
+Use @ref{89,,--ieee=synopsys} if your design depends on a non-standard implementation of the IEEE library.
@item
-Use @ref{84,,-fexplicit} and @ref{85,,-frelaxed-rules} if needed. For instance,
-if you would like to use VHDL 2008 and also use shared variables with an
-ordinary type (deprecated in VHDL 2000), you can use @code{--std=08 -frelaxed-rules}.
+Use @ref{8a,,-fexplicit} and @ref{8b,,-frelaxed-rules} if needed.
+For instance, if you would like to use VHDL 2008 and also use shared variables with an ordinary type (deprecated in
+VHDL 2000), you can use @code{--std=08 -frelaxed-rules}.
@end itemize
@item
-Use @ref{86,,--work=LIB_NAME} to analyze files into the @code{LIB_NAME} library.
-To use files analyzed to a different directory, give the path
-to the @code{LIB_NAME} library using @ref{87,,-P/path/to/name/directory/}.
+Use @ref{8c,,--work=LIB_NAME} to analyze files into the @code{LIB_NAME} library.
+To use files analyzed to a different directory, give the path to the @code{LIB_NAME} library using
+@ref{8d,,-P/path/to/name/directory/}.
@item
-Use the same options for analysis and elaboration. E.g., first analyse with
-@code{ghdl -a --std=08 --work=mylib myfile.vhdl}; and then elaborate and run with
+Use the same options for analysis and elaboration.
+E.g., first analyse with @code{ghdl -a --std=08 --work=mylib myfile.vhdl}; and then elaborate and run with
@code{ghdl --elab-run --std=08 top}.
@end itemize
-Due to the fact that @cite{VHDL} is processed as a general purpose language
-(instead of an @cite{HDL}), all the language features are to be supported. I.e., @cite{VHDL}
-sources do not need to be limited to the synthesisable subset. However, distinction
-between synthesisable and non-synthesisable (simulation-only) subsets is often
-misleading for users who are new to the language. Different examples are provided,
-in the hope of helping understand the different use cases:
+Due to the fact that @cite{VHDL} is processed as a general purpose language (instead of an @cite{HDL}), all the language features
+are to be supported.
+I.e., @cite{VHDL} sources do not need to be limited to the synthesisable subset.
+However, distinction between synthesisable and non-synthesisable (simulation-only) subsets is often misleading for users
+who are new to the language.
+Different examples are provided, in the hope of helping understand the different use cases:
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -1934,7 +1977,7 @@ in the hope of helping understand the different use cases:
@end menu
@node Hello world program,Heartbeat module,,Simulation
-@anchor{quick_start/simulation/hello/index doc}@anchor{88}@anchor{quick_start/simulation/hello/index hello-world-program}@anchor{89}@anchor{quick_start/simulation/hello/index quickstart-hello}@anchor{8a}
+@anchor{quick_start/simulation/hello/index doc}@anchor{8e}@anchor{quick_start/simulation/hello/index hello-world-program}@anchor{8f}@anchor{quick_start/simulation/hello/index quickstart-hello}@anchor{90}
@subsection @cite{Hello world} program
@@ -1975,37 +2018,40 @@ Both @code{.vhdl} and @code{.vhd} extensions are used for @cite{VHDL} source fil
@itemize *
@item
-Since, extension @code{.vhd} is also interpreted as a Virtual Hard Disk@footnote{https://en.wikipedia.org/wiki/VHD_(file_format)}
-file format, some users prefer @code{.vhdl}, to avoid ambiguity. This is the case with @cite{GHDL}’s codebase. However, in order
-to maintain backward-compatibility@footnote{https://en.wikipedia.org/wiki/8.3_filename} with legacy DOS systems,
-other users prefer @code{.vhd}.
+Since, extension @code{.vhd} is also interpreted as a Virtual Hard Disk@footnote{https://en.wikipedia.org/wiki/VHD_(file_format)} file
+format, some users prefer @code{.vhdl}, to avoid ambiguity.
+This is the case with @cite{GHDL}’s codebase.
+However, in order to maintain backward-compatibility@footnote{https://en.wikipedia.org/wiki/https://en.wikipedia.org/wiki/8.3_filename}
+with legacy DOS systems, other users prefer @code{.vhd}.
@end itemize
@end quotation
@item
-Unless you use especial characters, either @cite{UTF-8} or @cite{ISO-8859-1} encodings can be used. However, if you do, the
-latter should be used. The standard defines ASCII (7-bit encoding) or ISO Latin-1 (ISO-8859-1) as default.
-However, GHDL has a relaxing option, @ref{8b,,--mb-comments} (multi byte), to allow UTF-8 or other encodings in
+Unless you use especial characters, either @cite{UTF-8} or @cite{ISO-8859-1} encodings can be used.
+However, if you do, the latter should be used.
+The standard defines ASCII (7-bit encoding) or ISO Latin-1 (ISO-8859-1) as default.
+However, GHDL has a relaxing option, @ref{91,,--mb-comments} (multi byte), to allow UTF-8 or other encodings in
comments.
@end itemize
@end quotation
@end cartouche
-@itemize -
+@itemize *
@item
-First, you have to compile the file; this is called @ref{7e,,analysis} of a design file in @cite{VHDL}
-terms. Run @code{ghdl -a hello.vhdl} in the @cite{shell}. This command creates or updates a file @code{work-obj93.cf}, which
-describes the library @code{work}.
+First, you have to compile the file; this is called @ref{84,,analysis} of a design file in @cite{VHDL}
+terms. Run @code{ghdl -a hello.vhdl} in the @cite{shell}.
+This command creates or updates a file @code{work-obj93.cf}, which describes the library @code{work}.
@item
-Then, run @code{ghdl -e hello_world} in the @cite{shell}. Command @ref{8c,,-e} means @ref{7f,,elaborate},
-which is used to build a design, with the @code{hello_world} entity at the top of the hierarchy.
+Then, run @code{ghdl -e hello_world} in the @cite{shell}.
+Command @ref{92,,-e} means @ref{85,,elaborate}, which is used to build a design, with the
+@code{hello_world} entity at the top of the hierarchy.
@item
-Last, you can directly launch the simulation @ref{80,,running} @code{ghdl -r hello_world} in the @cite{shell}. The
-result of the simulation will be shown on screen:
+Last, you can directly launch the simulation @ref{86,,running} @code{ghdl -r hello_world} in the @cite{shell}.
+The result of the simulation will be shown on screen:
@end itemize
@example
@@ -2020,29 +2066,31 @@ If a GCC/LLVM variant of @cite{GHDL} is used:
@itemize *
@item
-@ref{7e,,Analysis} generates a file, @code{hello.o}, which is the object file corresponding to
-your @cite{VHDL} program. This is not created with @ref{4b,,mcode}. These kind of object files can be
-compiled into foreign programs (see Linking GHDL object files to Ada/C@footnote{https://ghdl.github.io/ghdl-cosim/vhpidirect/linking.html#linking-with-ada}).
+@ref{84,,Analysis} generates a file, @code{hello.o}, which is the object file corresponding to
+your @cite{VHDL} program. This is not created with @ref{51,,mcode}.
+These kind of object files can be compiled into foreign programs (see Linking GHDL object files to Ada/C@footnote{https://ghdl.github.io/ghdl-cosim/vhpidirect/linking.html#linking-with-ada}).
@item
-The @ref{7f,,elaboration} step is mandatory after running the analysis and prior to launching the
-simulation. This will generate an executable binary named @code{hello_world}.
+The @ref{85,,elaboration} step is mandatory after running the analysis and prior to launching the
+simulation.
+This will generate an executable binary named @code{hello_world}.
@item
-As a result, @ref{8d,,-r} is just a passthrough to the binary generated in the @cite{elaboration}. Therefore, the
-executable can be run directly: @code{./hello_world}. See @ref{8d,,-r} for more informartion.
+As a result, @ref{93,,-r} is just a passthrough to the binary generated in the @cite{elaboration}.
+Therefore, the executable can be run directly: @code{./hello_world}. See @ref{93,,-r} for more informartion.
@end itemize
@end quotation
@end cartouche
@cartouche
@quotation Hint
-@ref{8c,,-e} can be bypassed with @ref{4b,,mcode}, since @ref{8d,,-r} actually elaborates the design and saves
-it on memory before running the simulation. But you can still use it to check for some elaboration problems.
+@ref{92,,-e} can be bypassed with @ref{51,,mcode}, since @ref{93,,-r} actually elaborates the design and saves
+it on memory before running the simulation.
+But you can still use it to check for some elaboration problems.
@end quotation
@end cartouche
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -2063,13 +2111,14 @@ it on memory before running the simulation. But you can still use it to check fo
@c # define a hard line break for HTML
@node Heartbeat module,Full adder module and testbench,Hello world program,Simulation
-@anchor{quick_start/simulation/heartbeat/index doc}@anchor{8e}@anchor{quick_start/simulation/heartbeat/index heartbeat-module}@anchor{8f}@anchor{quick_start/simulation/heartbeat/index quickstart-heartbeat}@anchor{90}
+@anchor{quick_start/simulation/heartbeat/index doc}@anchor{94}@anchor{quick_start/simulation/heartbeat/index heartbeat-module}@anchor{95}@anchor{quick_start/simulation/heartbeat/index quickstart-heartbeat}@anchor{96}
@subsection @cite{Heartbeat} module
-Although @ref{8a,,Hello world} illustrates that @cite{VHDL} is supported as a general purpose language, the main use case
-of @cite{GHDL} is to simulate hardware descriptions. The following block, which is saved in a file named
-@code{heartbeat.vhdl}, is an example of how to generate a 100 MHz clock signal with non-synthesisable VHDL:
+Although @ref{90,,Hello world} illustrates that @cite{VHDL} is supported as a general purpose language, the
+main use case of @cite{GHDL} is to simulate hardware descriptions.
+The following block, which is saved in a file named @code{heartbeat.vhdl}, is an example of how to generate a 100 MHz
+clock signal with non-synthesisable VHDL:
@example
library ieee;
@@ -2094,7 +2143,8 @@ begin
end behaviour;
@end example
-It can be @ref{7e,,analysed}, @ref{7f,,elaborated} and @ref{80,,run}, as you already know:
+It can be @ref{84,,analysed}, @ref{85,,elaborated} and @ref{86,,run}, as
+you already know:
@example
ghdl -a heartbeat.vhdl
@@ -2105,8 +2155,8 @@ ghdl -r heartbeat
However, execution of the design does not terminate. At the same time, no output is shown on screen. This is because,
traditionally, hardware designs are continuously running devices which do not have a screen where to print. In this
context, inspection and verification of the behaviour is done through waveforms@footnote{https://en.wikipedia.org/wiki/Waveform_viewer},
-which is supported by @cite{GHDL} (see @ref{91,,Export waveforms}). You can use either @ref{92,,--wave}, @ref{93,,--vcd},
-@ref{94,,--vcdgz} or @ref{95,,--fst} to save the signals of the simulation to a file. Then, terminate the execution
+which is supported by @cite{GHDL} (see @ref{97,,Export waveforms}). You can use either @ref{98,,--wave}, @ref{99,,--vcd},
+@ref{9a,,--vcdgz} or @ref{9b,,--fst} to save the signals of the simulation to a file. Then, terminate the execution
(@code{C-c}) and you can inspect the wave with a viewer, such as GtkWave@footnote{http://gtkwave.sourceforge.net/}. As
explained in the manual@footnote{http://gtkwave.sourceforge.net/gtkwave.pdf}, GtkWave @emph{‘relies on a post-mortem approach
through the use of dumpfiles’}. Therefore, you should first simulate your design and dump a waveform file, say GHW:
@@ -2121,10 +2171,11 @@ Then, you can view the dump:
gtkwave wave.ghw
@end example
-Of course, manually terminating the simulation is for illustration purposes only. In @ref{96,,Full adder} and
-@ref{97,,Working with non-trivial designs}, you will see how to write a testbench to terminate the simulation programmatically.
+Of course, manually terminating the simulation is for illustration purposes only.
+In @ref{9c,,Full adder} and @ref{9d,,Working with non-trivial designs}, you will see how to write a testbench to terminate
+the simulation programmatically.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -2145,13 +2196,13 @@ Of course, manually terminating the simulation is for illustration purposes only
@c # define a hard line break for HTML
@node Full adder module and testbench,Working with non-trivial designs,Heartbeat module,Simulation
-@anchor{quick_start/simulation/adder/index doc}@anchor{98}@anchor{quick_start/simulation/adder/index full-adder-module-and-testbench}@anchor{99}@anchor{quick_start/simulation/adder/index quickstart-adder}@anchor{96}
+@anchor{quick_start/simulation/adder/index doc}@anchor{9e}@anchor{quick_start/simulation/adder/index full-adder-module-and-testbench}@anchor{9f}@anchor{quick_start/simulation/adder/index quickstart-adder}@anchor{9c}
@subsection @cite{Full adder} module and testbench
-Unlike @ref{90,,Heartbeat}, the target hardware design in this example is written using the
-synthesisable subset of @cite{VHDL}. It is a full adder@footnote{https://en.wikipedia.org/wiki/Adder_(electronics)#Full_adder}
-described in a file named @code{adder.vhdl}:
+Unlike @ref{96,,Heartbeat}, the target hardware design in this example is written using the
+synthesisable subset of @cite{VHDL}. It is a full adder@footnote{https://en.wikipedia.org/wiki/Adder_(electronics)#Full_adder} described in a file
+named @code{adder.vhdl}:
@example
entity adder is
@@ -2170,7 +2221,7 @@ begin
end rtl;
@end example
-You can @ref{7e,,analyse} this design file, @code{ghdl -a adder.vhdl}, and try to execute the @cite{adder}
+You can @ref{84,,analyse} this design file, @code{ghdl -a adder.vhdl}, and try to execute the @cite{adder}
design. But this is useless, since nothing externally visible will happen. In order to check this full adder, a
@emph{testbench} has to be run. The @emph{testbench} is a description of how to generate inputs and how to check the
outputs of the Unit Under Test (UUT). This one is very simple, since the adder is also simple: it checks exhaustively
@@ -2241,22 +2292,22 @@ As usual, you should analyze the file, @code{ghdl -a adder_tb.vhdl}.
@cartouche
@quotation Hint
-Then, if required, @ref{7f,,elaborate} the testbench: @code{ghdl -e adder_tb}. You do not need to
+Then, if required, @ref{85,,elaborate} the testbench: @code{ghdl -e adder_tb}. You do not need to
specify which object files are required, since @cite{GHDL} knows them and automatically adds them.
@end quotation
@end cartouche
-Now, it is time to @ref{80,,run} the testbench, @code{ghdl -r adder_tb}, and check the result on screen:
+Now, it is time to @ref{86,,run} the testbench, @code{ghdl -r adder_tb}, and check the result on screen:
@example
adder_tb.vhdl:52:7:(assertion note): end of test
@end example
-If your design is rather complex, you’d like to inspect signals as explained in @ref{90,,Heartbeat}.
+If your design is rather complex, you’d like to inspect signals as explained in @ref{96,,Heartbeat}.
-See section @ref{9a,,Simulation options}, for more details on other runtime options.
+See section @ref{a0,,Simulation options}, for more details on other runtime options.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -2277,7 +2328,7 @@ See section @ref{9a,,Simulation options}, for more details on other runtime opti
@c # define a hard line break for HTML
@node Working with non-trivial designs,,Full adder module and testbench,Simulation
-@anchor{quick_start/simulation/DLXModelSuite doc}@anchor{9b}@anchor{quick_start/simulation/DLXModelSuite quickstart-dlx}@anchor{97}@anchor{quick_start/simulation/DLXModelSuite working-with-non-trivial-designs}@anchor{9c}
+@anchor{quick_start/simulation/DLXModelSuite doc}@anchor{a1}@anchor{quick_start/simulation/DLXModelSuite quickstart-dlx}@anchor{9d}@anchor{quick_start/simulation/DLXModelSuite working-with-non-trivial-designs}@anchor{a2}
@subsection Working with non-trivial designs
@@ -2297,7 +2348,7 @@ First, untar the sources: @code{tar zxvf dlx.tar.gz}.
@quotation
In order not to pollute the sources with the artifacts (@cite{WORK} library), it is a good idea to create a
-@code{work/} subdirectory. To any GHDL commands, we will add the @ref{9d,,--workdir=work} option, so
+@code{work/} subdirectory. To any GHDL commands, we will add the @ref{a3,,--workdir=work} option, so
that all files generated by the compiler (except the executable) will be placed in this directory.
@end quotation
@@ -2313,11 +2364,11 @@ $ mkdir work
@item
Then, we will run the @code{dlx_test_behaviour} design. We need to analyse all the design units for the design
-hierarchy, in the correct order. GHDL provides an easy way to do this, by @ref{9e,,importing} the
+hierarchy, in the correct order. GHDL provides an easy way to do this, by @ref{a4,,importing} the
sources: @code{ghdl -i --workdir=work *.vhdl}.
@item
-GHDL knows all the design units of the DLX, but none of them has been analysed. Run the @ref{9f,,make}
+GHDL knows all the design units of the DLX, but none of them has been analysed. Run the @ref{a5,,make}
command, @code{ghdl -m --workdir=work dlx_test_behaviour}, which analyses and elaborates a design. This creates many
files in the @code{work/} directory, and (GCC/LLVM only) the @code{dlx_test_behaviour} executable in the current
directory.
@@ -2334,7 +2385,7 @@ in the DLX memory. Just take one sample: @code{cp test_loop.out dlx.out}.
@itemize *
@item
-Now, you can @ref{80,,run} the test suite: @code{ghdl -r --workdir=work dlx_test_behaviour}. The test bench
+Now, you can @ref{86,,run} the test suite: @code{ghdl -r --workdir=work dlx_test_behaviour}. The test bench
monitors the bus and displays each executed instruction. It finishes with an assertion of severity level note:
@example
@@ -2346,7 +2397,7 @@ dlx-behaviour.vhdl:395:11:(assertion note): TRAP instruction
Last, since the clock is still running, you have to manually stop the program with the @code{C-c} key sequence. This
behavior prevents you from running the testbench in batch mode. However, you may force the simulator to stop when an
assertion above or equal a certain severity level occurs. To do so, call run with this option instead:
-@code{ghdl -r --workdir=work dlx_test_behaviour --assert-level=note`}. With @ref{a0,,--assert-level}, the program stops
+@code{ghdl -r --workdir=work dlx_test_behaviour --assert-level=note`}. With @ref{a6,,--assert-level}, the program stops
just after the previous message:
@example
@@ -2364,11 +2415,11 @@ If you want to make room on your hard drive, you can either:
@itemize *
@item
-@ref{a1,,Clean} the design library with @code{ghdl --clean --workdir=work}. This removes the executable
+@ref{a7,,Clean} the design library with @code{ghdl --clean --workdir=work}. This removes the executable
and all the object files. If you want to rebuild the design at this point, just do the make command as shown above.
@item
-@ref{a2,,Remove} the design library with @code{ghdl --remove --workdir=work}. This removes the
+@ref{a8,,Remove} the design library with @code{ghdl --remove --workdir=work}. This removes the
executable, all the object files and the library file. If you want to rebuild the design, you have to import the
sources again and make the design.
@@ -2380,7 +2431,7 @@ Remove the @code{work/} directory: @code{rm -rf work}. Only the executable is ke
@cartouche
@quotation Warning
-Sometimes, a design does not fully follow the VHDL standards. For example it might use the badly engineered @code{std_logic_unsigned} package. GHDL supports this VHDL dialect through some options: @ref{83,,--ieee=synopsys}, @ref{84,,-fexplicit}, etc. See section @ref{67,,IEEE library pitfalls}, for more details.
+Sometimes, a design does not fully follow the VHDL standards. For example it might use the badly engineered @code{std_logic_unsigned} package. GHDL supports this VHDL dialect through some options: @ref{89,,--ieee=synopsys}, @ref{8a,,-fexplicit}, etc. See section @ref{6d,,IEEE library pitfalls}, for more details.
@end quotation
@end cartouche
@@ -2390,7 +2441,7 @@ See Learning VHDL with GHDL@footnote{https://github.com/ghdl/ghdl/issues/1291}.
@end quotation
@end cartouche
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -2411,7 +2462,7 @@ See Learning VHDL with GHDL@footnote{https://github.com/ghdl/ghdl/issues/1291}.
@c # define a hard line break for HTML
@node Python Interfaces,,Simulation,Quick Start Guide
-@anchor{quick_start/python/index doc}@anchor{a3}@anchor{quick_start/python/index python-interfaces}@anchor{a4}@anchor{quick_start/python/index using-quickstart-python}@anchor{a5}
+@anchor{quick_start/python/index doc}@anchor{a9}@anchor{quick_start/python/index python-interfaces}@anchor{aa}@anchor{quick_start/python/index using-quickstart-python}@anchor{ab}
@section Python Interfaces
@@ -2431,7 +2482,7 @@ pip install git+https://github.com/ghdl/ghdl.git@@$(ghdl version hash)
@end menu
@node Language Server,,,Python Interfaces
-@anchor{quick_start/python/index cmdref}@anchor{a6}@anchor{quick_start/python/index language-server}@anchor{a7}
+@anchor{quick_start/python/index cmdref}@anchor{ac}@anchor{quick_start/python/index language-server}@anchor{ad}
@subsection Language Server
@@ -2447,7 +2498,7 @@ When installed through @code{pip}, pyGHDL provides executable entrypoints regist
@end menu
@node ghdl-ls,,,Language Server
-@anchor{quick_start/python/index cmdref-ghdlls}@anchor{a8}@anchor{quick_start/python/index ghdl-ls}@anchor{a9}
+@anchor{quick_start/python/index cmdref-ghdlls}@anchor{ae}@anchor{quick_start/python/index ghdl-ls}@anchor{af}
@subsubsection ghdl-ls
@@ -2464,13 +2515,13 @@ usage: ghdl-ls [-h] [--version] [--verbose] [--log-file LOG_FILE]
@end menu
@node optional arguments,,,ghdl-ls
-@anchor{quick_start/python/index optional-arguments}@anchor{aa}
+@anchor{quick_start/python/index optional-arguments}@anchor{b0}
@subsubsection optional arguments
@geindex ghdl-ls command line option; -h
@geindex ghdl-ls command line option; --help
-@anchor{quick_start/python/index cmdoption-ghdl-ls-h}@anchor{ab}@anchor{quick_start/python/index cmdoption-ghdl-ls-help}@anchor{ac}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-h}@anchor{b1}@anchor{quick_start/python/index cmdoption-ghdl-ls-help}@anchor{b2}
@deffn {Option} @w{-}h, @w{-}@w{-}help
show this help message and exit
@@ -2478,7 +2529,7 @@ show this help message and exit
@geindex ghdl-ls command line option; --version
@geindex ghdl-ls command line option; -V
-@anchor{quick_start/python/index cmdoption-ghdl-ls-version}@anchor{ad}@anchor{quick_start/python/index cmdoption-ghdl-ls-V}@anchor{ae}@anchor{quick_start/python/index cmdoption-ghdl-ls-v}@anchor{af}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-version}@anchor{b3}@anchor{quick_start/python/index cmdoption-ghdl-ls-V}@anchor{b4}@anchor{quick_start/python/index cmdoption-ghdl-ls-v}@anchor{b5}
@deffn {Option} @w{-}@w{-}version, @w{-}V
show program’s version number and exit
@@ -2486,21 +2537,21 @@ show program’s version number and exit
@geindex ghdl-ls command line option; --verbose
@geindex ghdl-ls command line option; -v
-@anchor{quick_start/python/index cmdoption-ghdl-ls-verbose}@anchor{b0}@anchor{quick_start/python/index cmdoption-ghdl-ls-0}@anchor{b1}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-verbose}@anchor{b6}@anchor{quick_start/python/index cmdoption-ghdl-ls-0}@anchor{b7}
@deffn {Option} @w{-}@w{-}verbose, @w{-}v
Show debug output
@end deffn
-@geindex ghdl-ls command line option; --log-file <log_file>
-@anchor{quick_start/python/index cmdoption-ghdl-ls-log-file}@anchor{b2}
+@geindex ghdl-ls command line option; --log-file
+@anchor{quick_start/python/index cmdoption-ghdl-ls-log-file}@anchor{b8}
@deffn {Option} @w{-}@w{-}log@w{-}file <log_file>
Redirect logs to the given file instead of stderr
@end deffn
-@geindex ghdl-ls command line option; --trace-file <trace_file>
-@anchor{quick_start/python/index cmdoption-ghdl-ls-trace-file}@anchor{b3}
+@geindex ghdl-ls command line option; --trace-file
+@anchor{quick_start/python/index cmdoption-ghdl-ls-trace-file}@anchor{b9}
@deffn {Option} @w{-}@w{-}trace@w{-}file <trace_file>
Save RPC data to FILE.in and FILE.out (overrides
@@ -2509,22 +2560,22 @@ Save RPC data to FILE.in and FILE.out (overrides
@code{GHDL_LS_TRACE})
@end deffn
-@geindex ghdl-ls command line option; --input <input>
-@geindex ghdl-ls command line option; -i <input>
-@anchor{quick_start/python/index cmdoption-ghdl-ls-input}@anchor{b4}@anchor{quick_start/python/index cmdoption-ghdl-ls-i}@anchor{b5}
+@geindex ghdl-ls command line option; --input
+@geindex ghdl-ls command line option; -i
+@anchor{quick_start/python/index cmdoption-ghdl-ls-input}@anchor{ba}@anchor{quick_start/python/index cmdoption-ghdl-ls-i}@anchor{bb}
@deffn {Option} @w{-}@w{-}input <input>, @w{-}i <input>
Read request from file
@end deffn
@geindex ghdl-ls command line option; --disp-config
-@anchor{quick_start/python/index cmdoption-ghdl-ls-disp-config}@anchor{b6}
+@anchor{quick_start/python/index cmdoption-ghdl-ls-disp-config}@anchor{bc}
@deffn {Option} @w{-}@w{-}disp@w{-}config
Display installation configuration and exit
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -2545,7 +2596,7 @@ Display installation configuration and exit
@c # define a hard line break for HTML
@node Invoking GHDL,Simulation runtime,Quick Start Guide,Top
-@anchor{using/InvokingGHDL doc}@anchor{b7}@anchor{using/InvokingGHDL invoking-ghdl}@anchor{b8}@anchor{using/InvokingGHDL using-invoking}@anchor{5f}
+@anchor{using/InvokingGHDL doc}@anchor{bd}@anchor{using/InvokingGHDL invoking-ghdl}@anchor{be}@anchor{using/InvokingGHDL using-invoking}@anchor{65}
@chapter Invoking GHDL
@@ -2575,7 +2626,7 @@ An argument that starts with a @code{@@} is considered as a response file; it is
@cartouche
@quotation Hint
Only the most common commands and options are shown here. For the most advanced and experimental features see section
-@ref{60,,Additional Command Reference}.
+@ref{66,,Additional Command Reference}.
@end quotation
@end cartouche
@@ -2588,20 +2639,20 @@ The location of these files is based on the prefix, which is (in order of priori
@itemize *
@item
-the @ref{b9,,--PREFIX} command line option
+the @ref{bf,,--PREFIX} command line option
@item
the
@geindex GHDL_PREFIX
@geindex environment variable; GHDL_PREFIX
-@ref{ba,,GHDL_PREFIX} environment variable
+@ref{c0,,GHDL_PREFIX} environment variable
@item
a built-in default path. It is a hard-coded path on GNU/Linux, and it corresponds to the value of the
@code{HKLM\Software\Ghdl\Install_Dir} registry entry on Windows.
@end itemize
-You should use the @ref{bb,,--disp-config} command to display and debug installation problems.
+You should use the @ref{c1,,--disp-config} command to display and debug installation problems.
@end quotation
@end cartouche
@@ -2618,7 +2669,7 @@ You should use the @ref{bb,,--disp-config} command to display and debug installa
@end menu
@node Design building commands,Design rebuilding commands,,Invoking GHDL
-@anchor{using/InvokingGHDL design-building-commands}@anchor{bc}
+@anchor{using/InvokingGHDL design-building-commands}@anchor{c2}
@section Design building commands
@@ -2637,12 +2688,12 @@ The most commonly used commands of GHDL are those to analyze and elaborate a des
@end menu
@node Analysis [-a],Elaboration [-e],,Design building commands
-@anchor{using/InvokingGHDL analysis-a}@anchor{bd}@anchor{using/InvokingGHDL analysis-command}@anchor{7e}
+@anchor{using/InvokingGHDL analysis-a}@anchor{c3}@anchor{using/InvokingGHDL analysis-command}@anchor{84}
@subsection Analysis [@code{-a}]
-@geindex ghdl command line option; -a <[options...] file...>
-@anchor{using/InvokingGHDL cmdoption-ghdl-a}@anchor{be}
+@geindex ghdl command line option; -a
+@anchor{using/InvokingGHDL cmdoption-ghdl-a}@anchor{c4}
@deffn {Option} @w{-}a <[options...] file...>
@end deffn
@@ -2652,18 +2703,18 @@ No options are allowed after a filename argument.
GHDL analyzes each filename in the given order, and stops the analysis in case of error (remaining files are not
analyzed).
-See @ref{bf,,Options}, for details on the GHDL options.
+See @ref{c5,,Options}, for details on the GHDL options.
For example, to produce debugging information such as line numbers, use: @code{ghdl -a -g my_design.vhdl}.
@geindex cmd elaboration
@node Elaboration [-e],Run [-r],Analysis [-a],Design building commands
-@anchor{using/InvokingGHDL elaboration-command}@anchor{7f}@anchor{using/InvokingGHDL elaboration-e}@anchor{c0}
+@anchor{using/InvokingGHDL elaboration-command}@anchor{85}@anchor{using/InvokingGHDL elaboration-e}@anchor{c6}
@subsection Elaboration [@code{-e}]
-@geindex ghdl command line option; -e <[options...] [library.]top_unit [arch]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-e}@anchor{8c}
+@geindex ghdl command line option; -e
+@anchor{using/InvokingGHDL cmdoption-ghdl-e}@anchor{92}
@deffn {Option} @w{-}e <[options...] [library.]top_unit [arch]>
@end deffn
@@ -2672,7 +2723,7 @@ configurations and the default binding indications according to the LRM rules.
It also generates the list of object files required for the executable.
Then, it links all these files with the runtime library (GRT).
-The elaboration command, @ref{8c,,-e}, must be followed by a @code{top_unit} name denoting either of:
+The elaboration command, @ref{92,,-e}, must be followed by a @code{top_unit} name denoting either of:
@quotation
@@ -2696,10 +2747,10 @@ an entity unit followed by a secondary unit (the name of an architecture unit)
@item
Name of the top units can be either a simple name (without dots), or the name of a logical library followed by a dot
and a simple name.
-The latter is equivalent to using @ref{86,,--work=NAME} described in @ref{bf,,Options}:
+The latter is equivalent to using @ref{8c,,--work=NAME} described in @ref{c5,,Options}:
@code{-e <[options...] --work=library top_unit [arch]>}.
It selects the name of the library to be used as @code{WORK}.
-See section @ref{c1,,Top entity}, for the restrictions on the root/top unit of a hierarchy.
+See section @ref{c7,,Top entity}, for the restrictions on the root/top unit of a hierarchy.
@item
Name of the secondary units must be a simple name; they cannot contain any dot.
@@ -2728,26 +2779,26 @@ This elaboration command is not a complete elaboration in terms of the VHDL stan
The actual elaboration is performed at runtime.
Therefore, in order to get a complete VHDL elaboration without running the simulation, @code{ghdl --elab-run --no-run}
is required.
-See @ref{c2,,--no-run}.
+See @ref{c8,,--no-run}.
@end quotation
@end cartouche
@geindex cmd run
@node Run [-r],Elaborate and run [--elab-run],Elaboration [-e],Design building commands
-@anchor{using/InvokingGHDL run-command}@anchor{80}@anchor{using/InvokingGHDL run-r}@anchor{c3}
+@anchor{using/InvokingGHDL run-command}@anchor{86}@anchor{using/InvokingGHDL run-r}@anchor{c9}
@subsection Run [@code{-r}]
-@geindex ghdl command line option; -r <[options...] [library.]top_unit [arch] [simulation_options...]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-r}@anchor{8d}
+@geindex ghdl command line option; -r
+@anchor{using/InvokingGHDL cmdoption-ghdl-r}@anchor{93}
@deffn {Option} @w{-}r <[options...] [library.]top_unit [arch] [simulation_options...]>
@end deffn
Runs/simulates a design.
Two sets of options are accepted, both of them being separated by @code{[library.]top_unit [arch]}.
-For the first set, @code{options...}, arguments are the same as for the @ref{7f,,elaboration command}.
-For the second set, @code{simulation_options...}, arguments are defined in @ref{c4,,Simulation (runtime)}.
+For the first set, @code{options...}, arguments are the same as for the @ref{85,,elaboration command}.
+For the second set, @code{simulation_options...}, arguments are defined in @ref{ca,,Simulation (runtime)}.
@itemize *
@@ -2775,34 +2826,34 @@ It works with mcode implementation, where the executable code is generated in me
You are using GCC/LLVM, but you don’t need to create the executable program name.
@item
-It is coherent with the @ref{be,,-a} and @ref{8c,,-e} commands.
+It is coherent with the @ref{c4,,-a} and @ref{92,,-e} commands.
@end itemize
@geindex cmd elaborate and run
@node Elaborate and run [--elab-run],Check syntax [-s],Run [-r],Design building commands
-@anchor{using/InvokingGHDL elab-and-run-command}@anchor{c5}@anchor{using/InvokingGHDL elaborate-and-run-elab-run}@anchor{c6}
+@anchor{using/InvokingGHDL elab-and-run-command}@anchor{cb}@anchor{using/InvokingGHDL elaborate-and-run-elab-run}@anchor{cc}
@subsection Elaborate and run [@code{--elab-run}]
-@geindex ghdl command line option; --elab-run <[options...] [library.]top_unit [arch] [simulation_options...]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-elab-run}@anchor{c7}
+@geindex ghdl command line option; --elab-run
+@anchor{using/InvokingGHDL cmdoption-ghdl-elab-run}@anchor{cd}
@deffn {Option} @w{-}@w{-}elab@w{-}run <[options...] [library.]top_unit [arch] [simulation_options...]>
@end deffn
Acts like the elaboration command followed by the run command.
Note that this command accepts two sets of options.
-See @ref{8c,,-e}, @ref{8d,,-r} and @ref{c4,,Simulation (runtime)}.
+See @ref{92,,-e}, @ref{93,,-r} and @ref{ca,,Simulation (runtime)}.
@geindex cmd checking syntax
@node Check syntax [-s],Analyze and elaborate [-c],Elaborate and run [--elab-run],Design building commands
-@anchor{using/InvokingGHDL check-syntax-s}@anchor{c8}
+@anchor{using/InvokingGHDL check-syntax-s}@anchor{ce}
@subsection Check syntax [@code{-s}]
-@geindex ghdl command line option; -s <[options...] file...>
-@anchor{using/InvokingGHDL cmdoption-ghdl-s}@anchor{c9}
+@geindex ghdl command line option; -s
+@anchor{using/InvokingGHDL cmdoption-ghdl-s}@anchor{cf}
@deffn {Option} @w{-}s <[options...] file...>
@end deffn
@@ -2813,23 +2864,23 @@ It does not update the library.
@geindex cmd analyze and elaborate
@node Analyze and elaborate [-c],,Check syntax [-s],Design building commands
-@anchor{using/InvokingGHDL analyze-and-elaborate-c}@anchor{ca}
+@anchor{using/InvokingGHDL analyze-and-elaborate-c}@anchor{d0}
@subsection Analyze and elaborate [@code{-c}]
-@geindex ghdl command line option; -c <[options...] file... -<e|r> top_unit [arch]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-c}@anchor{cb}
+@geindex ghdl command line option; -c
+@anchor{using/InvokingGHDL cmdoption-ghdl-c}@anchor{d1}
@deffn {Option} @w{-}c <[options...] file... @w{-}<e|r> top_unit [arch]>
@end deffn
@cartouche
@quotation Hint
-With GCC/LLVM, @ref{8c,,-e} should be used, and @ref{8d,,-r} with mcode.
+With GCC/LLVM, @ref{92,,-e} should be used, and @ref{93,,-r} with mcode.
@end quotation
@end cartouche
The files are first parsed, and then a elaboration is performed, which drives an analysis.
-Effectively, analysis and elaboration are combined, but there is no explicit call to @ref{be,,-a}.
+Effectively, analysis and elaboration are combined, but there is no explicit call to @ref{c4,,-a}.
With GCC/LLVM, code is generated during the elaboration.
With mcode, the simulation is launched after the elaboration.
@@ -2871,7 +2922,7 @@ This command is still under development. In case of problems, you should go back
@end cartouche
@node Design rebuilding commands,Options,Design building commands,Invoking GHDL
-@anchor{using/InvokingGHDL design-rebuilding-commands}@anchor{cc}
+@anchor{using/InvokingGHDL design-rebuilding-commands}@anchor{d2}
@section Design rebuilding commands
@@ -2890,12 +2941,12 @@ GHDL has a few commands for rebuilding a design.
@end menu
@node Import [-i],Elab-order [--elab-order],,Design rebuilding commands
-@anchor{using/InvokingGHDL import-command}@anchor{9e}@anchor{using/InvokingGHDL import-i}@anchor{cd}
+@anchor{using/InvokingGHDL import-command}@anchor{a4}@anchor{using/InvokingGHDL import-i}@anchor{d3}
@subsection Import [@code{-i}]
-@geindex ghdl command line option; -i <[options...] file...>
-@anchor{using/InvokingGHDL cmdoption-ghdl-i}@anchor{ce}
+@geindex ghdl command line option; -i
+@anchor{using/InvokingGHDL cmdoption-ghdl-i}@anchor{d4}
@deffn {Option} @w{-}i <[options...] file...>
@end deffn
@@ -2920,42 +2971,43 @@ However, since they are not analyzed, many errors are tolerated by this command.
@end quotation
@end cartouche
-See @ref{cf,,-m}, to actually build the design.
-See also @ref{d0,,--elab-order}, for retrieving the compilation order guessed recursively.
+See @ref{d5,,-m}, to actually build the design.
+See also @ref{d6,,--elab-order}, for retrieving the compilation order guessed recursively.
@geindex cmd elaboration order
@node Elab-order [--elab-order],Make [-m],Import [-i],Design rebuilding commands
-@anchor{using/InvokingGHDL elab-order-elab-order}@anchor{d1}
+@anchor{using/InvokingGHDL elab-order-elab-order}@anchor{d7}
@subsection Elab-order [@code{--elab-order}]
-@geindex ghdl command line option; --elab-order <[options...] [libray.]top_unit [arch]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-elab-order}@anchor{d0}
+@geindex ghdl command line option; --elab-order
+@anchor{using/InvokingGHDL cmdoption-ghdl-elab-order}@anchor{d6}
@deffn {Option} @w{-}@w{-}elab@w{-}order <[options...] [libray.]top_unit [arch]>
@end deffn
-Print the list of sources required for elaborating a unit, in order for them to be analyzed without dependency issues.
-This is expected to be used after @ref{ce,,-i}, or for retrieving the order for some unit analyzed through third-party
-scripts.
+Print the list of sources required for elaborating a unit, in order
+for them to be analyzed without dependency issues. This is expected
+to be used after @ref{d4,,-i}, or for retrieving the order for some
+unit analyzed through third-party scripts.
-@cartouche
-@quotation Attention
-Currently, the list does not include information about the logical library names where each source needs to be
-analyzed.
-Hence, it is mostly useful when all sources belong to the same @code{WORK} library.
-@end quotation
-@end cartouche
+With the @code{--libraries} option, each line contains first the
+library name followed by the file name.
+
+Without the @code{--libraries} option, the list does not include
+information about the logical library names where each source needs
+to be analyzed. Hence, it is useful when all sources belong
+to the same @code{WORK} library.
@geindex cmd make
@node Make [-m],Generate Makefile [--gen-makefile],Elab-order [--elab-order],Design rebuilding commands
-@anchor{using/InvokingGHDL make-command}@anchor{9f}@anchor{using/InvokingGHDL make-m}@anchor{d2}
+@anchor{using/InvokingGHDL make-command}@anchor{a5}@anchor{using/InvokingGHDL make-m}@anchor{d8}
@subsection Make [@code{-m}]
-@geindex ghdl command line option; -m <[options...] [library.]top_unit [arch]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-m}@anchor{cf}
+@geindex ghdl command line option; -m
+@anchor{using/InvokingGHDL cmdoption-ghdl-m}@anchor{d5}
@deffn {Option} @w{-}m <[options...] [library.]top_unit [arch]>
@end deffn
@@ -3012,37 +3064,37 @@ In such a case, re-run the make command of GHDL.
@geindex cmd generate makefile
@node Generate Makefile [--gen-makefile],Generate dependency file command [--gen-depends],Make [-m],Design rebuilding commands
-@anchor{using/InvokingGHDL generate-makefile-gen-makefile}@anchor{d3}
+@anchor{using/InvokingGHDL generate-makefile-gen-makefile}@anchor{d9}
@subsection Generate Makefile [@code{--gen-makefile}]
-@geindex ghdl command line option; --gen-makefile <[options...] [library.]top_unit [arch]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-gen-makefile}@anchor{d4}
+@geindex ghdl command line option; --gen-makefile
+@anchor{using/InvokingGHDL cmdoption-ghdl-gen-makefile}@anchor{da}
@deffn {Option} @w{-}@w{-}gen@w{-}makefile <[options...] [library.]top_unit [arch]>
@end deffn
-This command works like the make command (see @ref{cf,,-m}), but only a makefile is generated on the standard output.
+This command works like the make command (see @ref{d5,,-m}), but only a makefile is generated on the standard output.
@geindex --gen-depends command
@node Generate dependency file command [--gen-depends],,Generate Makefile [--gen-makefile],Design rebuilding commands
-@anchor{using/InvokingGHDL generate-dependency-file-command-gen-depends}@anchor{d5}
+@anchor{using/InvokingGHDL generate-dependency-file-command-gen-depends}@anchor{db}
@subsection Generate dependency file command [@code{--gen-depends}]
-@geindex ghdl command line option; --gen-depends <[options...] [library.]top_unit [arch]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-gen-depends}@anchor{d6}
+@geindex ghdl command line option; --gen-depends
+@anchor{using/InvokingGHDL cmdoption-ghdl-gen-depends}@anchor{dc}
@deffn {Option} @w{-}@w{-}gen@w{-}depends <[options...] [library.]top_unit [arch]>
@end deffn
Generate a Makefile containing only dependencies to build a design unit.
-This command works like the make and gen-makefile commands (see @ref{cf,,-m}), but instead of a full makefile only
+This command works like the make and gen-makefile commands (see @ref{d5,,-m}), but instead of a full makefile only
dependencies without rules are generated on the standard output.
Theses rules can then be integrated in another Makefile.
@node Options,Warnings,Design rebuilding commands,Invoking GHDL
-@anchor{using/InvokingGHDL ghdl-options}@anchor{bf}@anchor{using/InvokingGHDL options}@anchor{d7}
+@anchor{using/InvokingGHDL ghdl-options}@anchor{c5}@anchor{using/InvokingGHDL options}@anchor{dd}
@section Options
@@ -3056,8 +3108,8 @@ Theses rules can then be integrated in another Makefile.
@geindex WORK library
-@geindex ghdl command line option; --work=<LIB_NAME>
-@anchor{using/InvokingGHDL cmdoption-ghdl-work}@anchor{86}
+@geindex ghdl command line option; --work
+@anchor{using/InvokingGHDL cmdoption-ghdl-work}@anchor{8c}
@deffn {Option} @w{-}@w{-}work=<LIB_NAME>
Specify the name of the @code{WORK} library. Analyzed units are always placed in the library logically named @code{WORK}.
@@ -3079,38 +3131,38 @@ Furthermore, you should not put units in the @code{ieee} library.
@quotation Hint
Since 849a25e0@footnote{https://github.com/ghdl/ghdl/commit/849a25e02cfb359e3d9313060156b0643495548b}, this option can
be alternatively provided to several commands by prepending the library name to the top unit name.
-See, for instance, @ref{8c,,-e}.
+See, for instance, @ref{92,,-e}.
@end quotation
@end cartouche
@end deffn
-@geindex ghdl command line option; --workdir=<DIR>
-@anchor{using/InvokingGHDL cmdoption-ghdl-workdir}@anchor{9d}
+@geindex ghdl command line option; --workdir
+@anchor{using/InvokingGHDL cmdoption-ghdl-workdir}@anchor{a3}
@deffn {Option} @w{-}@w{-}workdir=<DIR>
Specify the directory where the @code{WORK} library is located.
When this option is not present, the @code{WORK} library is in the current directory.
The object files created by the compiler are always placed in the same directory as the @code{WORK} library.
-Use option @ref{87,,-P} to specify where libraries other than @code{WORK} are placed.
+Use option @ref{8d,,-P} to specify where libraries other than @code{WORK} are placed.
@end deffn
-@geindex ghdl command line option; --std=<STANDARD>
-@anchor{using/InvokingGHDL cmdoption-ghdl-std}@anchor{82}
+@geindex ghdl command line option; --std
+@anchor{using/InvokingGHDL cmdoption-ghdl-std}@anchor{88}
@deffn {Option} @w{-}@w{-}std=<STANDARD>
Specify the standard to use. By default, the standard is @code{93c}, which means VHDL-93 with relaxed rules.
-For details on @code{STANDARD} values see section @ref{81,,VHDL standards}.
+For details on @code{STANDARD} values see section @ref{87,,VHDL standards}.
@cartouche
@quotation Important
-This option resets the effect of @ref{d8,,-frelaxed}, so it should be the first option.
+This option resets the effect of @ref{de,,-frelaxed}, so it should be the first option.
@end quotation
@end cartouche
@end deffn
@geindex ghdl command line option; -fsynopsys
-@anchor{using/InvokingGHDL cmdoption-ghdl-fsynopsys}@anchor{d9}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fsynopsys}@anchor{df}
@deffn {Option} @w{-}fsynopsys
Allow the use of synopsys non-standard packages (@code{std_logic_arith}, @code{std_logic_signed}, @code{std_logic_unsigned},
@@ -3122,8 +3174,8 @@ However they are not standard packages, and have been placed in the @cite{IEEE}
@code{ieee}.
@end deffn
-@geindex ghdl command line option; --ieee=<IEEE_VAR>
-@anchor{using/InvokingGHDL cmdoption-ghdl-ieee}@anchor{83}
+@geindex ghdl command line option; --ieee
+@anchor{using/InvokingGHDL cmdoption-ghdl-ieee}@anchor{89}
@deffn {Option} @w{-}@w{-}ieee=<IEEE_VAR>
@geindex ieee library
@@ -3147,7 +3199,7 @@ Currently, there are the multivalue logic system package @code{std_logic_1164} d
packages @code{numeric_bit} and @code{numeric_std} defined by IEEE 1076.3, and the @code{vital} packages @code{vital_timing} and
@code{vital_primitives}, defined by IEEE 1076.4.
The version of these packages is defined by the VHDL standard used.
-See section @ref{da,,VITAL packages}, for more details.
+See section @ref{e0,,VITAL packages}, for more details.
@item synopsys
@@ -3158,25 +3210,25 @@ It is equivalent to @code{--ieee=standard} and @code{-fsynopsys}.
To avoid errors, you must use the same @cite{IEEE} library for all units of your design, and during elaboration.
@end deffn
-@geindex ghdl command line option; -P<DIRECTORY>
-@anchor{using/InvokingGHDL cmdoption-ghdl-P}@anchor{87}@anchor{using/InvokingGHDL cmdoption-ghdl-p}@anchor{db}
+@geindex ghdl command line option; -P
+@anchor{using/InvokingGHDL cmdoption-ghdl-P}@anchor{8d}@anchor{using/InvokingGHDL cmdoption-ghdl-p}@anchor{e1}
@deffn {Option} @w{-}P<DIRECTORY>
Add @cite{DIRECTORY} to the end of the list of directories to be searched for library files.
A library is searched in @cite{DIRECTORY} and also in @cite{DIRECTORY/LIB/vVV} (where @cite{LIB} is the name of the library and @cite{VV}
the vhdl standard).
-The @cite{WORK} library is always searched in the path specified by the @ref{9d,,--workdir} option, or in the current
+The @cite{WORK} library is always searched in the path specified by the @ref{a3,,--workdir} option, or in the current
directory if the latter option is not specified.
@end deffn
@geindex ghdl command line option; -fexplicit
-@anchor{using/InvokingGHDL cmdoption-ghdl-fexplicit}@anchor{84}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fexplicit}@anchor{8a}
@deffn {Option} @w{-}fexplicit
When two operators are overloaded, give preference to the explicit declaration.
This may be used to avoid the most common pitfall of the @code{std_logic_arith} package.
-See section @ref{67,,IEEE library pitfalls}, for an example.
+See section @ref{6d,,IEEE library pitfalls}, for an example.
@end deffn
@cartouche
@@ -3189,17 +3241,17 @@ You’d do better to fix your design and use the @code{numeric_std} package.
@end cartouche
@geindex ghdl command line option; -frelaxed
-@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed}@anchor{d8}
+@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed}@anchor{de}
@deffn {Option} @w{-}frelaxed
@end deffn
@geindex ghdl command line option; -frelaxed-rules
-@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed-rules}@anchor{85}
+@anchor{using/InvokingGHDL cmdoption-ghdl-frelaxed-rules}@anchor{8b}
@deffn {Option} @w{-}frelaxed@w{-}rules
@cartouche
@quotation Important
-The effects of this option are reset by @ref{82,,--std}, so it should be placed @emph{after} that option.
+The effects of this option are reset by @ref{88,,--std}, so it should be placed @emph{after} that option.
@end quotation
@end cartouche
@@ -3242,16 +3294,16 @@ Violations result in warnings instead of errors.
@end deffn
@geindex ghdl command line option; -fpsl
-@anchor{using/InvokingGHDL cmdoption-ghdl-fpsl}@anchor{dc}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fpsl}@anchor{e2}
@deffn {Option} @w{-}fpsl
Enable parsing of PSL assertions within comments.
-See section @ref{dd,,PSL support} for more details.
+See section @ref{e3,,PSL support} for more details.
@end deffn
@geindex ghdl command line option; --mb-comments
@geindex ghdl command line option; -C
-@anchor{using/InvokingGHDL cmdoption-ghdl-mb-comments}@anchor{8b}@anchor{using/InvokingGHDL cmdoption-ghdl-C}@anchor{de}
+@anchor{using/InvokingGHDL cmdoption-ghdl-mb-comments}@anchor{91}@anchor{using/InvokingGHDL cmdoption-ghdl-C}@anchor{e4}
@deffn {Option} @w{-}@w{-}mb@w{-}comments, @w{-}C
Allow UTF8 or multi-bytes chars in a comment.
@@ -3263,7 +3315,7 @@ This option lift this restriction.
@end deffn
@geindex ghdl command line option; --syn-binding
-@anchor{using/InvokingGHDL cmdoption-ghdl-syn-binding}@anchor{df}
+@anchor{using/InvokingGHDL cmdoption-ghdl-syn-binding}@anchor{e5}
@deffn {Option} @w{-}@w{-}syn@w{-}binding
Use synthesizer rules for component binding.
@@ -3278,22 +3330,22 @@ A known library is a library which has been named in your design.
This option is only useful during elaboration.
@end deffn
-@geindex ghdl command line option; --format=<FORMAT>
-@anchor{using/InvokingGHDL cmdoption-ghdl-format}@anchor{e0}
+@geindex ghdl command line option; --format
+@anchor{using/InvokingGHDL cmdoption-ghdl-format}@anchor{e6}
@deffn {Option} @w{-}@w{-}format=<FORMAT>
-Define the output format of some options, such as @ref{e1,,--pp-html} or @ref{e2,,--xref-html}.
+Define the output format of some options, such as @ref{e7,,--pp-html} or @ref{e8,,--xref-html}.
@itemize *
@item
-By default or when @ref{e0,,--format=html2} is specified, generated files follow the HTML 2.0 standard,
+By default or when @ref{e6,,--format=html2} is specified, generated files follow the HTML 2.0 standard,
and colours are specified with @cite{<FONT>} tags.
However, colours are hard-coded.
@item
-If @ref{e0,,--format=css} is specified, generated files follow the HTML 4.0 standard, and use the CSS-1
+If @ref{e6,,--format=css} is specified, generated files follow the HTML 4.0 standard, and use the CSS-1
file @code{ghdl.css} to specify colours.
This file is generated only if it does not already exist (it is never overwritten) and can be customized by the user
to change colours or appearance.
@@ -3302,12 +3354,12 @@ Refer to a generated file and its comments for more information.
@end deffn
@geindex ghdl command line option; --no-vital-checks
-@anchor{using/InvokingGHDL cmdoption-ghdl-no-vital-checks}@anchor{e3}
+@anchor{using/InvokingGHDL cmdoption-ghdl-no-vital-checks}@anchor{e9}
@deffn {Option} @w{-}@w{-}no@w{-}vital@w{-}checks
@end deffn
@geindex ghdl command line option; --vital-checks
-@anchor{using/InvokingGHDL cmdoption-ghdl-vital-checks}@anchor{e4}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vital-checks}@anchor{ea}
@deffn {Option} @w{-}@w{-}vital@w{-}checks
Disable or enable checks of restriction on VITAL units. Checks are enabled by default.
@@ -3316,33 +3368,33 @@ Checks are performed only when a design unit is decorated by a VITAL attribute.
The VITAL attributes are @code{VITAL_Level0} and @code{VITAL_Level1}, both declared in the @code{ieee.VITAL_Timing} package.
Currently, VITAL checks are only partially implemented.
-See section @ref{e5,,VHDL restrictions for VITAL} for more details.
+See section @ref{eb,,VHDL restrictions for VITAL} for more details.
@end deffn
-@geindex ghdl command line option; --PREFIX=<PATH>
-@anchor{using/InvokingGHDL cmdoption-ghdl-PREFIX}@anchor{b9}@anchor{using/InvokingGHDL cmdoption-ghdl-prefix}@anchor{e6}
+@geindex ghdl command line option; --PREFIX
+@anchor{using/InvokingGHDL cmdoption-ghdl-PREFIX}@anchor{bf}@anchor{using/InvokingGHDL cmdoption-ghdl-prefix}@anchor{ec}
@deffn {Option} @w{-}@w{-}PREFIX=<PATH>
Use @code{PATH} as the prefix path to find commands and pre-installed (@code{std} and @code{ieee}) libraries.
@end deffn
@geindex ghdl command line option; -v
-@anchor{using/InvokingGHDL cmdoption-ghdl-v}@anchor{e7}
+@anchor{using/InvokingGHDL cmdoption-ghdl-v}@anchor{ed}
@deffn {Option} @w{-}v
Be verbose. For example, for analysis, elaboration and make commands, GHDL displays the commands executed.
@end deffn
-@geindex ghdl command line option; -o=<FNAME>
-@anchor{using/InvokingGHDL cmdoption-ghdl-o}@anchor{e8}
+@geindex ghdl command line option; -o
+@anchor{using/InvokingGHDL cmdoption-ghdl-o}@anchor{ee}
@deffn {Option} @w{-}o=<FNAME>
-All the commands that perform a link (@ref{8c,,-e}, @ref{c7,,--elab-run}, @ref{e9,,--link}, @ref{cb,,-c},
-@ref{cf,,-m}, etc.) support overriding the location and name of the generated artifact.
+All the commands that perform a link (@ref{92,,-e}, @ref{cd,,--elab-run}, @ref{ef,,--link}, @ref{d1,,-c},
+@ref{d5,,-m}, etc.) support overriding the location and name of the generated artifact.
@end deffn
-@geindex ghdl command line option; --time-resolution=<UNIT>
-@anchor{using/InvokingGHDL cmdoption-ghdl-time-resolution}@anchor{ea}
+@geindex ghdl command line option; --time-resolution
+@anchor{using/InvokingGHDL cmdoption-ghdl-time-resolution}@anchor{f0}
@deffn {Option} @w{-}@w{-}time@w{-}resolution=<UNIT>
@cartouche
@@ -3353,7 +3405,7 @@ It is not possible to support it with either LLVM or GCC backends, because it ne
@end cartouche
Set the base time resolution of the simulation.
-This option is supported in commands @ref{be,,-a} and @ref{8d,,-r} only.
+This option is supported in commands @ref{c4,,-a} and @ref{93,,-r} only.
Allowed values are @code{auto} (default), @code{fs}, @code{ps}, @code{ns}, @code{us}, @code{ms} or @code{sec}.
With LLVM/GCC, the value is fixed to @code{fs}.
@@ -3366,7 +3418,7 @@ Using units below the resolution will produce a failure.
@end deffn
@node Warnings,Diagnostics Control,Options,Invoking GHDL
-@anchor{using/InvokingGHDL warnings}@anchor{eb}
+@anchor{using/InvokingGHDL warnings}@anchor{f1}
@section Warnings
@@ -3388,23 +3440,23 @@ by default.
@end cartouche
@geindex ghdl command line option; --warn-library
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-library}@anchor{ec}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-library}@anchor{f2}
@deffn {Option} @w{-}@w{-}warn@w{-}library
Warns if a design unit replaces another design unit with the same name.
@end deffn
@geindex ghdl command line option; --warn-default-binding
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-default-binding}@anchor{ed}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-default-binding}@anchor{f3}
@deffn {Option} @w{-}@w{-}warn@w{-}default@w{-}binding
During analyze, warns if a component instantiation has neither configuration specification nor default binding.
This may be useful if you want to detect during analyze possibly unbound components if you don’t use configuration.
-See section @ref{81,,VHDL standards} for more details about default binding rules.
+See section @ref{87,,VHDL standards} for more details about default binding rules.
@end deffn
@geindex ghdl command line option; --warn-binding
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-binding}@anchor{ee}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-binding}@anchor{f4}
@deffn {Option} @w{-}@w{-}warn@w{-}binding
During elaboration, warns if a component instantiation is not bound (and not explicitly left unbound).
@@ -3418,28 +3470,28 @@ you will certainly get warnings.
@end deffn
@geindex ghdl command line option; --warn-reserved
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-reserved}@anchor{ef}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-reserved}@anchor{f5}
@deffn {Option} @w{-}@w{-}warn@w{-}reserved
Emit a warning if an identifier is a reserved word in a later VHDL standard.
@end deffn
@geindex ghdl command line option; --warn-nested-comment
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-nested-comment}@anchor{f0}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-nested-comment}@anchor{f6}
@deffn {Option} @w{-}@w{-}warn@w{-}nested@w{-}comment
Emit a warning if a @code{/*} appears within a block comment (vhdl 2008).
@end deffn
@geindex ghdl command line option; --warn-parenthesis
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-parenthesis}@anchor{f1}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-parenthesis}@anchor{f7}
@deffn {Option} @w{-}@w{-}warn@w{-}parenthesis
Emit a warning in case of weird use of parentheses.
@end deffn
@geindex ghdl command line option; --warn-vital-generic
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-vital-generic}@anchor{f2}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-vital-generic}@anchor{f8}
@deffn {Option} @w{-}@w{-}warn@w{-}vital@w{-}generic
Warns if a generic name of a vital entity is not a vital generic name. This
@@ -3447,7 +3499,7 @@ is set by default.
@end deffn
@geindex ghdl command line option; --warn-delayed-checks
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-delayed-checks}@anchor{f3}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-delayed-checks}@anchor{f9}
@deffn {Option} @w{-}@w{-}warn@w{-}delayed@w{-}checks
Warns for checks that cannot be done during analysis time and are postponed to elaboration time.
@@ -3459,7 +3511,7 @@ function.
@end deffn
@geindex ghdl command line option; --warn-body
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-body}@anchor{f4}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-body}@anchor{fa}
@deffn {Option} @w{-}@w{-}warn@w{-}body
Emit a warning if a package body which is not required is analyzed. If a package does not declare a subprogram or a
@@ -3467,92 +3519,92 @@ deferred constant, the package does not require a body.
@end deffn
@geindex ghdl command line option; --warn-specs
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-specs}@anchor{f5}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-specs}@anchor{fb}
@deffn {Option} @w{-}@w{-}warn@w{-}specs
Emit a warning if an all or others specification does not apply.
@end deffn
@geindex ghdl command line option; --warn-runtime-error
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-runtime-error}@anchor{f6}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-runtime-error}@anchor{fc}
@deffn {Option} @w{-}@w{-}warn@w{-}runtime@w{-}error
Emit a warning in case of runtime error that is detected during analysis.
@end deffn
@geindex ghdl command line option; --warn-shared
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-shared}@anchor{f7}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-shared}@anchor{fd}
@deffn {Option} @w{-}@w{-}warn@w{-}shared
Emit a warning when a shared variable is declared and its type it not a protected type.
@end deffn
@geindex ghdl command line option; --warn-hide
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-hide}@anchor{f8}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-hide}@anchor{fe}
@deffn {Option} @w{-}@w{-}warn@w{-}hide
Emit a warning when a declaration hides a previous hide.
@end deffn
@geindex ghdl command line option; --warn-unused
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-unused}@anchor{f9}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-unused}@anchor{ff}
@deffn {Option} @w{-}@w{-}warn@w{-}unused
Emit a warning when a subprogram is never used.
@end deffn
@geindex ghdl command line option; --warn-others
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-others}@anchor{fa}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-others}@anchor{100}
@deffn {Option} @w{-}@w{-}warn@w{-}others
Emit a warning is an @cite{others} choice is not required because all the choices have been explicitly covered.
@end deffn
@geindex ghdl command line option; --warn-pure
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-pure}@anchor{fb}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-pure}@anchor{101}
@deffn {Option} @w{-}@w{-}warn@w{-}pure
Emit a warning when a pure rules is violated (like declaring a pure function with access parameters).
@end deffn
@geindex ghdl command line option; --warn-static
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-static}@anchor{fc}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-static}@anchor{102}
@deffn {Option} @w{-}@w{-}warn@w{-}static
Emit a warning when a non-static expression is used at a place where the standard requires a static expression.
@end deffn
@geindex ghdl command line option; --warn-error
-@anchor{using/InvokingGHDL cmdoption-ghdl-warn-error}@anchor{fd}
+@anchor{using/InvokingGHDL cmdoption-ghdl-warn-error}@anchor{103}
@deffn {Option} @w{-}@w{-}warn@w{-}error
When this option is set, warnings are considered as errors.
@end deffn
@node Diagnostics Control,Library commands,Warnings,Invoking GHDL
-@anchor{using/InvokingGHDL diagnostics-control}@anchor{fe}
+@anchor{using/InvokingGHDL diagnostics-control}@anchor{104}
@section Diagnostics Control
@geindex ghdl command line option; -fcolor-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fcolor-diagnostics}@anchor{ff}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fcolor-diagnostics}@anchor{105}
@deffn {Option} @w{-}fcolor@w{-}diagnostics
@end deffn
@geindex ghdl command line option; -fno-color-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fno-color-diagnostics}@anchor{100}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-color-diagnostics}@anchor{106}
@deffn {Option} @w{-}fno@w{-}color@w{-}diagnostics
Control whether diagnostic messages are displayed in color. The default is on when the standard output is a terminal.
@end deffn
@geindex ghdl command line option; -fdiagnostics-show-option
-@anchor{using/InvokingGHDL cmdoption-ghdl-fdiagnostics-show-option}@anchor{101}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fdiagnostics-show-option}@anchor{107}
@deffn {Option} @w{-}fdiagnostics@w{-}show@w{-}option
@end deffn
@geindex ghdl command line option; -fno-diagnostics-show-option
-@anchor{using/InvokingGHDL cmdoption-ghdl-fno-diagnostics-show-option}@anchor{102}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-diagnostics-show-option}@anchor{108}
@deffn {Option} @w{-}fno@w{-}diagnostics@w{-}show@w{-}option
Control whether the warning option is displayed at the end of warning messages, so that the user can easily know how
@@ -3560,48 +3612,48 @@ to disable it.
@end deffn
@geindex ghdl command line option; -fcaret-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fcaret-diagnostics}@anchor{103}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fcaret-diagnostics}@anchor{109}
@deffn {Option} @w{-}fcaret@w{-}diagnostics
@end deffn
@geindex ghdl command line option; -fno-caret-diagnostics
-@anchor{using/InvokingGHDL cmdoption-ghdl-fno-caret-diagnostics}@anchor{104}
+@anchor{using/InvokingGHDL cmdoption-ghdl-fno-caret-diagnostics}@anchor{10a}
@deffn {Option} @w{-}fno@w{-}caret@w{-}diagnostics
Control whether the source line of the error is displayed with a caret indicating the column of the error.
@end deffn
@node Library commands,VPI/VHPI build commands,Diagnostics Control,Invoking GHDL
-@anchor{using/InvokingGHDL library-commands}@anchor{105}
+@anchor{using/InvokingGHDL library-commands}@anchor{10b}
@section Library commands
-@anchor{using/InvokingGHDL create-a-library}@anchor{106}
+@anchor{using/InvokingGHDL create-a-library}@anchor{10c}
@geindex create your own library
A new library is created implicitly, by compiling entities (packages etc.) into it:
@code{ghdl -a --work=my_custom_lib my_file.vhdl}.
A library’s source code is usually stored and compiled into its own directory, that you specify with the
-@ref{9d,,--workdir} option:
+@ref{a3,,--workdir} option:
@code{ghdl -a --work=my_custom_lib --workdir=my_custom_libdir my_custom_lib_srcdir/my_file.vhdl}.
-See also the @ref{87,,-P} command line option.
+See also the @ref{8d,,-P} command line option.
Furthermore, GHDL provides a few commands which act on a library:
@geindex cmd library directory
-@geindex ghdl command line option; --dir <[options...] [libs]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-dir}@anchor{107}
+@geindex ghdl command line option; --dir
+@anchor{using/InvokingGHDL cmdoption-ghdl-dir}@anchor{10d}
@deffn {Option} @w{-}@w{-}dir <[options...] [libs]>
@end deffn
Displays the content of the design libraries (by default the @code{work} library).
-All options are allowed, but only a few are meaningful: @ref{86,,--work}, @ref{9d,,--workdir} and @ref{82,,--std}.
+All options are allowed, but only a few are meaningful: @ref{8c,,--work}, @ref{a3,,--workdir} and @ref{88,,--std}.
@geindex cmd library clean
-@anchor{using/InvokingGHDL clean-command}@anchor{a1}
-@geindex ghdl command line option; --clean <[options...]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-clean}@anchor{108}
+@anchor{using/InvokingGHDL clean-command}@anchor{a7}
+@geindex ghdl command line option; --clean
+@anchor{using/InvokingGHDL cmdoption-ghdl-clean}@anchor{10e}
@deffn {Option} @w{-}@w{-}clean <[options...]>
@end deffn
@@ -3610,9 +3662,9 @@ Source files are not removed.
The library is kept.
@geindex cmd library remove
-@anchor{using/InvokingGHDL remove-command}@anchor{a2}
-@geindex ghdl command line option; --remove <[options...]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-remove}@anchor{109}
+@anchor{using/InvokingGHDL remove-command}@anchor{a8}
+@geindex ghdl command line option; --remove
+@anchor{using/InvokingGHDL cmdoption-ghdl-remove}@anchor{10f}
@deffn {Option} @w{-}@w{-}remove <[options...]>
@end deffn
@@ -3621,8 +3673,8 @@ Note that after removing a design library, the files are not known anymore by GH
@geindex cmd library copy
-@geindex ghdl command line option; --copy <--work=name [options...]>
-@anchor{using/InvokingGHDL cmdoption-ghdl-copy}@anchor{10a}
+@geindex ghdl command line option; --copy
+@anchor{using/InvokingGHDL cmdoption-ghdl-copy}@anchor{110}
@deffn {Option} @w{-}@w{-}copy <@w{-}@w{-}work=name [options...]>
@end deffn
@@ -3635,7 +3687,7 @@ ghdl -a --work=ieee numeric_unsigned.vhd
@end example
@node VPI/VHPI build commands,IEEE library pitfalls,Library commands,Invoking GHDL
-@anchor{using/InvokingGHDL vpi-build-commands}@anchor{10b}@anchor{using/InvokingGHDL vpi-vhpi-build-commands}@anchor{10c}
+@anchor{using/InvokingGHDL vpi-build-commands}@anchor{111}@anchor{using/InvokingGHDL vpi-vhpi-build-commands}@anchor{112}
@section VPI/VHPI build commands
@@ -3646,8 +3698,8 @@ The only option is @cite{-v} which displays the command before its execution.
@geindex cmd VPI compile
-@geindex ghdl command line option; --vpi-compile <command>
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-compile}@anchor{10d}
+@geindex ghdl command line option; --vpi-compile
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-compile}@anchor{113}
@deffn {Option} @w{-}@w{-}vpi@w{-}compile <command>
@end deffn
@@ -3664,11 +3716,11 @@ command -Ixxx/include
@end example
For example, @code{ghdl --vpi-compile gcc -c vpi1.c} executes @code{gcc -c vpi1.c -fPIC -Ixxx/include}.
-@anchor{using/InvokingGHDL vpi-link-command}@anchor{10e}
+@anchor{using/InvokingGHDL vpi-link-command}@anchor{114}
@geindex cmd VPI link
-@geindex ghdl command line option; --vpi-link <command>
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-link}@anchor{10f}
+@geindex ghdl command line option; --vpi-link
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-link}@anchor{115}
@deffn {Option} @w{-}@w{-}vpi@w{-}link <command>
@end deffn
@@ -3685,29 +3737,29 @@ command -Lxxx/lib -lghdlvpi
@end example
For example, @code{ghdl --vpi-link gcc -o vpi1.vpi vpi1.o} executes @code{gcc -o vpi1.vpi vpi1.o --shared -Lxxx/lib -lghdlvpi}.
-@anchor{using/InvokingGHDL vpi-cflags-command}@anchor{110}
+@anchor{using/InvokingGHDL vpi-cflags-command}@anchor{116}
@geindex cmd VPI cflags
@geindex ghdl command line option; --vpi-cflags
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-cflags}@anchor{111}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-cflags}@anchor{117}
@deffn {Option} @w{-}@w{-}vpi@w{-}cflags
@end deffn
-Display flags added by @ref{10d,,--vpi-compile}.
+Display flags added by @ref{113,,--vpi-compile}.
@geindex cmd VPI ldflags
@geindex ghdl command line option; --vpi-ldflags
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-ldflags}@anchor{112}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-ldflags}@anchor{118}
@deffn {Option} @w{-}@w{-}vpi@w{-}ldflags
@end deffn
-Display flags added by @ref{10f,,--vpi-link}.
+Display flags added by @ref{115,,--vpi-link}.
@geindex cmd VPI include dir
@geindex ghdl command line option; --vpi-include-dir
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-include-dir}@anchor{113}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-include-dir}@anchor{119}
@deffn {Option} @w{-}@w{-}vpi@w{-}include@w{-}dir
@end deffn
@@ -3716,25 +3768,25 @@ Display the include directory added by the compile flags.
@geindex cmd VPI library dir
@geindex ghdl command line option; --vpi-library-dir
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir}@anchor{114}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir}@anchor{11a}
@deffn {Option} @w{-}@w{-}vpi@w{-}library@w{-}dir
@end deffn
Display the library directory added by the link flags.
@geindex ghdl command line option; --vpi-library-dir-unix
-@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir-unix}@anchor{115}
+@anchor{using/InvokingGHDL cmdoption-ghdl-vpi-library-dir-unix}@anchor{11b}
@deffn {Option} @w{-}@w{-}vpi@w{-}library@w{-}dir@w{-}unix
@end deffn
Display the library directory added by the link flags, forcing UNIX syntax.
@node IEEE library pitfalls,,VPI/VHPI build commands,Invoking GHDL
-@anchor{using/InvokingGHDL id1}@anchor{116}@anchor{using/InvokingGHDL ieee-library-pitfalls}@anchor{67}
+@anchor{using/InvokingGHDL id1}@anchor{11c}@anchor{using/InvokingGHDL ieee-library-pitfalls}@anchor{6d}
@section IEEE library pitfalls
-When you use options @ref{83,,--ieee=synopsys}, the @code{ieee} library contains non standard packages such as
+When you use options @ref{89,,--ieee=synopsys}, the @code{ieee} library contains non standard packages such as
@code{std_logic_arith}.
These packages are not standard because there are not described by an IEEE standard, even if they have been put in the
@cite{IEEE} library.
@@ -3800,7 +3852,7 @@ With some analysers, the explicit declaration has priority over the implicit dec
analyzed without error.
However, this is not the rule given by the VHDL LRM, and since GHDL follows these rules, it emits an error.
-You can force GHDL to use this rule with the @emph{-fexplicit} option (see @ref{bf,,Options} for further details).
+You can force GHDL to use this rule with the @emph{-fexplicit} option (see @ref{c5,,Options} for further details).
However it is easy to fix this error, by using a selected name:
@example
@@ -3866,7 +3918,7 @@ The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provide
@end quotation
@end cartouche
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -3887,7 +3939,7 @@ The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provide
@c # define a hard line break for HTML
@node Simulation runtime,Synthesis,Invoking GHDL,Top
-@anchor{using/Simulation doc}@anchor{117}@anchor{using/Simulation simulation-runtime}@anchor{118}@anchor{using/Simulation using-simulation}@anchor{c4}
+@anchor{using/Simulation doc}@anchor{11d}@anchor{using/Simulation simulation-runtime}@anchor{11e}@anchor{using/Simulation using-simulation}@anchor{ca}
@chapter Simulation (runtime)
@@ -3899,7 +3951,7 @@ The @code{ieee} math packages (@code{math_real} and @code{math_complex}) provide
@end menu
@node Simulation options,Export waveforms,,Simulation runtime
-@anchor{using/Simulation id1}@anchor{119}@anchor{using/Simulation simulation-options}@anchor{9a}
+@anchor{using/Simulation id1}@anchor{11f}@anchor{using/Simulation simulation-options}@anchor{a0}
@section Simulation options
@@ -3923,17 +3975,17 @@ completes, or @code{EXIT_FAILURE} (@code{1}) in case of error (assertion
failure, overflow or any constraint error).
@end itemize
-Here is the list of the most useful options. For further info, see @ref{11a,,Debugging}.
+Here is the list of the most useful options. For further info, see @ref{120,,Debugging}.
@cartouche
@quotation Hint
Note that these arguments are represented as @code{simulation_options...} in this documentation.
-For analysis/elaboration options, see @ref{5f,,Invoking GHDL}.
+For analysis/elaboration options, see @ref{65,,Invoking GHDL}.
@end quotation
@end cartouche
-@geindex ghdl command line option; -gGENERIC=VALUE
-@anchor{using/Simulation cmdoption-ghdl-gGENERIC}@anchor{11b}@anchor{using/Simulation cmdoption-ghdl-ggeneric}@anchor{11c}
+@geindex ghdl command line option; -gGENERIC
+@anchor{using/Simulation cmdoption-ghdl-gGENERIC}@anchor{121}@anchor{using/Simulation cmdoption-ghdl-ggeneric}@anchor{122}
@deffn {Option} @w{-}gGENERIC=VALUE
Set value @cite{VALUE} to generic with name @cite{GENERIC}.
@@ -3950,7 +4002,7 @@ This is currently a run option; but in the (not near) future it might be depreca
become an elaboration option only. As a result, now you can generate a single binary and
execute it multiple times with different arguments. That might not be possible in the future.
-As explained in @ref{8c,,-e}, performing a complete elaboration in terms of the LRM requires
+As explained in @ref{92,,-e}, performing a complete elaboration in terms of the LRM requires
to get rid of the compile and link model. This is mostly because delaying certain elaboration
steps to the runtime prevents elaboration-time optimisions.
@end quotation
@@ -3963,8 +4015,8 @@ Currently, GHDL has limited support for generic types in the CLI. It is suggeste
@end cartouche
@end deffn
-@geindex ghdl command line option; --assert-level=<LEVEL>
-@anchor{using/Simulation cmdoption-ghdl-assert-level}@anchor{a0}
+@geindex ghdl command line option; --assert-level
+@anchor{using/Simulation cmdoption-ghdl-assert-level}@anchor{a6}
@deffn {Option} @w{-}@w{-}assert@w{-}level=<LEVEL>
Select the assertion level at which an assertion violation stops the
@@ -3980,12 +4032,12 @@ with severity level @code{warning}, @code{error} or @code{failure} would
stop simulation, but the assertion violation at the @code{note} severity
level would only display a message.
-Option @ref{a0,,--assert-level=none} prevents any
+Option @ref{a6,,--assert-level=none} prevents any
assertion violation from stopping simulation.
@end deffn
-@geindex ghdl command line option; --backtrace-severity=<LEVEL>
-@anchor{using/Simulation cmdoption-ghdl-backtrace-severity}@anchor{11d}
+@geindex ghdl command line option; --backtrace-severity
+@anchor{using/Simulation cmdoption-ghdl-backtrace-severity}@anchor{123}
@deffn {Option} @w{-}@w{-}backtrace@w{-}severity=<LEVEL>
Select the assertion level at which an assertion violation display a
@@ -3996,13 +4048,13 @@ assertions in @code{ieee.numeric_std}) whose location is not very
useful.
@end deffn
-@geindex ghdl command line option; --ieee-asserts=<POLICY>
-@anchor{using/Simulation cmdoption-ghdl-ieee-asserts}@anchor{11e}
+@geindex ghdl command line option; --ieee-asserts
+@anchor{using/Simulation cmdoption-ghdl-ieee-asserts}@anchor{124}
@deffn {Option} @w{-}@w{-}ieee@w{-}asserts=<POLICY>
@end deffn
-@geindex ghdl command line option; --asserts=<POLICY>
-@anchor{using/Simulation cmdoption-ghdl-asserts}@anchor{11f}
+@geindex ghdl command line option; --asserts
+@anchor{using/Simulation cmdoption-ghdl-asserts}@anchor{125}
@deffn {Option} @w{-}@w{-}asserts=<POLICY>
Select how assertions are handled. @cite{POLICY} can be @code{enable} (the
@@ -4019,8 +4071,8 @@ overridden by using the @code{--ieee-asserts} option after the
@code{--asserts} option.
@end deffn
-@geindex ghdl command line option; --stop-time=<TIME>
-@anchor{using/Simulation cmdoption-ghdl-stop-time}@anchor{120}
+@geindex ghdl command line option; --stop-time
+@anchor{using/Simulation cmdoption-ghdl-stop-time}@anchor{126}
@deffn {Option} @w{-}@w{-}stop@w{-}time=<TIME>
Stop the simulation after @code{TIME}. @code{TIME} is expressed as a time
@@ -4035,8 +4087,8 @@ $ ./my_design --stop-time=ps
@end example
@end deffn
-@geindex ghdl command line option; --stop-delta=<N>
-@anchor{using/Simulation cmdoption-ghdl-stop-delta}@anchor{121}
+@geindex ghdl command line option; --stop-delta
+@anchor{using/Simulation cmdoption-ghdl-stop-delta}@anchor{127}
@deffn {Option} @w{-}@w{-}stop@w{-}delta=<N>
Stop the simulation after @cite{N} delta cycles in the same current
@@ -4046,29 +4098,29 @@ time. The default is 5000.
@end deffn
@geindex ghdl command line option; --disp-time
-@anchor{using/Simulation cmdoption-ghdl-disp-time}@anchor{122}
+@anchor{using/Simulation cmdoption-ghdl-disp-time}@anchor{128}
@deffn {Option} @w{-}@w{-}disp@w{-}time
Display the time and delta cycle number as simulation advances.
@end deffn
@geindex ghdl command line option; --unbuffered
-@anchor{using/Simulation cmdoption-ghdl-unbuffered}@anchor{123}
+@anchor{using/Simulation cmdoption-ghdl-unbuffered}@anchor{129}
@deffn {Option} @w{-}@w{-}unbuffered
Disable buffering on stdout, stderr and files opened in write or append mode (TEXTIO).
@end deffn
-@geindex ghdl command line option; --max-stack-alloc=<N>
-@anchor{using/Simulation cmdoption-ghdl-max-stack-alloc}@anchor{124}
+@geindex ghdl command line option; --max-stack-alloc
+@anchor{using/Simulation cmdoption-ghdl-max-stack-alloc}@anchor{12a}
@deffn {Option} @w{-}@w{-}max@w{-}stack@w{-}alloc=<N>
Emit an error message in case of allocation on the stack of an
object larger than @cite{N} KB. Use 0 to disable these checks.
@end deffn
-@geindex ghdl command line option; --sdf=<PATH=FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-sdf}@anchor{125}
+@geindex ghdl command line option; --sdf
+@anchor{using/Simulation cmdoption-ghdl-sdf}@anchor{12b}
@deffn {Option} @w{-}@w{-}sdf=<PATH=FILENAME>
Do VITAL annotation on @cite{PATH} with SDF file @code{FILENAME}.
@@ -4090,11 +4142,11 @@ If the option contains a type of delay, that is @code{min=},
typical or maximum values. If the option does not contain a type of delay,
the annotator uses the typical delay.
-See section @ref{126,,Backannotation}, for more details.
+See section @ref{12c,,Backannotation}, for more details.
@end deffn
-@geindex ghdl command line option; --vpi=<FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-vpi}@anchor{127}
+@geindex ghdl command line option; --vpi
+@anchor{using/Simulation cmdoption-ghdl-vpi}@anchor{12d}
@deffn {Option} @w{-}@w{-}vpi=<FILENAME>
Load VPI library. This option can be used multiple times to load different libraries.
@@ -4109,15 +4161,15 @@ void (*vlog_startup_routines[]) () = @{
@end example
@end deffn
-@geindex ghdl command line option; --vpi-trace[=<FILENAME>]
-@anchor{using/Simulation cmdoption-ghdl-vpi-trace}@anchor{128}
+@geindex ghdl command line option; --vpi-trace
+@anchor{using/Simulation cmdoption-ghdl-vpi-trace}@anchor{12e}
@deffn {Option} @w{-}@w{-}vpi@w{-}trace[=<FILENAME>]
Trace vpi calls. Trace is printed to @code{FILENAME} if provided, otherwise to stdout.
@end deffn
-@geindex ghdl command line option; --vhpi=<FILENAME>[;<ENTRYPOINT>]
-@anchor{using/Simulation cmdoption-ghdl-vhpi}@anchor{129}
+@geindex ghdl command line option; --vhpi
+@anchor{using/Simulation cmdoption-ghdl-vhpi}@anchor{12f}
@deffn {Option} @w{-}@w{-}vhpi=<FILENAME>[:<ENTRYPOINT>]
Load VHPI library. This option can be used multiple times to load different libraries.
@@ -4134,31 +4186,31 @@ void (*vhpi_startup_routines[])() = @{
@end example
@end deffn
-@geindex ghdl command line option; --vhpi-trace[=<FILENAME>]
-@anchor{using/Simulation cmdoption-ghdl-vhpi-trace}@anchor{12a}
+@geindex ghdl command line option; --vhpi-trace
+@anchor{using/Simulation cmdoption-ghdl-vhpi-trace}@anchor{130}
@deffn {Option} @w{-}@w{-}vhpi@w{-}trace[=<FILENAME>]
Trace vhpi calls. Trace is printed to @code{FILENAME} if provided, otherwise to stdout.
@end deffn
@geindex ghdl command line option; --help
-@anchor{using/Simulation cmdoption-ghdl-help}@anchor{12b}
+@anchor{using/Simulation cmdoption-ghdl-help}@anchor{131}
@deffn {Option} @w{-}@w{-}help
Display a short description of the options accepted by the runtime library.
@end deffn
@geindex ghdl command line option; --no-run
-@anchor{using/Simulation cmdoption-ghdl-no-run}@anchor{c2}
+@anchor{using/Simulation cmdoption-ghdl-no-run}@anchor{c8}
@deffn {Option} @w{-}@w{-}no@w{-}run
-Stop the simulation before the first cycle. This option actually elaborates the design, so it will catch any bound error in port maps. See also @ref{8c,,-e}.
+Stop the simulation before the first cycle. This option actually elaborates the design, so it will catch any bound error in port maps. See also @ref{92,,-e}.
-This may be used with @ref{12c,,--disp-tree} to display the tree without simulating the whole design.
+This may be used with @ref{132,,--disp-tree} to display the tree without simulating the whole design.
@end deffn
@node Export waveforms,Export hierarchy and references,Simulation options,Simulation runtime
-@anchor{using/Simulation export-waveforms}@anchor{12d}@anchor{using/Simulation export-waves}@anchor{91}
+@anchor{using/Simulation export-waveforms}@anchor{133}@anchor{using/Simulation export-waves}@anchor{97}
@section Export waveforms
@@ -4168,8 +4220,8 @@ All the waveform formats supported by GHDL are also supported by GTKWave@footnot
@end quotation
@end cartouche
-@geindex ghdl command line option; --read-wave-opt=<FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-read-wave-opt}@anchor{12e}
+@geindex ghdl command line option; --read-wave-opt
+@anchor{using/Simulation cmdoption-ghdl-read-wave-opt}@anchor{134}
@deffn {Option} @w{-}@w{-}read@w{-}wave@w{-}opt=<FILENAME>
Filter signals to be dumped to the wave file according to the wave option file provided.
@@ -4205,21 +4257,21 @@ my_pkg.global_signal_a
@end example
@end deffn
-@geindex ghdl command line option; --write-wave-opt=<FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-write-wave-opt}@anchor{12f}
+@geindex ghdl command line option; --write-wave-opt
+@anchor{using/Simulation cmdoption-ghdl-write-wave-opt}@anchor{135}
@deffn {Option} @w{-}@w{-}write@w{-}wave@w{-}opt=<FILENAME>
If the wave option file doesn’t exist, creates it with all the signals of the design.
Otherwise throws an error, because it won’t erase an existing file.
@end deffn
-@geindex ghdl command line option; --vcd=<FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-vcd}@anchor{93}
+@geindex ghdl command line option; --vcd
+@anchor{using/Simulation cmdoption-ghdl-vcd}@anchor{99}
@deffn {Option} @w{-}@w{-}vcd=<FILENAME>
@end deffn
-@geindex ghdl command line option; --vcdgz=<FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-vcdgz}@anchor{94}
+@geindex ghdl command line option; --vcdgz
+@anchor{using/Simulation cmdoption-ghdl-vcdgz}@anchor{9a}
@deffn {Option} @w{-}@w{-}vcdgz=<FILENAME>
@geindex vcd
@@ -4228,10 +4280,10 @@ Otherwise throws an error, because it won’t erase an existing file.
@geindex dump of signals
-Option @ref{93,,--vcd} dumps into the VCD file @cite{FILENAME} the signal values before each non-delta cycle.
+Option @ref{99,,--vcd} dumps into the VCD file @cite{FILENAME} the signal values before each non-delta cycle.
If @cite{FILENAME} is @code{-}, then the standard output is used, otherwise a file is created or overwritten.
-The @ref{94,,--vcdgz} option is the same as the @ref{93,,--vcd} option, but the output is compressed using the @cite{zlib}
+The @ref{9a,,--vcdgz} option is the same as the @ref{99,,--vcd} option, but the output is compressed using the @cite{zlib}
(@cite{gzip} compression).
However, you can’t use the @code{-} filename.
Furthermore, only one VCD file can be written.
@@ -4283,41 +4335,51 @@ any integer type
@cartouche
@quotation Note
It is very unfortunate there is no standard or well-known wave file format supporting VHDL types.
-If you are aware of such a free format, please @ref{64,,let us know}!
+If you are aware of such a free format, please @ref{6a,,let us know}!
@end quotation
@end cartouche
@end deffn
@geindex ghdl command line option; --vcd-nodate
-@anchor{using/Simulation cmdoption-ghdl-vcd-nodate}@anchor{130}
+@anchor{using/Simulation cmdoption-ghdl-vcd-nodate}@anchor{136}
@deffn {Option} @w{-}@w{-}vcd@w{-}nodate
Do not write date in the VCD file.
@end deffn
-@geindex ghdl command line option; --fst=<FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-fst}@anchor{95}
+@geindex ghdl command line option; --vcd-4states
+@anchor{using/Simulation cmdoption-ghdl-vcd-4states}@anchor{137}
+@deffn {Option} @w{-}@w{-}vcd@w{-}4states
+
+Only use the verilog states @code{0/1/x/z} to represent @code{std_ulogic}
+values. The VCD file produced should be fully compatible with any
+VCD reader. The default is to write @code{std_ulogic} as they are (so
+keeping states @code{U/W/L/H/-}), which is supported by several VCD readers.
+@end deffn
+
+@geindex ghdl command line option; --fst
+@anchor{using/Simulation cmdoption-ghdl-fst}@anchor{9b}
@deffn {Option} @w{-}@w{-}fst=<FILENAME>
Write the waveforms into an @cite{fst} file.
The @cite{fst} files are much smaller than VCD or @cite{GHW} files, but it handles only the same signals as the VCD format.
@end deffn
-@geindex ghdl command line option; --wave=<FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-wave}@anchor{92}
+@geindex ghdl command line option; --wave
+@anchor{using/Simulation cmdoption-ghdl-wave}@anchor{98}
@deffn {Option} @w{-}@w{-}wave=<FILENAME>
-Write the waveforms into a @ref{131,,GHDL Waveform (GHW)} file.
+Write the waveforms into a @ref{4a,,GHDL Waveform (GHW)} file.
Contrary to VCD files, any VHDL type can be dumped into a GHW file.
@end deffn
@node Export hierarchy and references,,Export waveforms,Simulation runtime
-@anchor{using/Simulation export-hierarchy-and-references}@anchor{132}
+@anchor{using/Simulation export-hierarchy-and-references}@anchor{138}
@section Export hierarchy and references
-@geindex ghdl command line option; --disp-tree=<KIND>
-@anchor{using/Simulation cmdoption-ghdl-disp-tree}@anchor{12c}
+@geindex ghdl command line option; --disp-tree
+@anchor{using/Simulation cmdoption-ghdl-disp-tree}@anchor{132}
@deffn {Option} @w{-}@w{-}disp@w{-}tree=<KIND>
@geindex display design hierarchy
@@ -4344,8 +4406,8 @@ If @cite{KIND} is not specified, the hierarchy is displayed with the @code{port}
@end itemize
@end deffn
-@geindex ghdl command line option; --xref-html [options] files...
-@anchor{using/Simulation cmdoption-ghdl-xref-html}@anchor{e2}
+@geindex ghdl command line option; --xref-html
+@anchor{using/Simulation cmdoption-ghdl-xref-html}@anchor{e8}
@deffn {Option} @w{-}@w{-}xref@w{-}html [options] files...
To easily navigate through your sources, you may generate cross-references.
@@ -4355,11 +4417,11 @@ An index of the files is created too.
The set of @code{files} are analyzed, and then, if the analysis is successful, html files are generated in the directory
specified by the @code{-o <DIR>} option, or @code{html/} directory by default.
-The style of the html file can be modified with the @ref{e0,,--format} option.
+The style of the html file can be modified with the @ref{e6,,--format} option.
@end deffn
-@geindex ghdl command line option; --psl-report=<FILENAME>
-@anchor{using/Simulation cmdoption-ghdl-psl-report}@anchor{133}
+@geindex ghdl command line option; --psl-report
+@anchor{using/Simulation cmdoption-ghdl-psl-report}@anchor{139}
@deffn {Option} @w{-}@w{-}psl@w{-}report=<FILENAME>
Write a report for PSL at the end of simulation.
@@ -4368,13 +4430,13 @@ The file is written using the JSON format, but is still human readable.
@end deffn
@geindex ghdl command line option; --psl-report-uncovered
-@anchor{using/Simulation cmdoption-ghdl-psl-report-uncovered}@anchor{134}
+@anchor{using/Simulation cmdoption-ghdl-psl-report-uncovered}@anchor{13a}
@deffn {Option} @w{-}@w{-}psl@w{-}report@w{-}uncovered
Reports warning for each uncovered PSL cover point when simulation ends.
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -4395,14 +4457,14 @@ Reports warning for each uncovered PSL cover point when simulation ends.
@c # define a hard line break for HTML
@node Synthesis,Additional Command Reference,Simulation runtime,Top
-@anchor{using/Synthesis doc}@anchor{135}@anchor{using/Synthesis synthesis}@anchor{136}@anchor{using/Synthesis using-synthesis}@anchor{44}
+@anchor{using/Synthesis doc}@anchor{13b}@anchor{using/Synthesis synthesis}@anchor{13c}@anchor{using/Synthesis using-synthesis}@anchor{49}
@chapter Synthesis
@cartouche
@quotation Warning
This is experimental and work in progress! If you find crashes or unsupported features, please
-@ref{64,,report them}!
+@ref{6a,,report them}!
@end quotation
@end cartouche
@@ -4421,7 +4483,7 @@ Hence, the netlists generated by GHDL are not optimised.
@end menu
@node Synthesis [--synth],Synthesis options,,Synthesis
-@anchor{using/Synthesis synth-command}@anchor{137}@anchor{using/Synthesis synthesis-synth}@anchor{138}
+@anchor{using/Synthesis synth-command}@anchor{13d}@anchor{using/Synthesis synthesis-synth}@anchor{13e}
@section Synthesis [@code{--synth}]
@@ -4433,23 +4495,23 @@ using a subset of VHDL 1993, GHDL’s synthesis features can be used as a prepro
versions of the standard, but which don’t provide the most recent features.
Currently, the default output is a generic netlist using a (very simple) subset of VHDL 1993.
-See @ref{139,,--out} and #1174@footnote{https://github.com/ghdl/ghdl/issues/1174} for on-going discussion about other output formats.
+See @ref{13f,,--out} and #1174@footnote{https://github.com/ghdl/ghdl/issues/1174} for on-going discussion about other output formats.
-@geindex ghdl command line option; --synth <[options...] [library.]top_unit [arch]>
-@anchor{using/Synthesis cmdoption-ghdl-synth}@anchor{13a}
+@geindex ghdl command line option; --synth
+@anchor{using/Synthesis cmdoption-ghdl-synth}@anchor{140}
@deffn {Option} @w{-}@w{-}synth <[options...] [library.]top_unit [arch]>
Elaborates for synthesis the design whose top unit is indicated by @code{[library.]top_unit [arch]}.
@cartouche
@quotation Attention
-All the units must have been analyzed; that is, the artifacts of previously executed @ref{be,,-a} calls must exist.
+All the units must have been analyzed; that is, the artifacts of previously executed @ref{c4,,-a} calls must exist.
@end quotation
@end cartouche
@end deffn
-@geindex ghdl command line option; --synth <[options...] file... -e [top_unit [arch]]>
-@anchor{using/Synthesis cmdoption-ghdl-0}@anchor{65}
+@geindex ghdl command line option; --synth
+@anchor{using/Synthesis cmdoption-ghdl-0}@anchor{6b}
@deffn {Option} @w{-}@w{-}synth <[options...] file... @w{-}e [top_unit [arch]]>
Analyses and elaborates for synthesis the files present on the command line only.
@@ -4468,7 +4530,7 @@ In corner cases, a filename might exist which matches the name of a primary unit
@end deffn
@node Synthesis options,Yosys plugin,Synthesis [--synth],Synthesis
-@anchor{using/Synthesis id1}@anchor{13b}@anchor{using/Synthesis synthesis-options}@anchor{13c}
+@anchor{using/Synthesis id1}@anchor{141}@anchor{using/Synthesis synthesis-options}@anchor{142}
@section Synthesis options
@@ -4495,10 +4557,10 @@ For example:
@end quotation
@end cartouche
-Due to GHDL’s modular architecture (see @ref{13d,,Overview}), the synthesis kernel shares the VHDL parsing front-end
+Due to GHDL’s modular architecture (see @ref{143,,Overview}), the synthesis kernel shares the VHDL parsing front-end
with the simulation back-ends.
Hence, available options for synthesis are the same as for analysis and/or simulation elaboration
-(see @ref{bf,,Options}).
+(see @ref{c5,,Options}).
In addition to those options, there are some synthesis specific options.
@cartouche
@@ -4510,12 +4572,12 @@ You can find them in the file ghdlsynth.adb@footnote{https://github.com/ghdl/ghd
@end quotation
@end cartouche
-@geindex ghdl command line option; -gNAME=VALUE
-@anchor{using/Synthesis cmdoption-ghdl-gNAME}@anchor{13e}@anchor{using/Synthesis cmdoption-ghdl-gname}@anchor{13f}
+@geindex ghdl command line option; -gNAME
+@anchor{using/Synthesis cmdoption-ghdl-gNAME}@anchor{144}@anchor{using/Synthesis cmdoption-ghdl-gname}@anchor{145}
@deffn {Option} @w{-}gNAME=VALUE
Override top unit generic @cite{NAME} with value @cite{VALUE}.
-Similar to the run-time option @ref{11b,,-gGENERIC}.
+Similar to the run-time option @ref{121,,-gGENERIC}.
Example:
@@ -4524,8 +4586,8 @@ $ ghdl --synth --std=08 -gDEPTH=12 [library.]top_unit [arch]
@end example
@end deffn
-@geindex ghdl command line option; --out=<vhdl|raw-vhdl|verilog|dot|none|raw|dump>
-@anchor{using/Synthesis cmdoption-ghdl-out}@anchor{139}
+@geindex ghdl command line option; --out
+@anchor{using/Synthesis cmdoption-ghdl-out}@anchor{13f}
@deffn {Option} @w{-}@w{-}out=<vhdl|raw@w{-}vhdl|verilog|dot|none|raw|dump>
@@ -4557,8 +4619,8 @@ without modern VHDL support.
@end itemize
@end deffn
-@geindex ghdl command line option; --vendor-library=NAME
-@anchor{using/Synthesis cmdoption-ghdl-vendor-library}@anchor{140}
+@geindex ghdl command line option; --vendor-library
+@anchor{using/Synthesis cmdoption-ghdl-vendor-library}@anchor{146}
@deffn {Option} @w{-}@w{-}vendor@w{-}library=NAME
Any unit from library NAME is a black box.
@@ -4576,12 +4638,12 @@ $ ghdl --synth --std=08 --vendor-library=vendorlib [library.]top_unit [arch]
@end menu
@node Assertions PSL and formal verification,,,Synthesis options
-@anchor{using/Synthesis assertions-psl-and-formal-verification}@anchor{141}
+@anchor{using/Synthesis assertions-psl-and-formal-verification}@anchor{147}
@subsection Assertions, PSL and formal verification
@geindex ghdl command line option; --no-formal
-@anchor{using/Synthesis cmdoption-ghdl-no-formal}@anchor{142}
+@anchor{using/Synthesis cmdoption-ghdl-no-formal}@anchor{148}
@deffn {Option} @w{-}@w{-}no@w{-}formal
Neither synthesize assert nor PSL.
@@ -4594,7 +4656,7 @@ $ ghdl --synth --std=08 --no-formal [library.]top_unit [arch]
@end deffn
@geindex ghdl command line option; --no-assert-cover
-@anchor{using/Synthesis cmdoption-ghdl-no-assert-cover}@anchor{143}
+@anchor{using/Synthesis cmdoption-ghdl-no-assert-cover}@anchor{149}
@deffn {Option} @w{-}@w{-}no@w{-}assert@w{-}cover
Disable automatic cover PSL assertion activation. If this option isn’t used, GHDL generates
@@ -4608,7 +4670,7 @@ $ ghdl --synth --std=08 --no-assert-cover [library.]top_unit [arch]
@end deffn
@geindex ghdl command line option; --assert-assumes
-@anchor{using/Synthesis cmdoption-ghdl-assert-assumes}@anchor{144}
+@anchor{using/Synthesis cmdoption-ghdl-assert-assumes}@anchor{14a}
@deffn {Option} @w{-}@w{-}assert@w{-}assumes
Treat all PSL asserts like PSL assumes. If this option is used, GHDL generates an @cite{assume} directive
@@ -4622,11 +4684,11 @@ $ ghdl --synth --std=08 --assert-assumes [library.]top_unit [arch]
@end example
As all PSL asserts are treated like PSL assumes, no @cite{cover} directives are automatically generated for them,
-regardless of using the @ref{143,,--no-assert-cover} or not.
+regardless of using the @ref{149,,--no-assert-cover} or not.
@end deffn
@geindex ghdl command line option; --assume-asserts
-@anchor{using/Synthesis cmdoption-ghdl-assume-asserts}@anchor{145}
+@anchor{using/Synthesis cmdoption-ghdl-assume-asserts}@anchor{14b}
@deffn {Option} @w{-}@w{-}assume@w{-}asserts
Treat all PSL assumes like PSL asserts. If this option is used, GHDL generates an @cite{assert} directive
@@ -4640,11 +4702,11 @@ $ ghdl --synth --std=08 --assume-asserts [library.]top_unit [arch]
@end example
@cite{cover} directives are automatically generated for the resulting asserts (with an implication operator)
-if @ref{143,,--no-assert-cover} isn’t used.
+if @ref{149,,--no-assert-cover} isn’t used.
@end deffn
@node Yosys plugin,,Synthesis options,Synthesis
-@anchor{using/Synthesis synth-plugin}@anchor{66}@anchor{using/Synthesis yosys-plugin}@anchor{146}
+@anchor{using/Synthesis synth-plugin}@anchor{6c}@anchor{using/Synthesis yosys-plugin}@anchor{14c}
@section Yosys plugin
@@ -4653,7 +4715,7 @@ Artifacts generated by Yosys can be used in multiple open source and vendor tool
etc. A relevant feature of combining GHDL and Yosys is that mixed-language (VHDL-Verilog) synthesis with open source
tools is possible.
-The command line syntax for this plugin is the same as for @ref{65,,--synth}, except that the command name (@code{--synth})
+The command line syntax for this plugin is the same as for @ref{6b,,--synth}, except that the command name (@code{--synth})
is neither required nor supported.
Instead, @code{yosys}, @code{yosys -m ghdl} or @code{yosys -m path/to/ghdl.so} need to be used, depending of how is the plugin
built.
@@ -4662,8 +4724,8 @@ guidelines.
@cartouche
@quotation Hint
-ghdl-yosys-plugin is a thin layer that converts the internal representation of @ref{65,,--synth} to Yosys’ C API.
-Hence, it is suggested to check the designs with @ref{65,,--synth} before running synthesis with Yosys.
+ghdl-yosys-plugin is a thin layer that converts the internal representation of @ref{6b,,--synth} to Yosys’ C API.
+Hence, it is suggested to check the designs with @ref{6b,,--synth} before running synthesis with Yosys.
@end quotation
@end cartouche
@@ -4673,7 +4735,7 @@ Hence, it is suggested to check the designs with @ref{65,,--synth} before runnin
@end menu
@node Convert V HDL to other formats,,,Yosys plugin
-@anchor{using/Synthesis convert-v-hdl-to-other-formats}@anchor{147}
+@anchor{using/Synthesis convert-v-hdl-to-other-formats}@anchor{14d}
@subsection Convert (V)HDL to other formats
@@ -4698,7 +4760,7 @@ Yosys documentation@footnote{http://www.clifford.at/yosys/documentation.html}.
@end menu
@node To Verilog,To EDIF,,Convert V HDL to other formats
-@anchor{using/Synthesis to-verilog}@anchor{148}
+@anchor{using/Synthesis to-verilog}@anchor{14e}
@subsubsection To Verilog
@@ -4707,7 +4769,7 @@ yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_verilog filename.
@end example
@node To EDIF,To SMT,To Verilog,Convert V HDL to other formats
-@anchor{using/Synthesis to-edif}@anchor{149}
+@anchor{using/Synthesis to-edif}@anchor{14f}
@subsubsection To EDIF
@@ -4716,7 +4778,7 @@ yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_edif filename.edi
@end example
@node To SMT,To BTOR2,To EDIF,Convert V HDL to other formats
-@anchor{using/Synthesis to-smt}@anchor{14a}
+@anchor{using/Synthesis to-smt}@anchor{150}
@subsubsection To SMT
@@ -4725,7 +4787,7 @@ yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_smt2 filename.smt
@end example
@node To BTOR2,To FIRRTL,To SMT,Convert V HDL to other formats
-@anchor{using/Synthesis to-btor2}@anchor{14b}
+@anchor{using/Synthesis to-btor2}@anchor{151}
@subsubsection To BTOR2
@@ -4734,7 +4796,7 @@ yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_btor filename.bto
@end example
@node To FIRRTL,To VHDL,To BTOR2,Convert V HDL to other formats
-@anchor{using/Synthesis to-firrtl}@anchor{14c}
+@anchor{using/Synthesis to-firrtl}@anchor{152}
@subsubsection To FIRRTL
@@ -4743,14 +4805,14 @@ yosys -m ghdl -p 'ghdl filename.vhdl -e top_unit [arch]; write_firrtl filename.f
@end example
@node To VHDL,,To FIRRTL,Convert V HDL to other formats
-@anchor{using/Synthesis to-vhdl}@anchor{14d}
+@anchor{using/Synthesis to-vhdl}@anchor{153}
@subsubsection To VHDL
There is work in progress in ghdl/ghdl-yosys-plugin#122@footnote{https://github.com/ghdl/ghdl-yosys-plugin/pull/122} for adding
a @code{write_vhdl} command to Yosys. That is the complement of what ghdl-yosys-plugin provides.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -4771,13 +4833,13 @@ a @code{write_vhdl} command to Yosys. That is the complement of what ghdl-yosys-
@c # define a hard line break for HTML
@node Additional Command Reference,Implementation of VHDL,Synthesis,Top
-@anchor{using/CommandReference doc}@anchor{14e}@anchor{using/CommandReference additional-command-reference}@anchor{14f}@anchor{using/CommandReference ref-command}@anchor{60}
+@anchor{using/CommandReference doc}@anchor{154}@anchor{using/CommandReference additional-command-reference}@anchor{155}@anchor{using/CommandReference ref-command}@anchor{66}
@chapter Additional Command Reference
@cartouche
@quotation Hint
-The most common commands and options are shown in section @ref{5f,,Invoking GHDL}.
+The most common commands and options are shown in section @ref{65,,Invoking GHDL}.
Here the advanced and experimental features are described.
@end quotation
@end cartouche
@@ -4793,17 +4855,17 @@ Here the advanced and experimental features are described.
@end menu
@node Environment variables,Misc commands,,Additional Command Reference
-@anchor{using/CommandReference environment-variables}@anchor{150}
+@anchor{using/CommandReference environment-variables}@anchor{156}
@section Environment variables
@geindex environment variable; GHDL_PREFIX
-@anchor{using/CommandReference envvar-GHDL_PREFIX}@anchor{ba}
+@anchor{using/CommandReference envvar-GHDL_PREFIX}@anchor{c0}
@deffn {Environment Variable} GHDL_PREFIX
@end deffn
@node Misc commands,File commands,Environment variables,Additional Command Reference
-@anchor{using/CommandReference misc-commands}@anchor{151}
+@anchor{using/CommandReference misc-commands}@anchor{157}
@section Misc commands
@@ -4820,13 +4882,13 @@ There are a few GHDL commands which are seldom useful.
@end menu
@node Help [-h],Display config [--disp-config],,Misc commands
-@anchor{using/CommandReference help-h}@anchor{152}
+@anchor{using/CommandReference help-h}@anchor{158}
@subsection Help [@code{-h}]
@geindex ghdl command line option; --help
@geindex ghdl command line option; -h
-@anchor{using/CommandReference cmdoption-ghdl-help}@anchor{153}@anchor{using/CommandReference cmdoption-ghdl-h}@anchor{154}
+@anchor{using/CommandReference cmdoption-ghdl-help}@anchor{159}@anchor{using/CommandReference cmdoption-ghdl-h}@anchor{15a}
@deffn {Option} @w{-}@w{-}help, @w{-}h
@end deffn
@@ -4842,12 +4904,12 @@ ghdl -h command
@geindex cmd display configuration
@node Display config [--disp-config],Display standard [--disp-standard],Help [-h],Misc commands
-@anchor{using/CommandReference display-config-disp-config}@anchor{155}
+@anchor{using/CommandReference display-config-disp-config}@anchor{15b}
@subsection Display config [@code{--disp-config}]
-@geindex ghdl command line option; --disp-config <[options]>
-@anchor{using/CommandReference cmdoption-ghdl-disp-config}@anchor{bb}
+@geindex ghdl command line option; --disp-config
+@anchor{using/CommandReference cmdoption-ghdl-disp-config}@anchor{c1}
@deffn {Option} @w{-}@w{-}disp@w{-}config <[options]>
@end deffn
@@ -4858,12 +4920,12 @@ Display the program paths and options used by GHDL. This may be useful to track
@geindex display `@w{`}std.standard`@w{`}
@node Display standard [--disp-standard],Version [--version],Display config [--disp-config],Misc commands
-@anchor{using/CommandReference display-standard-disp-standard}@anchor{156}
+@anchor{using/CommandReference display-standard-disp-standard}@anchor{15c}
@subsection Display standard [@code{--disp-standard}]
-@geindex ghdl command line option; --disp-standard <[options]>
-@anchor{using/CommandReference cmdoption-ghdl-disp-standard}@anchor{157}
+@geindex ghdl command line option; --disp-standard
+@anchor{using/CommandReference cmdoption-ghdl-disp-standard}@anchor{15d}
@deffn {Option} @w{-}@w{-}disp@w{-}standard <[options]>
@end deffn
@@ -4872,20 +4934,20 @@ Display the @code{std.standard} package.
@geindex cmd version
@node Version [--version],,Display standard [--disp-standard],Misc commands
-@anchor{using/CommandReference version-version}@anchor{158}
+@anchor{using/CommandReference version-version}@anchor{15e}
@subsection Version [@code{--version}]
@geindex ghdl command line option; --version
@geindex ghdl command line option; -v
-@anchor{using/CommandReference cmdoption-ghdl-version}@anchor{159}@anchor{using/CommandReference cmdoption-ghdl-v}@anchor{15a}
+@anchor{using/CommandReference cmdoption-ghdl-version}@anchor{15f}@anchor{using/CommandReference cmdoption-ghdl-v}@anchor{160}
@deffn {Option} @w{-}@w{-}version, @w{-}v
@end deffn
Display the GHDL version.
@node File commands,GCC/LLVM only commands,Misc commands,Additional Command Reference
-@anchor{using/CommandReference file-commands}@anchor{15b}
+@anchor{using/CommandReference file-commands}@anchor{161}
@section File commands
@@ -4905,12 +4967,12 @@ These are not analyzed, therefore, they work even if a file has semantic errors.
@end menu
@node Format [fmt],Pretty print [--pp-html],,File commands
-@anchor{using/CommandReference format-fmt}@anchor{15c}
+@anchor{using/CommandReference format-fmt}@anchor{162}
@subsection Format [@code{fmt}]
-@geindex ghdl command line option; fmt <file>
-@anchor{using/CommandReference cmdoption-ghdl-arg-fmt}@anchor{15d}
+@geindex ghdl command line option; fmt
+@anchor{using/CommandReference cmdoption-ghdl-arg-fmt}@anchor{163}
@deffn {Option} fmt <file>
@end deffn
@@ -4919,28 +4981,28 @@ Format on the standard output the input file.
@geindex vhdl to html
@node Pretty print [--pp-html],Find [-f],Format [fmt],File commands
-@anchor{using/CommandReference pretty-print-pp-html}@anchor{15e}
+@anchor{using/CommandReference pretty-print-pp-html}@anchor{164}
@subsection Pretty print [@code{--pp-html}]
-@geindex ghdl command line option; --pp-html <[options] file...>
-@anchor{using/CommandReference cmdoption-ghdl-pp-html}@anchor{e1}
+@geindex ghdl command line option; --pp-html
+@anchor{using/CommandReference cmdoption-ghdl-pp-html}@anchor{e7}
@deffn {Option} @w{-}@w{-}pp@w{-}html <[options] file...>
@end deffn
The files are just scanned and an html file with syntax highlighting is generated on standard output.
Since the files are not even parsed, erroneous files or incomplete designs can be pretty printed.
-The style of the html file can be modified with the @ref{e0,,--format} option.
+The style of the html file can be modified with the @ref{e6,,--format} option.
@geindex cmd file find
@node Find [-f],Chop [--chop],Pretty print [--pp-html],File commands
-@anchor{using/CommandReference find-f}@anchor{15f}
+@anchor{using/CommandReference find-f}@anchor{165}
@subsection Find [@code{-f}]
-@geindex ghdl command line option; -f <file...>
-@anchor{using/CommandReference cmdoption-ghdl-f}@anchor{160}
+@geindex ghdl command line option; -f
+@anchor{using/CommandReference cmdoption-ghdl-f}@anchor{166}
@deffn {Option} @w{-}f <file...>
@end deffn
@@ -4950,12 +5012,12 @@ Design units marked with two stars are candidates to be at the apex of a design
@geindex cmd file chop
@node Chop [--chop],Lines [--lines],Find [-f],File commands
-@anchor{using/CommandReference chop-chop}@anchor{161}
+@anchor{using/CommandReference chop-chop}@anchor{167}
@subsection Chop [@code{--chop}]
-@geindex ghdl command line option; --chop <files...>
-@anchor{using/CommandReference cmdoption-ghdl-chop}@anchor{162}
+@geindex ghdl command line option; --chop
+@anchor{using/CommandReference cmdoption-ghdl-chop}@anchor{168}
@deffn {Option} @w{-}@w{-}chop <files...>
@end deffn
@@ -4987,12 +5049,12 @@ The size of the executable is reduced too.
@geindex cmd file lines
@node Lines [--lines],XML tree generation [--file-to-xml],Chop [--chop],File commands
-@anchor{using/CommandReference lines-lines}@anchor{163}
+@anchor{using/CommandReference lines-lines}@anchor{169}
@subsection Lines [@code{--lines}]
-@geindex ghdl command line option; --lines <files...>
-@anchor{using/CommandReference cmdoption-ghdl-lines}@anchor{164}
+@geindex ghdl command line option; --lines
+@anchor{using/CommandReference cmdoption-ghdl-lines}@anchor{16a}
@deffn {Option} @w{-}@w{-}lines <files...>
@end deffn
@@ -5001,12 +5063,12 @@ Display on the standard output lines of files preceded by line number.
@geindex cmd XML generation
@node XML tree generation [--file-to-xml],,Lines [--lines],File commands
-@anchor{using/CommandReference xml-tree-generation-file-to-xml}@anchor{165}
+@anchor{using/CommandReference xml-tree-generation-file-to-xml}@anchor{16b}
@subsection XML tree generation [@code{--file-to-xml}]
@geindex ghdl command line option; --file-to-xml
-@anchor{using/CommandReference cmdoption-ghdl-file-to-xml}@anchor{166}
+@anchor{using/CommandReference cmdoption-ghdl-file-to-xml}@anchor{16c}
@deffn {Option} @w{-}@w{-}file@w{-}to@w{-}xml
Outputs an XML representation of the decorated syntax tree for the input file and its dependencies.
@@ -5031,7 +5093,7 @@ Note that at this time there is no XML dump of the elaborated design.
@end cartouche
@node GCC/LLVM only commands,Options<2>,File commands,Additional Command Reference
-@anchor{using/CommandReference gcc-llvm-only-commands}@anchor{167}@anchor{using/CommandReference gccllvm-only-programs}@anchor{168}
+@anchor{using/CommandReference gcc-llvm-only-commands}@anchor{16d}@anchor{using/CommandReference gccllvm-only-programs}@anchor{16e}
@section GCC/LLVM only commands
@@ -5045,12 +5107,12 @@ Note that at this time there is no XML dump of the elaborated design.
@end menu
@node Bind [--bind],Link [--link],,GCC/LLVM only commands
-@anchor{using/CommandReference bind-bind}@anchor{169}
+@anchor{using/CommandReference bind-bind}@anchor{16f}
@subsection Bind [@code{--bind}]
-@geindex ghdl command line option; --bind <[options] [library.]top_unit [arch]>
-@anchor{using/CommandReference cmdoption-ghdl-bind}@anchor{16a}
+@geindex ghdl command line option; --bind
+@anchor{using/CommandReference cmdoption-ghdl-bind}@anchor{170}
@deffn {Option} @w{-}@w{-}bind <[options] [library.]top_unit [arch]>
@end deffn
@@ -5060,8 +5122,8 @@ This command should be used only when the main entry point is not GHDL.
@cartouche
@quotation Hint
-Currently, the objects generated by @ref{16a,,--bind} are created in the working directory.
-This behaviour is different from other object files generated with @ref{be,,-a}, which are always placed in the same
+Currently, the objects generated by @ref{170,,--bind} are created in the working directory.
+This behaviour is different from other object files generated with @ref{c4,,-a}, which are always placed in the same
directory as the @cite{WORK} library.
It is possible to provide an output path with @code{ghdl --bind -o path/top_unit [library.]top_unit [arch]}.
However, @code{ghdl --list-link} will only search in the current path.
@@ -5071,12 +5133,12 @@ However, @code{ghdl --list-link} will only search in the current path.
@geindex cmd GCC/LLVM linking
@node Link [--link],List link [--list-link],Bind [--bind],GCC/LLVM only commands
-@anchor{using/CommandReference link-link}@anchor{16b}
+@anchor{using/CommandReference link-link}@anchor{171}
@subsection Link [@code{--link}]
-@geindex ghdl command line option; --link <[options] [library.]top_unit [arch]>
-@anchor{using/CommandReference cmdoption-ghdl-link}@anchor{e9}
+@geindex ghdl command line option; --link
+@anchor{using/CommandReference cmdoption-ghdl-link}@anchor{ef}
@deffn {Option} @w{-}@w{-}link <[options] [library.]top_unit [arch]>
@end deffn
@@ -5088,12 +5150,12 @@ The elaboration command is equivalent to the bind command followed by the link c
@geindex cmd GCC/LLVM list link
@node List link [--list-link],,Link [--link],GCC/LLVM only commands
-@anchor{using/CommandReference list-link-list-link}@anchor{16c}
+@anchor{using/CommandReference list-link-list-link}@anchor{172}
@subsection List link [@code{--list-link}]
-@geindex ghdl command line option; --list-link <[library.]top_unit [arch]>
-@anchor{using/CommandReference cmdoption-ghdl-list-link}@anchor{16d}
+@geindex ghdl command line option; --list-link
+@anchor{using/CommandReference cmdoption-ghdl-list-link}@anchor{173}
@deffn {Option} @w{-}@w{-}list@w{-}link <[library.]top_unit [arch]>
@end deffn
@@ -5124,20 +5186,20 @@ Provide an additional non-anonymous version script: @code{-Wl,-Wl,--version-scri
@end cartouche
@node Options<2>,Passing options to other programs,GCC/LLVM only commands,Additional Command Reference
-@anchor{using/CommandReference options}@anchor{16e}
+@anchor{using/CommandReference options}@anchor{174}
@section Options
-@geindex ghdl command line option; --GHDL1<=COMMAND>
-@anchor{using/CommandReference cmdoption-ghdl-GHDL1}@anchor{16f}@anchor{using/CommandReference cmdoption-ghdl-ghdl1}@anchor{170}
+@geindex ghdl command line option; --GHDL1
+@anchor{using/CommandReference cmdoption-ghdl-GHDL1}@anchor{175}@anchor{using/CommandReference cmdoption-ghdl-ghdl1}@anchor{176}
@deffn {Option} @w{-}@w{-}GHDL1<=COMMAND>
@end deffn
Use @code{COMMAND} as the command name for the compiler.
If @code{COMMAND} is not a path, then it is searched in the path.
-@geindex ghdl command line option; --AS<=COMMAND>
-@anchor{using/CommandReference cmdoption-ghdl-AS}@anchor{171}@anchor{using/CommandReference cmdoption-ghdl-as}@anchor{172}
+@geindex ghdl command line option; --AS
+@anchor{using/CommandReference cmdoption-ghdl-AS}@anchor{177}@anchor{using/CommandReference cmdoption-ghdl-as}@anchor{178}
@deffn {Option} @w{-}@w{-}AS<=COMMAND>
@end deffn
@@ -5145,8 +5207,8 @@ Use @code{COMMAND} as the command name for the assembler.
If @code{COMMAND} is not a path, then it is searched in the path.
The default is @code{as}.
-@geindex ghdl command line option; --LINK<=COMMAND>
-@anchor{using/CommandReference cmdoption-ghdl-LINK}@anchor{173}
+@geindex ghdl command line option; --LINK
+@anchor{using/CommandReference cmdoption-ghdl-LINK}@anchor{179}
@deffn {Option} @w{-}@w{-}LINK<=COMMAND>
@end deffn
@@ -5155,7 +5217,7 @@ If @code{COMMAND} is not a path, then it is searched in the path.
The default is @code{gcc}.
@node Passing options to other programs,,Options<2>,Additional Command Reference
-@anchor{using/CommandReference id1}@anchor{174}@anchor{using/CommandReference passing-options-to-other-programs}@anchor{175}
+@anchor{using/CommandReference id1}@anchor{17a}@anchor{using/CommandReference passing-options-to-other-programs}@anchor{17b}
@section Passing options to other programs
@@ -5171,28 +5233,28 @@ You can pass arbitrary options to these programs.
Both the compiler and the linker are in fact GCC programs.
See the GCC manual for details on GCC options.
-@geindex ghdl command line option; -Wc@comma{}<OPTION>
-@anchor{using/CommandReference cmdoption-ghdl-Wc}@anchor{176}@anchor{using/CommandReference cmdoption-ghdl-wc}@anchor{177}
+@geindex ghdl command line option; -Wc
+@anchor{using/CommandReference cmdoption-ghdl-Wc}@anchor{17c}@anchor{using/CommandReference cmdoption-ghdl-wc}@anchor{17d}
@deffn {Option} @w{-}Wc,<OPTION>
@end deffn
Pass @cite{OPTION} as an option to the compiler.
-@geindex ghdl command line option; -Wa@comma{}<OPTION>
-@anchor{using/CommandReference cmdoption-ghdl-Wa}@anchor{178}@anchor{using/CommandReference cmdoption-ghdl-wa}@anchor{179}
+@geindex ghdl command line option; -Wa
+@anchor{using/CommandReference cmdoption-ghdl-Wa}@anchor{17e}@anchor{using/CommandReference cmdoption-ghdl-wa}@anchor{17f}
@deffn {Option} @w{-}Wa,<OPTION>
@end deffn
Pass @cite{OPTION} as an option to the assembler.
-@geindex ghdl command line option; -Wl@comma{}<OPTION>
-@anchor{using/CommandReference cmdoption-ghdl-Wl}@anchor{17a}@anchor{using/CommandReference cmdoption-ghdl-wl}@anchor{17b}
+@geindex ghdl command line option; -Wl
+@anchor{using/CommandReference cmdoption-ghdl-Wl}@anchor{180}@anchor{using/CommandReference cmdoption-ghdl-wl}@anchor{181}
@deffn {Option} @w{-}Wl,<OPTION>
@end deffn
Pass @cite{OPTION} as an option to the linker.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -5213,7 +5275,7 @@ Pass @cite{OPTION} as an option to the linker.
@c # define a hard line break for HTML
@node Implementation of VHDL,Implementation of VITAL,Additional Command Reference,Top
-@anchor{using/ImplementationOfVHDL doc}@anchor{17c}@anchor{using/ImplementationOfVHDL implementation-of-vhdl}@anchor{17d}@anchor{using/ImplementationOfVHDL ref-implvhdl}@anchor{61}
+@anchor{using/ImplementationOfVHDL doc}@anchor{182}@anchor{using/ImplementationOfVHDL implementation-of-vhdl}@anchor{183}@anchor{using/ImplementationOfVHDL ref-implvhdl}@anchor{67}
@chapter Implementation of VHDL
@@ -5228,7 +5290,7 @@ Pass @cite{OPTION} as an option to the linker.
@end menu
@node VHDL standards,PSL support,,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL id1}@anchor{17e}@anchor{using/ImplementationOfVHDL vhdl-standards}@anchor{81}
+@anchor{using/ImplementationOfVHDL id1}@anchor{184}@anchor{using/ImplementationOfVHDL vhdl-standards}@anchor{87}
@section VHDL standards
@@ -5295,7 +5357,7 @@ the VHDL standard. This modification is also known as 1076a. Note that this
standard is not fully backward compatible with VHDL-93, since the type of a
shared variable must now be a protected type (there was no such restriction
before). This incompatibility can be bypassed with the
-@ref{d8,,-frelaxed} option.
+@ref{de,,-frelaxed} option.
Minor corrections were added by the 2002 revision of the VHDL standard. This
revision is not fully backward compatible with VHDL-00 since, for example,
@@ -5305,7 +5367,7 @@ The latest version is 2008. Many features have been added, and GHDL
doesn’t implement all of them.
You can select the VHDL standard expected by GHDL with the
-@ref{82,,--std=STANDARD} option, where @code{STANDARD} is one of the list below:
+@ref{88,,--std=STANDARD} option, where @code{STANDARD} is one of the list below:
@table @asis
@@ -5321,7 +5383,7 @@ Select VHDL-93; VHDL-87 file declarations are not accepted.
@item 93c
-Same as 93 and @ref{d8,,-frelaxed}.
+Same as 93 and @ref{de,,-frelaxed}.
@item 00
@@ -5382,7 +5444,7 @@ The standards in each group are considered compatible: you can elaborate a desig
@end cartouche
@node PSL support,Source representation,VHDL standards,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL psl-implementation}@anchor{dd}@anchor{using/ImplementationOfVHDL psl-support}@anchor{17f}
+@anchor{using/ImplementationOfVHDL psl-implementation}@anchor{e3}@anchor{using/ImplementationOfVHDL psl-support}@anchor{185}
@section PSL support
@@ -5395,7 +5457,7 @@ GHDL implements a subset of PSL@footnote{https://en.wikipedia.org/wiki/Property_
@end menu
@node PSL implementation,PSL usage,,PSL support
-@anchor{using/ImplementationOfVHDL id2}@anchor{180}
+@anchor{using/ImplementationOfVHDL id2}@anchor{186}
@subsection PSL implementation
@@ -5421,11 +5483,11 @@ assert (always a -> next[3](b)) @@rising_edge(clk);
Of course only the simple subset of PSL is allowed.
-Currently the built-in functions are not implemented, see issue #662@footnote{https://github.com/ghdl/ghdl/issues/662}.
+Currently the built-in functions are not implemented, see #662@footnote{https://github.com/ghdl/ghdl/issues/662}.
PSL functions @cite{prev()}, @cite{stable()}, @cite{rose()}, @cite{fell()}, @cite{onehot()} and @cite{onehot0()} are supported with GHDL synthesis.
@node PSL usage,,PSL implementation,PSL support
-@anchor{using/ImplementationOfVHDL psl-usage}@anchor{181}
+@anchor{using/ImplementationOfVHDL psl-usage}@anchor{187}
@subsection PSL usage
@@ -5437,7 +5499,7 @@ PSL functions @cite{prev()}, @cite{stable()}, @cite{rose()}, @cite{fell()}, @cit
@end menu
@node PSL annotations embedded in comments,PSL annotations VHDL-2008 only,,PSL usage
-@anchor{using/ImplementationOfVHDL psl-annotations-embedded-in-comments}@anchor{182}
+@anchor{using/ImplementationOfVHDL psl-annotations-embedded-in-comments}@anchor{188}
@subsubsection PSL annotations embedded in comments
@@ -5463,7 +5525,7 @@ To continue a PSL statement on the next line, just start a new comment.
@cartouche
@quotation Hint
As PSL annotations are embedded within comments, you must analyze
-your design with option @ref{dc,,-fpsl} to enable PSL annotations:
+your design with option @ref{e2,,-fpsl} to enable PSL annotations:
@example
ghdl -a -fpsl vhdl_design.vhdl
@@ -5473,7 +5535,7 @@ ghdl -e vhdl_design
@end cartouche
@node PSL annotations VHDL-2008 only,PSL vunit files VHDL-2008 / Synthesis only,PSL annotations embedded in comments,PSL usage
-@anchor{using/ImplementationOfVHDL psl-annotations-vhdl-2008-only}@anchor{183}
+@anchor{using/ImplementationOfVHDL psl-annotations-vhdl-2008-only}@anchor{189}
@subsubsection PSL annotations (VHDL-2008 only)
@@ -5489,7 +5551,7 @@ end architecture rtl;
@cartouche
@quotation Hint
-You have to use the @ref{82,,--std=08} option:
+You have to use the @ref{88,,--std=08} option:
@example
ghdl -a --std=08 vhdl_design.vhdl
@@ -5499,7 +5561,7 @@ ghdl -e --std=08 vhdl_design
@end cartouche
@node PSL vunit files VHDL-2008 / Synthesis only,,PSL annotations VHDL-2008 only,PSL usage
-@anchor{using/ImplementationOfVHDL psl-vunit-files-vhdl-2008-synthesis-only}@anchor{184}
+@anchor{using/ImplementationOfVHDL psl-vunit-files-vhdl-2008-synthesis-only}@anchor{18a}
@subsubsection PSL vunit files (VHDL-2008 / Synthesis only)
@@ -5545,7 +5607,7 @@ ghdl --synth --std=08 vhdl_design.vhdl vunit.psl -e vhdl_design
@end cartouche
@node Source representation,Library database,PSL support,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL source-representation}@anchor{185}
+@anchor{using/ImplementationOfVHDL source-representation}@anchor{18b}
@section Source representation
@@ -5571,20 +5633,20 @@ analyzed). Therefore, if you delete or modify a source file of a unit
analyzed, GHDL will refuse to use it.
@node Library database,Top entity,Source representation,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL id3}@anchor{186}@anchor{using/ImplementationOfVHDL library-database}@anchor{187}
+@anchor{using/ImplementationOfVHDL id3}@anchor{18c}@anchor{using/ImplementationOfVHDL library-database}@anchor{18d}
@section Library database
Each design unit analyzed is placed into a design library. By default,
the name of this design library is @code{work}; however, this can be
-changed with the @ref{86,,--work} option of GHDL.
+changed with the @ref{8c,,--work} option of GHDL.
To keep the list of design units in a design library, GHDL creates
library files. The name of these files is @code{<LIB_NAME>-obj<GROUP>.cf}, where
@cite{<LIB_NAME>} is the name of the library, and @cite{<GROUP>} the VHDL version (87,
93 or 08) used to analyze the design units.
-For details on @code{GROUP} values see section @ref{81,,VHDL standards}.
+For details on @code{GROUP} values see section @ref{87,,VHDL standards}.
You don’t have to know how to read a library file. You can display it
using the @emph{-d} of @cite{ghdl}. The file contains the name of the
@@ -5593,7 +5655,7 @@ design units, as well as the location and the dependencies.
The format may change with the next version of GHDL.
@node Top entity,Using vendor libraries,Library database,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL id4}@anchor{188}@anchor{using/ImplementationOfVHDL top-entity}@anchor{c1}
+@anchor{using/ImplementationOfVHDL id4}@anchor{18e}@anchor{using/ImplementationOfVHDL top-entity}@anchor{c7}
@section Top entity
@@ -5612,17 +5674,17 @@ The ports type must be constrained.
@end itemize
@node Using vendor libraries,,Top entity,Implementation of VHDL
-@anchor{using/ImplementationOfVHDL using-vendor-libraries}@anchor{189}
+@anchor{using/ImplementationOfVHDL using-vendor-libraries}@anchor{18f}
@section Using vendor libraries
Many vendors libraries have been analyzed with @cite{GHDL}. There are usually no problems. Be sure to use the
-@ref{86,,--work} option. However, some problems have been encountered. @cite{GHDL} follows the @cite{VHDL} LRM (the manual which
+@ref{8c,,--work} option. However, some problems have been encountered. @cite{GHDL} follows the @cite{VHDL} LRM (the manual which
defines @cite{VHDL}) more strictly than other @cite{VHDL} tools. You could try to relax the restrictions by using the
-@ref{82,,--std=93c}, @ref{84,,-fexplicit}, @ref{85,,-frelaxed-rules} and
-@ref{f2,,--warn-no-vital-generic}.
+@ref{88,,--std=93c}, @ref{8a,,-fexplicit}, @ref{8b,,-frelaxed-rules} and
+@ref{f8,,--warn-no-vital-generic}.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -5643,7 +5705,7 @@ defines @cite{VHDL}) more strictly than other @cite{VHDL} tools. You could try t
@c # define a hard line break for HTML
@node Implementation of VITAL,Directory structure,Implementation of VHDL,Top
-@anchor{using/ImplementationOfVITAL doc}@anchor{18a}@anchor{using/ImplementationOfVITAL implementation-of-vital}@anchor{18b}@anchor{using/ImplementationOfVITAL ref-implvital}@anchor{62}
+@anchor{using/ImplementationOfVITAL doc}@anchor{190}@anchor{using/ImplementationOfVITAL implementation-of-vital}@anchor{191}@anchor{using/ImplementationOfVITAL ref-implvital}@anchor{68}
@chapter Implementation of VITAL
@@ -5665,7 +5727,7 @@ really in a preliminary stage. Do not expect too much of it as of right now.
@end menu
@node VITAL packages,VHDL restrictions for VITAL,,Implementation of VITAL
-@anchor{using/ImplementationOfVITAL id1}@anchor{18c}@anchor{using/ImplementationOfVITAL vital-packages}@anchor{da}
+@anchor{using/ImplementationOfVITAL id1}@anchor{192}@anchor{using/ImplementationOfVITAL vital-packages}@anchor{e0}
@section VITAL packages
@@ -5683,7 +5745,7 @@ the VHDL 1993 standard (a few functions are made pure and a few
impure).
@node VHDL restrictions for VITAL,Backannotation,VITAL packages,Implementation of VITAL
-@anchor{using/ImplementationOfVITAL id2}@anchor{18d}@anchor{using/ImplementationOfVITAL vhdl-restrictions-for-vital}@anchor{e5}
+@anchor{using/ImplementationOfVITAL id2}@anchor{193}@anchor{using/ImplementationOfVITAL vhdl-restrictions-for-vital}@anchor{eb}
@section VHDL restrictions for VITAL
@@ -5706,7 +5768,7 @@ checks of VITAL restrictions with the @emph{–no-vital-checks}. Even when
restrictions are not checked, SDF annotation can be performed.
@node Backannotation,Negative constraint calculation,VHDL restrictions for VITAL,Implementation of VITAL
-@anchor{using/ImplementationOfVITAL backannotation}@anchor{126}@anchor{using/ImplementationOfVITAL id3}@anchor{18e}
+@anchor{using/ImplementationOfVITAL backannotation}@anchor{12c}@anchor{using/ImplementationOfVITAL id3}@anchor{194}
@section Backannotation
@@ -5735,7 +5797,7 @@ just a proof of concept. Features will be added with the following GHDL
release.
@node Negative constraint calculation,,Backannotation,Implementation of VITAL
-@anchor{using/ImplementationOfVITAL negative-constraint-calculation}@anchor{18f}
+@anchor{using/ImplementationOfVITAL negative-constraint-calculation}@anchor{195}
@section Negative constraint calculation
@@ -5746,7 +5808,7 @@ standard and should occur after backannotation.
GHDL does not do negative constraint calculation. It fails to handle models
with negative constraint. I hope to be able to add this phase soon.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -5767,7 +5829,7 @@ with negative constraint. I hope to be able to add this phase soon.
@c # define a hard line break for HTML
@node Directory structure,Building GHDL from Sources,Implementation of VITAL,Top
-@anchor{development/Directories doc}@anchor{190}@anchor{development/Directories build-dir-structure}@anchor{6d}@anchor{development/Directories directory-structure}@anchor{191}
+@anchor{development/Directories doc}@anchor{196}@anchor{development/Directories build-dir-structure}@anchor{73}@anchor{development/Directories directory-structure}@anchor{197}
@chapter Directory structure
@@ -5797,7 +5859,7 @@ A continuous integration (CI) workflow is used to automatically build and deploy
@item
@code{scripts/vendors}: Vendors like Altera, Lattice and Xilinx have their own simulation libraries, especially for FPGA
primitives, soft and hard macros. These libraries cannot be shipped with GHDL, but we offer prepared compile scripts to
-pre-compile the vendor libraries, if the vendor tool is present on the computer. See @ref{4e,,Precompile Vendor Primitives} for
+pre-compile the vendor libraries, if the vendor tool is present on the computer. See @ref{54,,Precompile Vendor Primitives} for
information on how to use them.
@item
@@ -5817,7 +5879,7 @@ information on how to use them.
@code{testsuite}: files used for testing.
@end itemize
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -5838,11 +5900,11 @@ information on how to use them.
@c # define a hard line break for HTML
@node Building GHDL from Sources,pyGHDL,Directory structure,Top
-@anchor{development/building/index doc}@anchor{192}@anchor{development/building/index build}@anchor{4b}@anchor{development/building/index building-ghdl-from-sources}@anchor{193}
+@anchor{development/building/index doc}@anchor{198}@anchor{development/building/index build}@anchor{51}@anchor{development/building/index building-ghdl-from-sources}@anchor{199}
@chapter Building GHDL from Sources
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -5872,7 +5934,7 @@ information on how to use them.
@end menu
@node Sources,mcode backend,,Building GHDL from Sources
-@anchor{development/building/Sources doc}@anchor{194}@anchor{development/building/Sources id1}@anchor{195}@anchor{development/building/Sources sources}@anchor{196}
+@anchor{development/building/Sources doc}@anchor{19a}@anchor{development/building/Sources id1}@anchor{19b}@anchor{development/building/Sources sources}@anchor{19c}
@section Sources
@@ -5883,7 +5945,7 @@ github.com/ghdl/ghdl@footnote{https://github.com/ghdl/ghdl}. We do our best to k
published. See @cite{HINT} boxes below for instructions to get older releases.
@end quotation
@end cartouche
-@anchor{development/building/Sources release-sources-zip}@anchor{197}
+@anchor{development/building/Sources release-sources-zip}@anchor{19d}
@subsubheading Tarball/zip-file
@@ -5896,7 +5958,7 @@ To download a specific version of GHDL, use this alternative URL, where @code{<f
@code{https://codeload.github.com/ghdl/ghdl/<format>/<tag>}.
@end quotation
@end cartouche
-@anchor{development/building/Sources release-sources-gitclone}@anchor{198}
+@anchor{development/building/Sources release-sources-gitclone}@anchor{19e}
@subsubheading git clone
@@ -5964,7 +6026,7 @@ errors! All Windows command line instructions are intended for @code{Windows Pow
@end quotation
@end cartouche
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -5985,7 +6047,7 @@ errors! All Windows command line instructions are intended for @code{Windows Pow
@c # define a hard line break for HTML
@node mcode backend,LLVM backend,Sources,Building GHDL from Sources
-@anchor{development/building/mcode doc}@anchor{199}@anchor{development/building/mcode build-mcode}@anchor{19a}@anchor{development/building/mcode mcode-backend}@anchor{19b}
+@anchor{development/building/mcode doc}@anchor{19f}@anchor{development/building/mcode build-mcode}@anchor{1a0}@anchor{development/building/mcode mcode-backend}@anchor{1a1}
@section mcode backend
@@ -6000,7 +6062,7 @@ options.
@end menu
@node GCC/GNAT GNU/Linux or Windows MinGW/MSYS2,GNAT GPL Windows,,mcode backend
-@anchor{development/building/mcode build-mcode-gnat}@anchor{19c}@anchor{development/building/mcode gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{19d}
+@anchor{development/building/mcode build-mcode-gnat}@anchor{1a2}@anchor{development/building/mcode gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{1a3}
@subsection GCC/GNAT: GNU/Linux or Windows (MinGW/MSYS2)
@@ -6054,7 +6116,7 @@ $ make install
@end example
@node GNAT GPL Windows,,GCC/GNAT GNU/Linux or Windows MinGW/MSYS2,mcode backend
-@anchor{development/building/mcode build-mcode-gnatgpl-windows}@anchor{19e}@anchor{development/building/mcode gnat-gpl-windows}@anchor{19f}
+@anchor{development/building/mcode build-mcode-gnatgpl-windows}@anchor{1a4}@anchor{development/building/mcode gnat-gpl-windows}@anchor{1a5}
@subsection GNAT GPL: Windows
@@ -6095,7 +6157,7 @@ CreatePackage options:
-Zip Create a zip-file for xcopy deployment
@end example
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -6116,7 +6178,7 @@ CreatePackage options:
@c # define a hard line break for HTML
@node LLVM backend,GCC backend,mcode backend,Building GHDL from Sources
-@anchor{development/building/LLVM doc}@anchor{1a0}@anchor{development/building/LLVM build-llvm}@anchor{1a1}@anchor{development/building/LLVM llvm-backend}@anchor{1a2}
+@anchor{development/building/LLVM doc}@anchor{1a6}@anchor{development/building/LLVM build-llvm}@anchor{1a7}@anchor{development/building/LLVM llvm-backend}@anchor{1a8}
@section LLVM backend
@@ -6143,7 +6205,7 @@ LLVM (Low-Level-Virtual Machine) and CLANG (Compiler front-end for LLVM): 3.5, 3
@end menu
@node GCC/GNAT GNU/Linux or Windows MinGW/MSYS2<2>,,,LLVM backend
-@anchor{development/building/LLVM build-llvm-gnat}@anchor{1a3}@anchor{development/building/LLVM gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{1a4}
+@anchor{development/building/LLVM build-llvm-gnat}@anchor{1a9}@anchor{development/building/LLVM gcc-gnat-gnu-linux-or-windows-mingw-msys2}@anchor{1aa}
@subsection GCC/GNAT: GNU/Linux or Windows (MinGW/MSYS2)
@@ -6191,7 +6253,7 @@ If you want to have stack backtraces on errors (like assert failure or index of
@end quotation
@end cartouche
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -6212,7 +6274,7 @@ If you want to have stack backtraces on errors (like assert failure or index of
@c # define a hard line break for HTML
@node GCC backend,TL;DR,LLVM backend,Building GHDL from Sources
-@anchor{development/building/GCC doc}@anchor{1a5}@anchor{development/building/GCC build-gcc}@anchor{1a6}@anchor{development/building/GCC gcc-backend}@anchor{1a7}
+@anchor{development/building/GCC doc}@anchor{1ab}@anchor{development/building/GCC build-gcc}@anchor{1ac}@anchor{development/building/GCC gcc-backend}@anchor{1ad}
@section GCC backend
@@ -6235,7 +6297,7 @@ GCC (Gnu Compiler Collection)
GNAT (Ada compiler for GCC)
@item
-GCC source files. Download and untar the sources of version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x or 10.x (>>`GCC mirror sites <https://gcc.gnu.org/mirrors.html`__<<).
+GCC source files. Download and untar the sources of version 4.9.x, 5.x, 6.x, 7.x, 8.x, 9.x, 10.x or 11.x (GCC mirror sites@footnote{https://gcc.gnu.org/mirrors.html}).
@end itemize
@cartouche
@@ -6342,7 +6404,7 @@ For ppc64/ppc64le platform, the object file format contains an identifier for th
@end cartouche
GHDL can be downloaded as a tarball@footnote{https://github.com/ghdl/ghdl/archive/master.tar.gz}/zipfile@footnote{https://github.com/ghdl/ghdl/archive/master.zip}
-or cloned with @code{git clone} from GitHub. GitHub offers HTTPS and SSH as transfer protocols. See the @ref{196,,Sources} page for
+or cloned with @code{git clone} from GitHub. GitHub offers HTTPS and SSH as transfer protocols. See the @ref{19c,,Sources} page for
further details.
@cartouche
@@ -6402,7 +6464,7 @@ Cons
@item
-@ref{19a,,mcode}
+@ref{1a0,,mcode}
@tab
@@ -6436,7 +6498,7 @@ x86_64/i386 only
@item
-@ref{1a1,,LLVM}
+@ref{1a7,,LLVM}
@tab
@@ -6467,7 +6529,7 @@ Build is more complex than mcode
@item
-@ref{1a6,,GCC}
+@ref{1ac,,GCC}
@tab
@@ -6505,12 +6567,12 @@ Code coverage collection (@code{gcov}) is unique to GCC
@cartouche
@quotation Hint
The output of both GCC and LLVM is an executable file, but @cite{mcode} does not generate any. Therefore, if using GCC/LLVM,
-the call with argument @code{-r} can be replaced with direct execution of the binary. See section @ref{5e,,Simulation}.
+the call with argument @code{-r} can be replaced with direct execution of the binary. See section @ref{64,,Simulation}.
@end quotation
@end cartouche
After making your choice, you can jump to the corresponding section.
-However, we suggest you to read @ref{6d,,Directory structure} first, so that you
+However, we suggest you to read @ref{73,,Directory structure} first, so that you
know where the content will be placed and which files are expected to be
created.
@@ -6544,7 +6606,7 @@ For MacOS 10.15 (Catalina), see #1368@footnote{https://github.com/ghdl/ghdl/issu
@end cartouche
@node TL;DR,,GCC backend,Building GHDL from Sources
-@anchor{development/building/index tl-dr}@anchor{1a8}
+@anchor{development/building/index tl-dr}@anchor{1ae}
@section TL;DR
@@ -6579,7 +6641,7 @@ call to @code{configure}. For example, on Windows, you may want to set it to @co
@end quotation
@end cartouche
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -6600,12 +6662,12 @@ call to @code{configure}. For example, on Windows, you may want to set it to @co
@c # define a hard line break for HTML
@node pyGHDL,GHDL Waveform GHW,Building GHDL from Sources,Top
-@anchor{pyGHDL/pyGHDL doc}@anchor{1a9}@anchor{pyGHDL/pyGHDL module-pyGHDL}@anchor{0}@anchor{pyGHDL/pyGHDL pyghdl}@anchor{1aa}
+@anchor{pyGHDL/pyGHDL doc}@anchor{1af}@anchor{pyGHDL/pyGHDL module-pyGHDL}@anchor{0}@anchor{pyGHDL/pyGHDL pyghdl}@anchor{1b0}
@chapter pyGHDL
@geindex module; pyGHDL
-@anchor{pyGHDL/pyGHDL python-interface}@anchor{1ab}
+@anchor{pyGHDL/pyGHDL python-interface}@anchor{1b1}
GHDL offers two Python interfaces and a language server protocol service. All
this is provided from a @code{pyGHDL} packages with four sub-packages:
@@ -6616,9 +6678,9 @@ this is provided from a @code{pyGHDL} packages with four sub-packages:
@code{pyGHDL.cli} - Command line interface (CLI) applications.
@item
-@code{pyGHDL.dom} - A high-level API offering a document object model (DOM). The underlying abstract VHDL language model is
-provided by pyVHDLModel@footnote{https://github.com/VHDL/pyVHDLModel}. The DOM is using @code{libghdl} for file analysis and
-parsing.
+@code{pyGHDL.dom} - A high-level API offering a document object model (DOM).
+The underlying abstract VHDL language model is provided by pyVHDLModel@footnote{https://vhdl.github.io/pyVHDLModel/index.html}.
+The DOM is using @code{libghdl} for file analysis and parsing.
@item
@code{pyGHDL.libghdl} - A low-level API directly interacting with the shared library @code{libghdl....so}/@code{libghdl....dll}.
@@ -6633,7 +6695,7 @@ written in Python. The implementation offers an HTTPS service that can be used e
@strong{Submodules}
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -6662,7 +6724,7 @@ written in Python. The implementation offers an HTTPS service that can be used e
@end menu
@node pyGHDL cli,pyGHDL dom,,pyGHDL
-@anchor{pyGHDL/pyGHDL cli doc}@anchor{1ac}@anchor{pyGHDL/pyGHDL cli module-pyGHDL cli}@anchor{1}@anchor{pyGHDL/pyGHDL cli pyghdl-cli}@anchor{1ad}
+@anchor{pyGHDL/pyGHDL cli doc}@anchor{1b2}@anchor{pyGHDL/pyGHDL cli module-pyGHDL cli}@anchor{1}@anchor{pyGHDL/pyGHDL cli pyghdl-cli}@anchor{1b3}
@section pyGHDL.cli
@@ -6672,7 +6734,7 @@ written in Python. The implementation offers an HTTPS service that can be used e
@strong{Submodules}
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -6693,21 +6755,507 @@ written in Python. The implementation offers an HTTPS service that can be used e
@c # define a hard line break for HTML
@menu
-* pyGHDL.cli.DOM: pyGHDL cli DOM.
+* pyGHDL.cli.dom: pyGHDL cli dom.
* pyGHDL.cli.lsp: pyGHDL cli lsp.
@end menu
-@node pyGHDL cli DOM,pyGHDL cli lsp,,pyGHDL cli
-@anchor{pyGHDL/pyGHDL cli DOM doc}@anchor{1ae}@anchor{pyGHDL/pyGHDL cli DOM module-pyGHDL cli DOM}@anchor{2}@anchor{pyGHDL/pyGHDL cli DOM pyghdl-cli-dom}@anchor{1af}
-@subsection pyGHDL.cli.DOM
+@node pyGHDL cli dom,pyGHDL cli lsp,,pyGHDL cli
+@anchor{pyGHDL/pyGHDL cli dom doc}@anchor{1b4}@anchor{pyGHDL/pyGHDL cli dom module-pyGHDL cli dom}@anchor{2}@anchor{pyGHDL/pyGHDL cli dom pyghdl-cli-dom}@anchor{1b5}
+@subsection pyGHDL.cli.dom
-@geindex module; pyGHDL.cli.DOM
+@geindex module; pyGHDL.cli.dom
@c #-----------------------------------
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{1b6,,Application}:
+A mixin class (interface) to provide class-local terminal writing methods.
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Application (class in pyGHDL.cli.dom)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application}@anchor{1b6}
+@deffn {Class} pyGHDL.cli.dom.Application (*args, **kwargs)
+
+@subsubheading Inheritance
+
+@image{inheritance-5a259c619302a2ec2f1f98171ce3061e2d77bc31,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex HeadLine (pyGHDL.cli.dom.Application attribute)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HeadLine}@anchor{1b7}
+@deffn {Attribute} HeadLine = 'pyGHDL.dom @w{-} Test Application'
+@end deffn
+
+@geindex __PLATFORM (pyGHDL.cli.dom.Application attribute)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application __PLATFORM}@anchor{1b8}
+@deffn {Attribute} __PLATFORM = 'Darwin'
+@end deffn
+
+@geindex _design (pyGHDL.cli.dom.Application attribute)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _design}@anchor{1b9}
+@deffn {Attribute} _design: @ref{1ba,,pyGHDL.dom.NonStandard.Design}
+@end deffn
+
+@geindex Platform (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Platform}@anchor{1bb}
+@deffn {Property} Platform
+@end deffn
+
+@geindex PrintHeadline() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application PrintHeadline}@anchor{1bc}
+@deffn {Method} PrintHeadline ()
+@end deffn
+
+@geindex Run() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Run}@anchor{1bd}
+@deffn {Method} Run ()
+@end deffn
+
+@geindex HandleDefault() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandleDefault}@anchor{1be}
+@deffn {Method} HandleDefault (_)
+@end deffn
+
+@geindex HandleHelp() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandleHelp}@anchor{1bf}
+@deffn {Method} HandleHelp (args)
+@end deffn
+
+@geindex HandleInfo() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandleInfo}@anchor{1c0}
+@deffn {Method} HandleInfo (args)
+@end deffn
+
+@geindex HandlePretty() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HandlePretty}@anchor{1c1}
+@deffn {Method} HandlePretty (args)
+@end deffn
+
+@geindex addFile() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application addFile}@anchor{1c2}
+@deffn {Method} addFile (filename, library)
+
+@*Return type:
+@ref{1c3,,Document}
+
+@end deffn
+
+@geindex BaseIndent (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application BaseIndent}@anchor{1c4}
+@deffn {Property} BaseIndent: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+
+@geindex Debug (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Debug}@anchor{1c5}
+@deffn {Property} Debug: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+Returns true, if debug messages are enabled.
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex ExitOnPreviousErrors() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application ExitOnPreviousErrors}@anchor{1c6}
+@deffn {Method} ExitOnPreviousErrors ()
+
+Exit application if errors have been printed.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex ExitOnPreviousWarnings() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application ExitOnPreviousWarnings}@anchor{1c7}
+@deffn {Method} ExitOnPreviousWarnings ()
+
+Exit application if warnings have been printed.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex FATAL_EXIT_CODE (pyGHDL.cli.dom.Application attribute)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application FATAL_EXIT_CODE}@anchor{1c8}
+@deffn {Attribute} FATAL_EXIT_CODE = 255
+@end deffn
+
+@geindex Foreground (pyGHDL.cli.dom.Application attribute)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Foreground}@anchor{1c9}
+@deffn {Attribute} Foreground = @{'BLUE': '\x1b[94m', 'CYAN': '\x1b[96m', 'DARK_BLUE': '\x1b[34m', 'DARK_CYAN': '\x1b[36m', 'DARK_GRAY': '\x1b[90m', 'DARK_GREEN': '\x1b[32m', 'DARK_RED': '\x1b[31m', 'DARK_YELLOW': '\x1b[33m', 'ERROR': '\x1b[91m', 'GRAY': '\x1b[37m', 'GREEN': '\x1b[92m', 'HEADLINE': '\x1b[95m', 'MAGENTA': '\x1b[95m', 'NOCOLOR': '\x1b[39m', 'RED': '\x1b[91m', 'WARNING': '\x1b[93m', 'WHITE': '\x1b[97m', 'YELLOW': '\x1b[93m'@}
+
+Terminal colors
+@end deffn
+
+@geindex GetAttributes() (pyGHDL.cli.dom.Application static method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetAttributes}@anchor{1ca}
+@deffn {Method} static GetAttributes (method, filter=<class 'pyAttributes.Attribute'>)
+
+Returns a list of pyAttributes attached to the given method.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{TAttr}, bound= @code{Attribute})]
+
+@end deffn
+
+@geindex GetMethods() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetMethods}@anchor{1cb}
+@deffn {Method} GetMethods (filter=<class 'pyAttributes.Attribute'>)
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callable}, List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{TAttr}, bound= @code{Attribute})]], bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}]
+
+@end deffn
+
+@geindex GetTerminalSize() (pyGHDL.cli.dom.Application static method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application GetTerminalSize}@anchor{1cc}
+@deffn {Method} static GetTerminalSize ()
+
+Returns the terminal size as tuple (width, height) for Windows, Mac OS (Darwin), Linux, cygwin (Windows), MinGW32/64 (Windows).
+
+@*Return type:
+Tuple@footnote{https://docs.python.org/3.6/library/typing.html#typing.Tuple}[int@footnote{https://docs.python.org/3.6/library/functions.html#int}, int@footnote{https://docs.python.org/3.6/library/functions.html#int}]
+
+@end deffn
+
+@geindex HasAttribute() (pyGHDL.cli.dom.Application static method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application HasAttribute}@anchor{1cd}
+@deffn {Method} static HasAttribute (method, filter=<class 'pyAttributes.Attribute'>)
+
+Returns true, if the given method has pyAttributes attached.
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Height (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Height}@anchor{1ce}
+@deffn {Property} Height: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+Returns the current terminal window’s height.
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+
+@geindex LogLevel (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application LogLevel}@anchor{1cf}
+@deffn {Property} LogLevel: pyTooling.TerminalUI.Severity
+
+Return the current minimal severity level for writing.
+
+@*Return type:
+@code{Severity}
+
+@end deffn
+
+@geindex MainParser (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application MainParser}@anchor{1d0}
+@deffn {Property} MainParser: argparse.ArgumentParser@footnote{https://docs.python.org/3.6/library/argparse.html#argparse.ArgumentParser}
+
+Returns the main parser.
+
+@*Return type:
+ArgumentParser@footnote{https://docs.python.org/3.6/library/argparse.html#argparse.ArgumentParser}
+
+@end deffn
+
+@geindex Quiet (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Quiet}@anchor{1d1}
+@deffn {Property} Quiet: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+Returns true, if quiet mode is enabled.
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex SubParsers (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application SubParsers}@anchor{1d2}
+@deffn {Property} SubParsers
+
+Returns the sub-parsers.
+@end deffn
+
+@geindex Terminal (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Terminal}@anchor{1d3}
+@deffn {Property} Terminal: pyTooling.TerminalUI.Terminal
+
+Return the local terminal instance.
+
+@*Return type:
+@code{Terminal}
+
+@end deffn
+
+@geindex TryWriteLine() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application TryWriteLine}@anchor{1d4}
+@deffn {Method} TryWriteLine (line)
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Verbose (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Verbose}@anchor{1d5}
+@deffn {Property} Verbose: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+Returns true, if verbose messages are enabled.
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Width (pyGHDL.cli.dom.Application property)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application Width}@anchor{1d6}
+@deffn {Property} Width: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+Returns the current terminal window’s width.
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+
+@geindex WriteDebug() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteDebug}@anchor{1d7}
+@deffn {Method} WriteDebug (message, indent=2, appendLinebreak=True)
+
+Write a debug message if @code{condition} is true.
+@end deffn
+
+@geindex WriteDryRun() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteDryRun}@anchor{1d8}
+@deffn {Method} WriteDryRun (message, indent=2, appendLinebreak=True)
+
+Write a dry-run message if @code{condition} is true.
+@end deffn
+
+@geindex WriteError() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteError}@anchor{1d9}
+@deffn {Method} WriteError (message, indent=0, appendLinebreak=True)
+
+Write an error message if @code{condition} is true.
+@end deffn
+
+@geindex WriteFatal() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteFatal}@anchor{1da}
+@deffn {Method} WriteFatal (message, indent=0, appendLinebreak=True, immediateExit=True)
+
+Write a fatal message if @code{condition} is true.
+@end deffn
+
+@geindex WriteInfo() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteInfo}@anchor{1db}
+@deffn {Method} WriteInfo (message, indent=0, appendLinebreak=True)
+
+Write a info message if @code{condition} is true.
+@end deffn
+
+@geindex WriteLine() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteLine}@anchor{1dc}
+@deffn {Method} WriteLine (line)
+
+Print a formatted line to the underlying terminal/console offered by the operating system.
+@end deffn
+
+@geindex WriteNormal() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteNormal}@anchor{1dd}
+@deffn {Method} WriteNormal (message, indent=0, appendLinebreak=True)
+
+Write a @emph{normal} message if @code{condition} is true.
+@end deffn
+
+@geindex WriteQuiet() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteQuiet}@anchor{1de}
+@deffn {Method} WriteQuiet (message, indent=0, appendLinebreak=True)
+
+Write a message even in quiet mode if @code{condition} is true.
+@end deffn
+
+@geindex WriteVerbose() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteVerbose}@anchor{1df}
+@deffn {Method} WriteVerbose (message, indent=1, appendLinebreak=True)
+
+Write a verbose message if @code{condition} is true.
+@end deffn
+
+@geindex WriteWarning() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application WriteWarning}@anchor{1e0}
+@deffn {Method} WriteWarning (message, indent=0, appendLinebreak=True)
+
+Write a warning message if @code{condition} is true.
+@end deffn
+
+@geindex _EnabledAutoComplete() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _EnabledAutoComplete}@anchor{1e1}
+@deffn {Method} _EnabledAutoComplete ()
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex _LOG_MESSAGE_FORMAT__ (pyGHDL.cli.dom.Application attribute)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _LOG_MESSAGE_FORMAT__}@anchor{1e2}
+@deffn {Attribute} _LOG_MESSAGE_FORMAT__ = @{Severity.Debug: '@{DARK_GRAY@}@{message@}@{NOCOLOR@}', Severity.Verbose: '@{GRAY@}@{message@}@{NOCOLOR@}', Severity.Normal: '@{WHITE@}@{message@}@{NOCOLOR@}', Severity.DryRun: '@{DARK_CYAN@}[DRY] @{message@}@{NOCOLOR@}', Severity.Info: '@{WHITE@}@{message@}@{NOCOLOR@}', Severity.Warning: '@{YELLOW@}[WARNING]@{message@}@{NOCOLOR@}', Severity.Quiet: '@{WHITE@}@{message@}@{NOCOLOR@}', Severity.Error: '@{RED@}[ERROR] @{message@}@{NOCOLOR@}', Severity.Fatal: '@{DARK_RED@}[FATAL] @{message@}@{NOCOLOR@}'@}
+
+Message formatting rules.
+@end deffn
+
+@geindex _ParseArguments() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _ParseArguments}@anchor{1e3}
+@deffn {Method} _ParseArguments ()
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex _RouteToHandler() (pyGHDL.cli.dom.Application method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _RouteToHandler}@anchor{1e4}
+@deffn {Method} _RouteToHandler (args)
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex _height (pyGHDL.cli.dom.Application attribute)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _height}@anchor{1e5}
+@deffn {Attribute} _height: int@footnote{https://docs.python.org/3.6/library/functions.html#int} = None
+
+Terminal height in characters
+@end deffn
+
+@geindex _terminal (pyGHDL.cli.dom.Application attribute)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _terminal}@anchor{1e6}
+@deffn {Attribute} _terminal = None
+@end deffn
+
+@geindex _width (pyGHDL.cli.dom.Application attribute)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application _width}@anchor{1e7}
+@deffn {Attribute} _width: int@footnote{https://docs.python.org/3.6/library/functions.html#int} = None
+
+Terminal width in characters
+@end deffn
+
+@geindex deinitColors() (pyGHDL.cli.dom.Application class method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application deinitColors}@anchor{1e8}
+@deffn {Method} classmethod deinitColors ()
+
+Uninitialize the terminal for color support by colorama.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex exit() (pyGHDL.cli.dom.Application class method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application exit}@anchor{1e9}
+@deffn {Method} classmethod exit (returnCode=0)
+
+Exit the terminal application by uninitializing color support and returning an exit code.
+
+@*Return type:
+NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
+
+@end deffn
+
+@geindex fatalExit() (pyGHDL.cli.dom.Application class method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application fatalExit}@anchor{1ea}
+@deffn {Method} classmethod fatalExit (returnCode=0)
+
+Exit the terminal application by uninitializing color support and returning a fatal exit code.
+
+@*Return type:
+NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
+
+@end deffn
+
+@geindex initColors() (pyGHDL.cli.dom.Application class method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application initColors}@anchor{1eb}
+@deffn {Method} classmethod initColors ()
+
+Initialize the terminal for color support by colorama.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex printException() (pyGHDL.cli.dom.Application class method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printException}@anchor{1ec}
+@deffn {Method} classmethod printException (ex)
+
+Prints an exception of type Exception@footnote{https://docs.python.org/3.6/library/exceptions.html#Exception}.
+
+@*Return type:
+NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
+
+@end deffn
+
+@geindex printExceptionBase() (pyGHDL.cli.dom.Application class method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printExceptionBase}@anchor{1ed}
+@deffn {Method} classmethod printExceptionBase (ex)
+
+@*Return type:
+NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
+
+@end deffn
+
+@geindex printNotImplementedError() (pyGHDL.cli.dom.Application class method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application printNotImplementedError}@anchor{1ee}
+@deffn {Method} classmethod printNotImplementedError (ex)
+
+Prints a not-implemented exception of type NotImplementedError@footnote{https://docs.python.org/3.6/library/exceptions.html#NotImplementedError}.
+
+@*Return type:
+NoReturn@footnote{https://docs.python.org/3.6/library/typing.html#typing.NoReturn}
+
+@end deffn
+
+@geindex versionCheck() (pyGHDL.cli.dom.Application class method)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application versionCheck}@anchor{1ef}
+@deffn {Method} classmethod versionCheck (version)
+
+Check if the used Python interpreter fulfills the minimum version requirements.
+
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex __mainParser (pyGHDL.cli.dom.Application attribute)
+@anchor{pyGHDL/pyGHDL cli dom pyGHDL cli dom Application __mainParser}@anchor{1f0}
+@deffn {Attribute} __mainParser: ArgumentParser
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -6727,8 +7275,8 @@ written in Python. The implementation offers an HTTPS service that can be used e
@c # define a hard line break for HTML
-@node pyGHDL cli lsp,,pyGHDL cli DOM,pyGHDL cli
-@anchor{pyGHDL/pyGHDL cli lsp doc}@anchor{1b0}@anchor{pyGHDL/pyGHDL cli lsp module-pyGHDL cli lsp}@anchor{3}@anchor{pyGHDL/pyGHDL cli lsp pyghdl-cli-lsp}@anchor{1b1}
+@node pyGHDL cli lsp,,pyGHDL cli dom,pyGHDL cli
+@anchor{pyGHDL/pyGHDL cli lsp doc}@anchor{1f1}@anchor{pyGHDL/pyGHDL cli lsp module-pyGHDL cli lsp}@anchor{3}@anchor{pyGHDL/pyGHDL cli lsp pyghdl-cli-lsp}@anchor{1f2}
@subsection pyGHDL.cli.lsp
@@ -6742,7 +7290,7 @@ written in Python. The implementation offers an HTTPS service that can be used e
@itemize -
@item
-@ref{1b2,,main()}:
+@ref{1f3,,main()}:
Entrypoint of GHDL’s Language Protocol Server.
@end itemize
@@ -6751,16 +7299,15 @@ Entrypoint of GHDL’s Language Protocol Server.
@strong{Functions}
@geindex main() (in module pyGHDL.cli.lsp)
-@anchor{pyGHDL/pyGHDL cli lsp pyGHDL cli lsp main}@anchor{1b2}
+@anchor{pyGHDL/pyGHDL cli lsp pyGHDL cli lsp main}@anchor{1f3}
@deffn {Function} pyGHDL.cli.lsp.main ()
-
Entrypoint of GHDL’s Language Protocol Server.
@end deffn
@c #-----------------------------------
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -6781,7 +7328,7 @@ Entrypoint of GHDL’s Language Protocol Server.
@c # define a hard line break for HTML
@node pyGHDL dom,pyGHDL libghdl,pyGHDL cli,pyGHDL
-@anchor{pyGHDL/pyGHDL dom doc}@anchor{1b3}@anchor{pyGHDL/pyGHDL dom module-pyGHDL dom}@anchor{4}@anchor{pyGHDL/pyGHDL dom pyghdl-dom}@anchor{1b4}
+@anchor{pyGHDL/pyGHDL dom doc}@anchor{1f4}@anchor{pyGHDL/pyGHDL dom module-pyGHDL dom}@anchor{4}@anchor{pyGHDL/pyGHDL dom pyghdl-dom}@anchor{1f5}
@section pyGHDL.dom
@@ -6791,7 +7338,7 @@ Entrypoint of GHDL’s Language Protocol Server.
@strong{Submodules}
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -6813,15 +7360,19 @@ Entrypoint of GHDL’s Language Protocol Server.
@menu
* pyGHDL.dom.Aggregates: pyGHDL dom Aggregates.
-* pyGHDL.dom.Common: pyGHDL dom Common.
+* pyGHDL.dom.Attribute: pyGHDL dom Attribute.
+* pyGHDL.dom.Concurrent: pyGHDL dom Concurrent.
* pyGHDL.dom.DesignUnit: pyGHDL dom DesignUnit.
* pyGHDL.dom.Expression: pyGHDL dom Expression.
* pyGHDL.dom.InterfaceItem: pyGHDL dom InterfaceItem.
* pyGHDL.dom.Literal: pyGHDL dom Literal.
* pyGHDL.dom.Misc: pyGHDL dom Misc.
+* pyGHDL.dom.Names: pyGHDL dom Names.
* pyGHDL.dom.NonStandard: pyGHDL dom NonStandard.
* pyGHDL.dom.Object: pyGHDL dom Object.
+* pyGHDL.dom.PSL: pyGHDL dom PSL.
* pyGHDL.dom.Range: pyGHDL dom Range.
+* pyGHDL.dom.Sequential: pyGHDL dom Sequential.
* pyGHDL.dom.Subprogram: pyGHDL dom Subprogram.
* pyGHDL.dom.Symbol: pyGHDL dom Symbol.
* pyGHDL.dom.Type: pyGHDL dom Type.
@@ -6831,8 +7382,8 @@ Entrypoint of GHDL’s Language Protocol Server.
@end menu
-@node pyGHDL dom Aggregates,pyGHDL dom Common,,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Aggregates doc}@anchor{1b5}@anchor{pyGHDL/pyGHDL dom Aggregates module-pyGHDL dom Aggregates}@anchor{7}@anchor{pyGHDL/pyGHDL dom Aggregates pyghdl-dom-aggregates}@anchor{1b6}
+@node pyGHDL dom Aggregates,pyGHDL dom Attribute,,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Aggregates doc}@anchor{1f6}@anchor{pyGHDL/pyGHDL dom Aggregates module-pyGHDL dom Aggregates}@anchor{7}@anchor{pyGHDL/pyGHDL dom Aggregates pyghdl-dom-aggregates}@anchor{1f7}
@subsection pyGHDL.dom.Aggregates
@@ -6851,266 +7402,611 @@ This module contains all DOM classes for VHDL’s design units (@code{context},
@itemize -
@item
-@ref{1b7,,SimpleAggregateElement}:
+@ref{1f8,,SimpleAggregateElement}:
A @code{AggregateElement} is a base-class for all aggregate elements.
@item
-@ref{1b8,,IndexedAggregateElement}:
+@ref{1f9,,IndexedAggregateElement}:
A @code{AggregateElement} is a base-class for all aggregate elements.
@item
-@ref{1b9,,RangedAggregateElement}:
+@ref{1fa,,RangedAggregateElement}:
A @code{AggregateElement} is a base-class for all aggregate elements.
@item
-@ref{1ba,,NamedAggregateElement}:
+@ref{1fb,,NamedAggregateElement}:
A @code{AggregateElement} is a base-class for all aggregate elements.
@item
-@ref{1bb,,OthersAggregateElement}:
+@ref{1fc,,OthersAggregateElement}:
A @code{AggregateElement} is a base-class for all aggregate elements.
@end itemize
@c #-----------------------------------
@geindex SimpleAggregateElement (class in pyGHDL.dom.Aggregates)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement}@anchor{1b7}
-@deffn {Class} pyGHDL.dom.Aggregates.SimpleAggregateElement (expression)
-
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement}@anchor{1f8}
+@deffn {Class} pyGHDL.dom.Aggregates.SimpleAggregateElement (node, expression)
@subsubheading Inheritance
-@image{inheritance-c3f93d0a4b56a1759e92cc9ef374dc830c3ec38e,,,[graphviz],png}
+@image{inheritance-094d035d8ac6263a8d2280282a3fcae0147d7cbb,,,[graphviz],png}
@subsubheading Members
-@geindex _expression (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _expression}@anchor{1bc}
-@deffn {Attribute} _expression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
-
-@geindex Expression() (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Expression}@anchor{1bd}
-@deffn {Method} property Expression
+@geindex Expression (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Expression}@anchor{1fd}
+@deffn {Property} Expression
@end deffn
-@geindex Parent() (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Parent}@anchor{1be}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Parent}@anchor{1fe}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Aggregates.SimpleAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement Position}@anchor{1ff}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _position}@anchor{201}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+@geindex _expression (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _expression}@anchor{202}
+@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _parent}@anchor{1bf}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _parent}@anchor{209}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Aggregates.SimpleAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates SimpleAggregateElement _iirNode}@anchor{20a}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex IndexedAggregateElement (class in pyGHDL.dom.Aggregates)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement}@anchor{1b8}
-@deffn {Class} pyGHDL.dom.Aggregates.IndexedAggregateElement (index, expression)
-
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement}@anchor{1f9}
+@deffn {Class} pyGHDL.dom.Aggregates.IndexedAggregateElement (node, index, expression)
@subsubheading Inheritance
-@image{inheritance-d548b2779defea5a031c9d23d07c8a959a047399,,,[graphviz],png}
+@image{inheritance-fab95bc7356bae521e3948f44b940b59c8d99d71,,,[graphviz],png}
@subsubheading Members
-@geindex _index (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _index}@anchor{1c0}
-@deffn {Attribute} _index: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
-@end deffn
-
-@geindex _expression (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _expression}@anchor{1c1}
-@deffn {Attribute} _expression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
-
-@geindex Expression() (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Expression}@anchor{1c2}
-@deffn {Method} property Expression
+@geindex Expression (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Expression}@anchor{20b}
+@deffn {Property} Expression
@end deffn
-@geindex Index() (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Index}@anchor{1c3}
-@deffn {Method} property Index
+@geindex Index (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Index}@anchor{20c}
+@deffn {Property} Index: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@*Return type:
int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@end deffn
-@geindex Parent() (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Parent}@anchor{1c4}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Parent}@anchor{20d}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
+@geindex Position (pyGHDL.dom.Aggregates.IndexedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement Position}@anchor{20e}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _position}@anchor{20f}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _index (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _index}@anchor{210}
+@deffn {Attribute} _index: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _expression}@anchor{211}
+@deffn {Attribute} _expression: ExpressionUnion
+@end deffn
+
@geindex _parent (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _parent}@anchor{1c5}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _parent}@anchor{212}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Aggregates.IndexedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates IndexedAggregateElement _iirNode}@anchor{213}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex RangedAggregateElement (class in pyGHDL.dom.Aggregates)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement}@anchor{1b9}
-@deffn {Class} pyGHDL.dom.Aggregates.RangedAggregateElement (r, expression)
-
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement}@anchor{1fa}
+@deffn {Class} pyGHDL.dom.Aggregates.RangedAggregateElement (node, rng, expression)
@subsubheading Inheritance
-@image{inheritance-59dd78653d6e3b19ed404fa221cba86546ff6948,,,[graphviz],png}
+@image{inheritance-400bb08caf111e6fdeddb2d6eae4ecd3c34a8112,,,[graphviz],png}
@subsubheading Members
+@geindex Expression (pyGHDL.dom.Aggregates.RangedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Expression}@anchor{214}
+@deffn {Property} Expression
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Aggregates.RangedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Parent}@anchor{215}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Aggregates.RangedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Position}@anchor{216}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Range (pyGHDL.dom.Aggregates.RangedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Range}@anchor{217}
+@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@*Return type:
+Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _position}@anchor{218}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _range (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _range}@anchor{1c6}
-@deffn {Attribute} _range: pyVHDLModel.VHDLModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _range}@anchor{219}
+@deffn {Attribute} _range: @ref{21a,,Range}
@end deffn
@geindex _expression (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _expression}@anchor{1c7}
-@deffn {Attribute} _expression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _expression}@anchor{21b}
+@deffn {Attribute} _expression: ExpressionUnion
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _parent}@anchor{21c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _iirNode}@anchor{21d}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex NamedAggregateElement (class in pyGHDL.dom.Aggregates)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement}@anchor{1fb}
+@deffn {Class} pyGHDL.dom.Aggregates.NamedAggregateElement (node, name, expression)
+
+@subsubheading Inheritance
+
+@image{inheritance-9a6481fcf200cfa752c3fe259793c105b1a4c2cd,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Expression (pyGHDL.dom.Aggregates.NamedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Expression}@anchor{21e}
+@deffn {Property} Expression
@end deffn
-@geindex Expression() (pyGHDL.dom.Aggregates.RangedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Expression}@anchor{1c8}
-@deffn {Method} property Expression
+@geindex Name (pyGHDL.dom.Aggregates.NamedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Name}@anchor{21f}
+@deffn {Property} Name: pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
+
+@*Return type:
+Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
+
@end deffn
-@geindex Parent() (pyGHDL.dom.Aggregates.RangedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Parent}@anchor{1c9}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Aggregates.NamedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Parent}@anchor{220}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Range() (pyGHDL.dom.Aggregates.RangedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement Range}@anchor{1ca}
-@deffn {Method} property Range
+@geindex Position (pyGHDL.dom.Aggregates.NamedAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Position}@anchor{221}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+@ref{200,,Position}
@end deffn
-@geindex _parent (pyGHDL.dom.Aggregates.RangedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates RangedAggregateElement _parent}@anchor{1cb}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _position (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _position}@anchor{222}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
+
+@geindex _name (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _name}@anchor{223}
+@deffn {Attribute} _name: pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
@end deffn
-@geindex NamedAggregateElement (class in pyGHDL.dom.Aggregates)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement}@anchor{1ba}
-@deffn {Class} pyGHDL.dom.Aggregates.NamedAggregateElement (name, expression)
+@geindex _expression (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _expression}@anchor{224}
+@deffn {Attribute} _expression: ExpressionUnion
+@end deffn
+@geindex _parent (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _parent}@anchor{225}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _iirNode}@anchor{226}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex OthersAggregateElement (class in pyGHDL.dom.Aggregates)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement}@anchor{1fc}
+@deffn {Class} pyGHDL.dom.Aggregates.OthersAggregateElement (node, expression)
@subsubheading Inheritance
-@image{inheritance-6aa50dbf95357e4ec2b8d89c8516c4771649aae7,,,[graphviz],png}
+@image{inheritance-e573fcf918da4f333a6d5ddfbd0f00b8ee3260c6,,,[graphviz],png}
@subsubheading Members
-@geindex _name (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _name}@anchor{1cc}
-@deffn {Attribute} _name: pyVHDLModel.VHDLModel.EnumerationLiteralSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EnumerationLiteralSymbol}
+@geindex Expression (pyGHDL.dom.Aggregates.OthersAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Expression}@anchor{227}
+@deffn {Property} Expression
@end deffn
-@geindex _expression (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _expression}@anchor{1cd}
-@deffn {Attribute} _expression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Parent (pyGHDL.dom.Aggregates.OthersAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Parent}@anchor{228}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Aggregates.OthersAggregateElement property)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Position}@anchor{229}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _position}@anchor{22a}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _expression}@anchor{22b}
+@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _parent}@anchor{22c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
+@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _iirNode}@anchor{22d}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
@end deffn
-@geindex Expression() (pyGHDL.dom.Aggregates.NamedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Expression}@anchor{1ce}
-@deffn {Method} property Expression
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Attribute,pyGHDL dom Concurrent,pyGHDL dom Aggregates,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Attribute doc}@anchor{22e}@anchor{pyGHDL/pyGHDL dom Attribute module-pyGHDL dom Attribute}@anchor{8}@anchor{pyGHDL/pyGHDL dom Attribute pyghdl-dom-attribute}@anchor{22f}
+@subsection pyGHDL.dom.Attribute
+
+
+@geindex module; pyGHDL.dom.Attribute
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{230,,Attribute}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{231,,AttributeSpecification}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Attribute (class in pyGHDL.dom.Attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute}@anchor{230}
+@deffn {Class} pyGHDL.dom.Attribute.Attribute (node, identifier, subtype)
+
+@subsubheading Inheritance
+
+@image{inheritance-e9f9fcfef112eb79a427bce041118413269950ce,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Attribute.Attribute class method)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute parse}@anchor{232}
+@deffn {Method} classmethod parse (attributeNode)
+
+@*Return type:
+@ref{230,,Attribute}
+
@end deffn
-@geindex Name() (pyGHDL.dom.Aggregates.NamedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Name}@anchor{1cf}
-@deffn {Method} property Name
+@geindex Identifier (pyGHDL.dom.Attribute.Attribute property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Identifier}@anchor{233}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
-EnumerationLiteralSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EnumerationLiteralSymbol}
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.Aggregates.NamedAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement Parent}@anchor{1d0}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Attribute.Attribute property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Parent}@anchor{234}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex _parent (pyGHDL.dom.Aggregates.NamedAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates NamedAggregateElement _parent}@anchor{1d1}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex Position (pyGHDL.dom.Attribute.Attribute property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Position}@anchor{235}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
+
+@geindex Subtype (pyGHDL.dom.Attribute.Attribute property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute Subtype}@anchor{236}
+@deffn {Property} Subtype
@end deffn
-@geindex OthersAggregateElement (class in pyGHDL.dom.Aggregates)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement}@anchor{1bb}
-@deffn {Class} pyGHDL.dom.Aggregates.OthersAggregateElement (expression)
+@geindex _position (pyGHDL.dom.Attribute.Attribute attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _position}@anchor{237}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _subtype (pyGHDL.dom.Attribute.Attribute attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _subtype}@anchor{238}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Attribute.Attribute attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _parent}@anchor{23a}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Attribute.Attribute attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _identifier}@anchor{23b}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Attribute.Attribute attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute Attribute _iirNode}@anchor{23c}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+@geindex AttributeSpecification (class in pyGHDL.dom.Attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification}@anchor{231}
+@deffn {Class} pyGHDL.dom.Attribute.AttributeSpecification (node, identifiers, attribute, entityClass, expression)
@subsubheading Inheritance
-@image{inheritance-667f878795f3a861c81b3a6c7eb7bbb7413bfb7c,,,[graphviz],png}
+@image{inheritance-8c59092819180816ebdb9591534a7408ef25f164,,,[graphviz],png}
@subsubheading Members
-@geindex _expression (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _expression}@anchor{1d2}
-@deffn {Attribute} _expression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex parse() (pyGHDL.dom.Attribute.AttributeSpecification class method)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification parse}@anchor{23d}
+@deffn {Method} classmethod parse (attributeNode)
+
+@*Return type:
+@ref{231,,AttributeSpecification}
+
@end deffn
-@geindex Expression() (pyGHDL.dom.Aggregates.OthersAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Expression}@anchor{1d3}
-@deffn {Method} property Expression
+@geindex Attribute (pyGHDL.dom.Attribute.AttributeSpecification property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Attribute}@anchor{23e}
+@deffn {Property} Attribute: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex EntityClass (pyGHDL.dom.Attribute.AttributeSpecification property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification EntityClass}@anchor{23f}
+@deffn {Property} EntityClass: pyVHDLModel.EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.EntityClass}
+
+@*Return type:
+EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.EntityClass}
+
+@end deffn
+
+@geindex Expression (pyGHDL.dom.Attribute.AttributeSpecification property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Expression}@anchor{240}
+@deffn {Property} Expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Identifiers (pyGHDL.dom.Attribute.AttributeSpecification property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Identifiers}@anchor{241}
+@deffn {Property} Identifiers: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
@end deffn
-@geindex Parent() (pyGHDL.dom.Aggregates.OthersAggregateElement property)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement Parent}@anchor{1d4}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Attribute.AttributeSpecification property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Parent}@anchor{242}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex _parent (pyGHDL.dom.Aggregates.OthersAggregateElement attribute)
-@anchor{pyGHDL/pyGHDL dom Aggregates pyGHDL dom Aggregates OthersAggregateElement _parent}@anchor{1d5}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex Position (pyGHDL.dom.Attribute.AttributeSpecification property)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification Position}@anchor{243}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Attribute.AttributeSpecification attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _position}@anchor{244}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
+
+@geindex _identifiers (pyGHDL.dom.Attribute.AttributeSpecification attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _identifiers}@anchor{245}
+@deffn {Attribute} _identifiers: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@end deffn
+
+@geindex _attribute (pyGHDL.dom.Attribute.AttributeSpecification attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _attribute}@anchor{246}
+@deffn {Attribute} _attribute: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _entityClass (pyGHDL.dom.Attribute.AttributeSpecification attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _entityClass}@anchor{247}
+@deffn {Attribute} _entityClass: pyVHDLModel.EntityClass@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.EntityClass}
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Attribute.AttributeSpecification attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _expression}@anchor{248}
+@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@geindex _parent (pyGHDL.dom.Attribute.AttributeSpecification attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _parent}@anchor{249}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Attribute.AttributeSpecification attribute)
+@anchor{pyGHDL/pyGHDL dom Attribute pyGHDL dom Attribute AttributeSpecification _iirNode}@anchor{24a}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -7130,90 +8026,2301 @@ ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.
@c # define a hard line break for HTML
-@node pyGHDL dom Common,pyGHDL dom DesignUnit,pyGHDL dom Aggregates,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Common doc}@anchor{1d6}@anchor{pyGHDL/pyGHDL dom Common module-pyGHDL dom Common}@anchor{8}@anchor{pyGHDL/pyGHDL dom Common pyghdl-dom-common}@anchor{1d7}
-@subsection pyGHDL.dom.Common
+@node pyGHDL dom Concurrent,pyGHDL dom DesignUnit,pyGHDL dom Attribute,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Concurrent doc}@anchor{24b}@anchor{pyGHDL/pyGHDL dom Concurrent module-pyGHDL dom Concurrent}@anchor{9}@anchor{pyGHDL/pyGHDL dom Concurrent pyghdl-dom-concurrent}@anchor{24c}
+@subsection pyGHDL.dom.Concurrent
-@geindex module; pyGHDL.dom.Common
-
-@cartouche
-@quotation Todo
-Add a module documentation.
-@end quotation
-@end cartouche
+@geindex module; pyGHDL.dom.Concurrent
@c #-----------------------------------
-@strong{Exceptions}
+@strong{Classes}
@itemize -
@item
-@ref{1d8,,DOMException}:
-Common base class for all non-exit exceptions.
+@ref{24d,,GenericAssociationItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{1d9,,GHDLException}:
-Common base class for all non-exit exceptions.
+@ref{24e,,PortAssociationItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{24f,,ParameterAssociationItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{250,,ComponentInstantiation}:
+A @code{ConcurrentStatement} is a base-class for all concurrent statements.
+
+@item
+@ref{251,,EntityInstantiation}:
+A @code{ConcurrentStatement} is a base-class for all concurrent statements.
+
+@item
+@ref{252,,ConfigurationInstantiation}:
+A @code{ConcurrentStatement} is a base-class for all concurrent statements.
+
+@item
+@ref{253,,ConcurrentBlockStatement}:
+A @code{ConcurrentStatement} is a base-class for all concurrent statements.
+
+@item
+@ref{254,,ProcessStatement}:
+A @code{ConcurrentStatement} is a base-class for all concurrent statements.
+
+@item
+@ref{255,,IfGenerateBranch}:
+A @code{GenerateBranch} is a base-class for all branches in a generate statements.
+
+@item
+@ref{256,,ElsifGenerateBranch}:
+A @code{GenerateBranch} is a base-class for all branches in a generate statements.
+
+@item
+@ref{257,,ElseGenerateBranch}:
+A @code{GenerateBranch} is a base-class for all branches in a generate statements.
+
+@item
+@ref{258,,IfGenerateStatement}:
+A @code{GenerateStatement} is a base-class for all generate statements.
+
+@item
+@ref{259,,IndexedGenerateChoice}:
+A @code{ConcurrentChoice} is a base-class for all concurrent choices
+
+@item
+@ref{25a,,RangedGenerateChoice}:
+A @code{ConcurrentChoice} is a base-class for all concurrent choices
+
+@item
+@ref{25b,,GenerateCase}:
+A @code{Case} is a base-class for all cases.
+
+@item
+@ref{25c,,OthersGenerateCase}:
+A @code{Case} is a base-class for all cases.
+
+@item
+@ref{25d,,CaseGenerateStatement}:
+A @code{GenerateStatement} is a base-class for all generate statements.
+
+@item
+@ref{25e,,ForGenerateStatement}:
+A @code{GenerateStatement} is a base-class for all generate statements.
+
+@item
+@ref{25f,,WaveformElement}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{260,,ConcurrentSimpleSignalAssignment}:
+A @code{ConcurrentStatement} is a base-class for all concurrent statements.
+
+@item
+@ref{261,,ConcurrentProcedureCall}:
+A @code{ConcurrentStatement} is a base-class for all concurrent statements.
+
+@item
+@ref{262,,ConcurrentAssertStatement}:
+A @code{ConcurrentStatement} is a base-class for all concurrent statements.
@end itemize
@c #-----------------------------------
-@geindex DOMException
-@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common DOMException}@anchor{1d8}
-@deffn {Exception} pyGHDL.dom.Common.DOMException
+@geindex GenericAssociationItem (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem}@anchor{24d}
+@deffn {Class} pyGHDL.dom.Concurrent.GenericAssociationItem (associationNode, actual, formal=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-36b8be10ac616305455d8d6cfc107f390a8808d0,,,[graphviz],png}
+
+@subsubheading Members
+@geindex Actual (pyGHDL.dom.Concurrent.GenericAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Actual}@anchor{263}
+@deffn {Property} Actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Formal (pyGHDL.dom.Concurrent.GenericAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Formal}@anchor{264}
+@deffn {Property} Formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.GenericAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Parent}@anchor{265}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.GenericAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem Position}@anchor{266}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _position}@anchor{267}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _formal (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _formal}@anchor{268}
+@deffn {Attribute} _formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _actual (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _actual}@anchor{269}
+@deffn {Attribute} _actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _parent}@anchor{26a}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.GenericAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenericAssociationItem _iirNode}@anchor{26b}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex PortAssociationItem (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem}@anchor{24e}
+@deffn {Class} pyGHDL.dom.Concurrent.PortAssociationItem (associationNode, actual, formal=None)
+
@subsubheading Inheritance
-@image{inheritance-dd8c6e30c3b945a37cf91ec1fb934b3d21e7d6c2,,,[graphviz],png}
+@image{inheritance-e0664eab34db930f4ca178d660c309c4c688ff45,,,[graphviz],png}
@subsubheading Members
-@geindex args (pyGHDL.dom.Common.DOMException attribute)
-@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common DOMException args}@anchor{1da}
-@deffn {Attribute} args
+@geindex Actual (pyGHDL.dom.Concurrent.PortAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Actual}@anchor{26c}
+@deffn {Property} Actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
@end deffn
-@geindex with_traceback() (pyGHDL.dom.Common.DOMException method)
-@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common DOMException with_traceback}@anchor{1db}
-@deffn {Method} with_traceback ()
+@geindex Formal (pyGHDL.dom.Concurrent.PortAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Formal}@anchor{26d}
+@deffn {Property} Formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.PortAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Parent}@anchor{26e}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.PortAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem Position}@anchor{26f}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
-Exception.with_traceback(tb) –
-set self.__traceback__ to tb and return self.
@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _position}@anchor{270}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _formal (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _formal}@anchor{271}
+@deffn {Attribute} _formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _actual (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _actual}@anchor{272}
+@deffn {Attribute} _actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex GHDLException
-@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common GHDLException}@anchor{1d9}
-@deffn {Exception} pyGHDL.dom.Common.GHDLException
+@geindex _parent (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _parent}@anchor{273}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.PortAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent PortAssociationItem _iirNode}@anchor{274}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ParameterAssociationItem (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem}@anchor{24f}
+@deffn {Class} pyGHDL.dom.Concurrent.ParameterAssociationItem (associationNode, actual, formal=None)
@subsubheading Inheritance
-@image{inheritance-bf4ac63cdf3401093f98d50534eb79f21ea57ad3,,,[graphviz],png}
+@image{inheritance-586d854f8b8f3c872f988b92ee947faedbc695ff,,,[graphviz],png}
@subsubheading Members
-@geindex args (pyGHDL.dom.Common.GHDLException attribute)
-@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common GHDLException args}@anchor{1dc}
-@deffn {Attribute} args
+@geindex Actual (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Actual}@anchor{275}
+@deffn {Property} Actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
@end deffn
-@geindex with_traceback() (pyGHDL.dom.Common.GHDLException method)
-@anchor{pyGHDL/pyGHDL dom Common pyGHDL dom Common GHDLException with_traceback}@anchor{1dd}
-@deffn {Method} with_traceback ()
+@geindex Formal (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Formal}@anchor{276}
+@deffn {Property} Formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
-Exception.with_traceback(tb) –
-set self.__traceback__ to tb and return self.
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Parent}@anchor{277}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.ParameterAssociationItem property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem Position}@anchor{278}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _position}@anchor{279}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _formal (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _formal}@anchor{27a}
+@deffn {Attribute} _formal: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _actual (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _actual}@anchor{27b}
+@deffn {Attribute} _actual: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _parent}@anchor{27c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.ParameterAssociationItem attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ParameterAssociationItem _iirNode}@anchor{27d}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ComponentInstantiation (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation}@anchor{250}
+@deffn {Class} pyGHDL.dom.Concurrent.ComponentInstantiation (instantiationNode, label, componentName, genericAssociations=None, portAssociations=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-5003c66a9271cbdb4ae9e751a83b119a3ccf23db,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.ComponentInstantiation class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation parse}@anchor{27e}
+@deffn {Method} classmethod parse (instantiationNode, instantiatedUnit, label)
+
+@*Return type:
+@ref{250,,ComponentInstantiation}
+
+@end deffn
+
+@geindex Component (pyGHDL.dom.Concurrent.ComponentInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Component}@anchor{27f}
+@deffn {Property} Component: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex GenericAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation GenericAssociations}@anchor{280}
+@deffn {Property} GenericAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.ComponentInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Label}@anchor{281}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ComponentInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Parent}@anchor{282}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex PortAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation PortAssociations}@anchor{283}
+@deffn {Property} PortAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.ComponentInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation Position}@anchor{284}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _position}@anchor{285}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _component (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _component}@anchor{286}
+@deffn {Attribute} _component: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _genericAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _genericAssociations}@anchor{287}
+@deffn {Attribute} _genericAssociations: List[AssociationItem]
+@end deffn
+
+@geindex _portAssociations (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _portAssociations}@anchor{288}
+@deffn {Attribute} _portAssociations: List[AssociationItem]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _parent}@anchor{289}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _label}@anchor{28a}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.ComponentInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ComponentInstantiation _iirNode}@anchor{28b}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex EntityInstantiation (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation}@anchor{251}
+@deffn {Class} pyGHDL.dom.Concurrent.EntityInstantiation (instantiationNode, label, entityName, architectureName=None, genericAssociations=None, portAssociations=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-f3f099a8d81052a1754bad0f04b7903e962a84ef,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.EntityInstantiation class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation parse}@anchor{28c}
+@deffn {Method} classmethod parse (instantiationNode, instantiatedUnit, label)
+
+@*Return type:
+@ref{251,,EntityInstantiation}
+
+@end deffn
+
+@geindex Architecture (pyGHDL.dom.Concurrent.EntityInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Architecture}@anchor{28d}
+@deffn {Property} Architecture: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex Entity (pyGHDL.dom.Concurrent.EntityInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Entity}@anchor{28e}
+@deffn {Property} Entity: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex GenericAssociations (pyGHDL.dom.Concurrent.EntityInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation GenericAssociations}@anchor{28f}
+@deffn {Property} GenericAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.EntityInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Label}@anchor{290}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.EntityInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Parent}@anchor{291}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex PortAssociations (pyGHDL.dom.Concurrent.EntityInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation PortAssociations}@anchor{292}
+@deffn {Property} PortAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.EntityInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation Position}@anchor{293}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _position}@anchor{294}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _entity (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _entity}@anchor{295}
+@deffn {Attribute} _entity: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _architecture (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _architecture}@anchor{296}
+@deffn {Attribute} _architecture: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _genericAssociations (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _genericAssociations}@anchor{297}
+@deffn {Attribute} _genericAssociations: List[AssociationItem]
+@end deffn
+
+@geindex _portAssociations (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _portAssociations}@anchor{298}
+@deffn {Attribute} _portAssociations: List[AssociationItem]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _parent}@anchor{299}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _label}@anchor{29a}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.EntityInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent EntityInstantiation _iirNode}@anchor{29b}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ConfigurationInstantiation (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation}@anchor{252}
+@deffn {Class} pyGHDL.dom.Concurrent.ConfigurationInstantiation (instantiationNode, label, configurationName, genericAssociations=None, portAssociations=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-c6ade273f2ac735f98b9c5aba822f49d8b229a27,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.ConfigurationInstantiation class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation parse}@anchor{29c}
+@deffn {Method} classmethod parse (instantiationNode, instantiatedUnit, label)
+
+@*Return type:
+@ref{252,,ConfigurationInstantiation}
+
+@end deffn
+
+@geindex Configuration (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Configuration}@anchor{29d}
+@deffn {Property} Configuration: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex GenericAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation GenericAssociations}@anchor{29e}
+@deffn {Property} GenericAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Label}@anchor{29f}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Parent}@anchor{2a0}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex PortAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation PortAssociations}@anchor{2a1}
+@deffn {Property} PortAssociations: List[pyVHDLModel.SyntaxModel.AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AssociationItem}]
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.ConfigurationInstantiation property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation Position}@anchor{2a2}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _position}@anchor{2a3}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _configuration (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _configuration}@anchor{2a4}
+@deffn {Attribute} _configuration: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _genericAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _genericAssociations}@anchor{2a5}
+@deffn {Attribute} _genericAssociations: List[AssociationItem]
+@end deffn
+
+@geindex _portAssociations (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _portAssociations}@anchor{2a6}
+@deffn {Attribute} _portAssociations: List[AssociationItem]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _parent}@anchor{2a7}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _label}@anchor{2a8}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.ConfigurationInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConfigurationInstantiation _iirNode}@anchor{2a9}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ConcurrentBlockStatement (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement}@anchor{253}
+@deffn {Class} pyGHDL.dom.Concurrent.ConcurrentBlockStatement (blockNode, label, declaredItems=None, statements=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-d60a80b985c9ce43c0b732d8ef4ad2e9cb2a67d6,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.ConcurrentBlockStatement class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement parse}@anchor{2aa}
+@deffn {Method} classmethod parse (blockNode, label)
+
+@*Return type:
+@ref{253,,ConcurrentBlockStatement}
+
+@end deffn
+
+@geindex DeclaredItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement DeclaredItems}@anchor{2ab}
+@deffn {Property} DeclaredItems: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Label}@anchor{2ac}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Parent}@anchor{2ad}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex PortItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement PortItems}@anchor{2ae}
+@deffn {Property} PortItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Position}@anchor{2af}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Concurrent.ConcurrentBlockStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement Statements}@anchor{2b0}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _position}@anchor{2b1}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _portItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _portItems}@anchor{2b2}
+@deffn {Attribute} _portItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _parent}@anchor{2b3}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _label}@anchor{2b4}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _declaredItems}@anchor{2b5}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _statements}@anchor{2b6}
+@deffn {Attribute} _statements: List[ConcurrentStatement]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentBlockStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentBlockStatement _iirNode}@anchor{2b7}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ProcessStatement (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement}@anchor{254}
+@deffn {Class} pyGHDL.dom.Concurrent.ProcessStatement (processNode, label=None, declaredItems=None, statements=None, sensitivityList=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-d32e919a67a15de8b73cfb0570045ccc60382421,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.ProcessStatement class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement parse}@anchor{2b8}
+@deffn {Method} classmethod parse (processNode, label, hasSensitivityList)
+
+@*Return type:
+@ref{254,,ProcessStatement}
+
+@end deffn
+
+@geindex DeclaredItems (pyGHDL.dom.Concurrent.ProcessStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement DeclaredItems}@anchor{2b9}
+@deffn {Property} DeclaredItems: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.ProcessStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Label}@anchor{2ba}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ProcessStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Parent}@anchor{2bb}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.ProcessStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Position}@anchor{2bc}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex SensitivityList (pyGHDL.dom.Concurrent.ProcessStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement SensitivityList}@anchor{2bd}
+@deffn {Property} SensitivityList: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Concurrent.ProcessStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement Statements}@anchor{2be}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.ProcessStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _position}@anchor{2bf}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _sensitivityList (pyGHDL.dom.Concurrent.ProcessStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _sensitivityList}@anchor{2c0}
+@deffn {Attribute} _sensitivityList: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}] = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ProcessStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _parent}@anchor{2c1}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.ProcessStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _label}@anchor{2c2}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.Concurrent.ProcessStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _declaredItems}@anchor{2c3}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Concurrent.ProcessStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _statements}@anchor{2c4}
+@deffn {Attribute} _statements: List[SequentialStatement]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.ProcessStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ProcessStatement _iirNode}@anchor{2c5}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex IfGenerateBranch (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch}@anchor{255}
+@deffn {Class} pyGHDL.dom.Concurrent.IfGenerateBranch (branchNode, condition, declaredItems=None, statements=None, alternativeLabel=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-9fdc9d253083778482e4b45bb6e278aa1c1c025b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.IfGenerateBranch class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch parse}@anchor{2c6}
+@deffn {Method} classmethod parse (generateNode)
+
+@*Return type:
+@ref{255,,IfGenerateBranch}
+
+@end deffn
+
+@geindex Condition (pyGHDL.dom.Concurrent.IfGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch Condition}@anchor{2c7}
+@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex DeclaredItems (pyGHDL.dom.Concurrent.IfGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch DeclaredItems}@anchor{2c8}
+@deffn {Property} DeclaredItems: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.IfGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch Parent}@anchor{2c9}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Concurrent.IfGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch Statements}@anchor{2ca}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@end deffn
+
+@geindex _alternativeLabel (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _alternativeLabel}@anchor{2cb}
+@deffn {Attribute} _alternativeLabel: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _parent}@anchor{2cc}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _declaredItems}@anchor{2cd}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _statements}@anchor{2ce}
+@deffn {Attribute} _statements: List[ConcurrentStatement]
+@end deffn
+
+@geindex _condition (pyGHDL.dom.Concurrent.IfGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateBranch _condition}@anchor{2cf}
+@deffn {Attribute} _condition: ExpressionUnion
+@end deffn
+@end deffn
+
+@geindex ElsifGenerateBranch (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch}@anchor{256}
+@deffn {Class} pyGHDL.dom.Concurrent.ElsifGenerateBranch (branchNode, condition, declaredItems=None, statements=None, alternativeLabel=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-6767c6d2d990f3b47bb07b31c0f6a402a8fe4be7,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.ElsifGenerateBranch class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch parse}@anchor{2d0}
+@deffn {Method} classmethod parse (generateNode, condition)
+
+@*Return type:
+@ref{256,,ElsifGenerateBranch}
+
+@end deffn
+
+@geindex Condition (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch Condition}@anchor{2d1}
+@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex DeclaredItems (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch DeclaredItems}@anchor{2d2}
+@deffn {Property} DeclaredItems: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch Parent}@anchor{2d3}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Concurrent.ElsifGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch Statements}@anchor{2d4}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@end deffn
+
+@geindex _alternativeLabel (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _alternativeLabel}@anchor{2d5}
+@deffn {Attribute} _alternativeLabel: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _parent}@anchor{2d6}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _declaredItems}@anchor{2d7}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _statements}@anchor{2d8}
+@deffn {Attribute} _statements: List[ConcurrentStatement]
+@end deffn
+
+@geindex _condition (pyGHDL.dom.Concurrent.ElsifGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElsifGenerateBranch _condition}@anchor{2d9}
+@deffn {Attribute} _condition: ExpressionUnion
+@end deffn
+@end deffn
+
+@geindex ElseGenerateBranch (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch}@anchor{257}
+@deffn {Class} pyGHDL.dom.Concurrent.ElseGenerateBranch (branchNode, declaredItems=None, statements=None, alternativeLabel=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-7614b3c8eafe710ae7296d2e11f6d21cfe45e9fe,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.ElseGenerateBranch class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch parse}@anchor{2da}
+@deffn {Method} classmethod parse (generateNode)
+
+@*Return type:
+@ref{257,,ElseGenerateBranch}
+
+@end deffn
+
+@geindex DeclaredItems (pyGHDL.dom.Concurrent.ElseGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch DeclaredItems}@anchor{2db}
+@deffn {Property} DeclaredItems: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ElseGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch Parent}@anchor{2dc}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Concurrent.ElseGenerateBranch property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch Statements}@anchor{2dd}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@end deffn
+
+@geindex _alternativeLabel (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _alternativeLabel}@anchor{2de}
+@deffn {Attribute} _alternativeLabel: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _parent}@anchor{2df}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _declaredItems}@anchor{2e0}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Concurrent.ElseGenerateBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ElseGenerateBranch _statements}@anchor{2e1}
+@deffn {Attribute} _statements: List[ConcurrentStatement]
+@end deffn
+@end deffn
+
+@geindex IfGenerateStatement (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement}@anchor{258}
+@deffn {Class} pyGHDL.dom.Concurrent.IfGenerateStatement (generateNode, label, ifBranch, elsifBranches=None, elseBranch=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-fd18a8c91cdb99e7d36716b13b5a135ae7982f9b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.IfGenerateStatement class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement parse}@anchor{2e2}
+@deffn {Method} classmethod parse (generateNode, label)
+
+@*Return type:
+@ref{258,,IfGenerateStatement}
+
+@end deffn
+
+@geindex ElseBranch (pyGHDL.dom.Concurrent.IfGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement ElseBranch}@anchor{2e3}
+@deffn {Property} ElseBranch: pyVHDLModel.SyntaxModel.ElseGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseGenerateBranch}
+
+@*Return type:
+ElseGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseGenerateBranch}
+
+@end deffn
+
+@geindex ElsifBranches (pyGHDL.dom.Concurrent.IfGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement ElsifBranches}@anchor{2e4}
+@deffn {Property} ElsifBranches: List[pyVHDLModel.SyntaxModel.ElsifGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifGenerateBranch}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ElsifGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifGenerateBranch}]
+
+@end deffn
+
+@geindex IfBranch (pyGHDL.dom.Concurrent.IfGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement IfBranch}@anchor{2e5}
+@deffn {Property} IfBranch: pyVHDLModel.SyntaxModel.IfGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfGenerateBranch}
+
+@*Return type:
+IfGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfGenerateBranch}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.IfGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Label}@anchor{2e6}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.IfGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Parent}@anchor{2e7}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.IfGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement Position}@anchor{2e8}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _position}@anchor{2e9}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _ifBranch (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _ifBranch}@anchor{2ea}
+@deffn {Attribute} _ifBranch: pyVHDLModel.SyntaxModel.IfGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfGenerateBranch}
+@end deffn
+
+@geindex _elsifBranches (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _elsifBranches}@anchor{2eb}
+@deffn {Attribute} _elsifBranches: List[pyVHDLModel.SyntaxModel.ElsifGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifGenerateBranch}]
+@end deffn
+
+@geindex _elseBranch (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _elseBranch}@anchor{2ec}
+@deffn {Attribute} _elseBranch: pyVHDLModel.SyntaxModel.ElseGenerateBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseGenerateBranch}
@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _parent}@anchor{2ed}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _label}@anchor{2ee}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.IfGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IfGenerateStatement _iirNode}@anchor{2ef}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex IndexedGenerateChoice (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice}@anchor{259}
+@deffn {Class} pyGHDL.dom.Concurrent.IndexedGenerateChoice (node, expression)
+
+@subsubheading Inheritance
+
+@image{inheritance-71726307c1d60faa3f91b329f348aa59a6d560bb,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Expression (pyGHDL.dom.Concurrent.IndexedGenerateChoice property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice Expression}@anchor{2f0}
+@deffn {Property} Expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.IndexedGenerateChoice property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice Parent}@anchor{2f1}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.IndexedGenerateChoice property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice Position}@anchor{2f2}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@geindex _position (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _position}@anchor{2f3}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _expression}@anchor{2f4}
+@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _parent}@anchor{2f5}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.IndexedGenerateChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent IndexedGenerateChoice _iirNode}@anchor{2f6}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex RangedGenerateChoice (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice}@anchor{25a}
+@deffn {Class} pyGHDL.dom.Concurrent.RangedGenerateChoice (node, rng)
+
+@subsubheading Inheritance
+
+@image{inheritance-a7e2d94cf868d241eaef284e94783ce7fe3cbf0e,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Parent (pyGHDL.dom.Concurrent.RangedGenerateChoice property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice Parent}@anchor{2f7}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.RangedGenerateChoice property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice Position}@anchor{2f8}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Range (pyGHDL.dom.Concurrent.RangedGenerateChoice property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice Range}@anchor{2f9}
+@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@*Return type:
+Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _position}@anchor{2fa}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _range (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _range}@anchor{2fb}
+@deffn {Attribute} _range: @ref{21a,,pyGHDL.dom.Range.Range}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _parent}@anchor{2fc}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.RangedGenerateChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent RangedGenerateChoice _iirNode}@anchor{2fd}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex GenerateCase (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase}@anchor{25b}
+@deffn {Class} pyGHDL.dom.Concurrent.GenerateCase (node, choices, declaredItems=None, statements=None, alternativeLabel=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-a3fd74dd600bca8a567b0b02fc9c03c8b2e1b3b7,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.GenerateCase class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase parse}@anchor{2fe}
+@deffn {Method} classmethod parse (caseNode, choices)
+
+@*Return type:
+@ref{25b,,GenerateCase}
+
+@end deffn
+
+@geindex Choices (pyGHDL.dom.Concurrent.GenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Choices}@anchor{2ff}
+@deffn {Property} Choices: List[pyVHDLModel.SyntaxModel.ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentChoice}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentChoice}]
+
+@end deffn
+
+@geindex DeclaredItems (pyGHDL.dom.Concurrent.GenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase DeclaredItems}@anchor{300}
+@deffn {Property} DeclaredItems: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.GenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Label}@anchor{301}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.GenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Parent}@anchor{302}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.GenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Position}@anchor{303}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Concurrent.GenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase Statements}@anchor{304}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.GenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _position}@anchor{305}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _choices (pyGHDL.dom.Concurrent.GenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _choices}@anchor{306}
+@deffn {Attribute} _choices: List[pyVHDLModel.SyntaxModel.ConcurrentChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentChoice}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.GenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _parent}@anchor{307}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.GenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _label}@anchor{308}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.Concurrent.GenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _declaredItems}@anchor{309}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Concurrent.GenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _statements}@anchor{30a}
+@deffn {Attribute} _statements: List[ConcurrentStatement]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.GenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent GenerateCase _iirNode}@anchor{30b}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex OthersGenerateCase (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase}@anchor{25c}
+@deffn {Class} pyGHDL.dom.Concurrent.OthersGenerateCase (caseNode, declaredItems=None, statements=None, alternativeLabel=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-d07a807b1f2c4a9bc5d93c0d8b8441d3b0d93c98,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.OthersGenerateCase class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase parse}@anchor{30c}
+@deffn {Method} classmethod parse (caseNode)
+
+@*Return type:
+@ref{25c,,OthersGenerateCase}
+
+@end deffn
+
+@geindex DeclaredItems (pyGHDL.dom.Concurrent.OthersGenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase DeclaredItems}@anchor{30d}
+@deffn {Property} DeclaredItems: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.OthersGenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Label}@anchor{30e}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.OthersGenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Parent}@anchor{30f}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.OthersGenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Position}@anchor{310}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Concurrent.OthersGenerateCase property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase Statements}@anchor{311}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _position}@anchor{312}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _parent}@anchor{313}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _label}@anchor{314}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _declaredItems}@anchor{315}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _statements}@anchor{316}
+@deffn {Attribute} _statements: List[ConcurrentStatement]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.OthersGenerateCase attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent OthersGenerateCase _iirNode}@anchor{317}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex CaseGenerateStatement (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement}@anchor{25d}
+@deffn {Class} pyGHDL.dom.Concurrent.CaseGenerateStatement (generateNode, label, expression, cases)
+
+@subsubheading Inheritance
+
+@image{inheritance-7ad689913c655e5e9cae53c92b8f6c6a79612c88,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.CaseGenerateStatement class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement parse}@anchor{318}
+@deffn {Method} classmethod parse (generateNode, label)
+
+@*Return type:
+@ref{25d,,CaseGenerateStatement}
+
+@end deffn
+
+@geindex Cases (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Cases}@anchor{319}
+@deffn {Property} Cases: List[pyVHDLModel.SyntaxModel.GenerateCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenerateCase}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenerateCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenerateCase}]
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Label}@anchor{31a}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Parent}@anchor{31b}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement Position}@anchor{31c}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex SelectExpression (pyGHDL.dom.Concurrent.CaseGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement SelectExpression}@anchor{31d}
+@deffn {Property} SelectExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _position}@anchor{31e}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _expression}@anchor{31f}
+@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _cases (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _cases}@anchor{320}
+@deffn {Attribute} _cases: List[pyVHDLModel.SyntaxModel.GenerateCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenerateCase}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _parent}@anchor{321}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _label}@anchor{322}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.CaseGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent CaseGenerateStatement _iirNode}@anchor{323}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ForGenerateStatement (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement}@anchor{25e}
+@deffn {Class} pyGHDL.dom.Concurrent.ForGenerateStatement (generateNode, label, loopIndex, rng, declaredItems=None, statements=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-f8d351ab01ac3f396a8fcb46589325958ebe7d3b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.ForGenerateStatement class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement parse}@anchor{324}
+@deffn {Method} classmethod parse (generateNode, label)
+
+@*Return type:
+@ref{25e,,ForGenerateStatement}
+
+@end deffn
+
+@geindex DeclaredItems (pyGHDL.dom.Concurrent.ForGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement DeclaredItems}@anchor{325}
+@deffn {Property} DeclaredItems: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.ForGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Label}@anchor{326}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex LoopIndex (pyGHDL.dom.Concurrent.ForGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement LoopIndex}@anchor{327}
+@deffn {Property} LoopIndex: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ForGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Parent}@anchor{328}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.ForGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Position}@anchor{329}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Range (pyGHDL.dom.Concurrent.ForGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Range}@anchor{32a}
+@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@*Return type:
+Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Concurrent.ForGenerateStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement Statements}@anchor{32b}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _position}@anchor{32c}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _loopIndex (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _loopIndex}@anchor{32d}
+@deffn {Attribute} _loopIndex: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _range (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _range}@anchor{32e}
+@deffn {Attribute} _range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _parent}@anchor{32f}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _label}@anchor{330}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _declaredItems}@anchor{331}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _statements}@anchor{332}
+@deffn {Attribute} _statements: List[ConcurrentStatement]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.ForGenerateStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ForGenerateStatement _iirNode}@anchor{333}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex WaveformElement (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement}@anchor{25f}
+@deffn {Class} pyGHDL.dom.Concurrent.WaveformElement (waveNode, expression, after)
+
+@subsubheading Inheritance
+
+@image{inheritance-624c6b611372ca31bbafbc5279c3c8d544519fb7,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.WaveformElement class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement parse}@anchor{334}
+@deffn {Method} classmethod parse (waveNode)
+@end deffn
+
+@geindex After (pyGHDL.dom.Concurrent.WaveformElement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement After}@anchor{335}
+@deffn {Property} After: <property object at 0x10af9d8b8>
+
+@*Return type:
+<property object at 0x10af9d8b8>
+
+@end deffn
+
+@geindex Expression (pyGHDL.dom.Concurrent.WaveformElement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement Expression}@anchor{336}
+@deffn {Property} Expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.WaveformElement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement Parent}@anchor{337}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.WaveformElement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement Position}@anchor{338}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.WaveformElement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _position}@anchor{339}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Concurrent.WaveformElement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _expression}@anchor{33a}
+@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _after (pyGHDL.dom.Concurrent.WaveformElement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _after}@anchor{33b}
+@deffn {Attribute} _after: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.WaveformElement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _parent}@anchor{33c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.WaveformElement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent WaveformElement _iirNode}@anchor{33d}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ConcurrentSimpleSignalAssignment (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment}@anchor{260}
+@deffn {Class} pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment (assignmentNode, label, target, waveform)
+
+@subsubheading Inheritance
+
+@image{inheritance-e2375023962df772ac00510b1490eaaba4321bf0,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment parse}@anchor{33e}
+@deffn {Method} classmethod parse (assignmentNode, label)
+
+@*Return type:
+@ref{260,,ConcurrentSimpleSignalAssignment}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Label}@anchor{33f}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Parent}@anchor{340}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Position}@anchor{341}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Target (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Target}@anchor{342}
+@deffn {Property} Target: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex Waveform (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment Waveform}@anchor{343}
+@deffn {Property} Waveform: List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _position}@anchor{344}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _waveform (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _waveform}@anchor{345}
+@deffn {Attribute} _waveform: List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _parent}@anchor{346}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _label}@anchor{347}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _target (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _target}@anchor{348}
+@deffn {Attribute} _target: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentSimpleSignalAssignment _iirNode}@anchor{349}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex ConcurrentProcedureCall (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall}@anchor{261}
+@deffn {Class} pyGHDL.dom.Concurrent.ConcurrentProcedureCall (callNode, label, procedureName, parameterMappings)
+
+@subsubheading Inheritance
+
+@image{inheritance-81b7bd59b9323c921dba35962e22e6fabff9aab1,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.ConcurrentProcedureCall class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall parse}@anchor{34a}
+@deffn {Method} classmethod parse (concurrentCallNode, label)
+
+@*Return type:
+@ref{261,,ConcurrentProcedureCall}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Label}@anchor{34b}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex ParameterMappings (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall ParameterMappings}@anchor{34c}
+@deffn {Property} ParameterMappings: List[pyVHDLModel.SyntaxModel.ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Parent}@anchor{34d}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Position}@anchor{34e}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Procedure (pyGHDL.dom.Concurrent.ConcurrentProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall Procedure}@anchor{34f}
+@deffn {Property} Procedure: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _position}@anchor{350}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _parent}@anchor{351}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _label}@anchor{352}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _procedure (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _procedure}@anchor{353}
+@deffn {Attribute} _procedure: @ref{354,,Name}
+@end deffn
+
+@geindex _parameterMappings (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _parameterMappings}@anchor{355}
+@deffn {Attribute} _parameterMappings: List[@ref{24f,,ParameterAssociationItem}]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentProcedureCall _iirNode}@anchor{356}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ConcurrentAssertStatement (class in pyGHDL.dom.Concurrent)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement}@anchor{262}
+@deffn {Class} pyGHDL.dom.Concurrent.ConcurrentAssertStatement (assertNode, condition, message=None, severity=None, label=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-cb563367ff8b61efa7eb6991baeef45a5fe3ecca,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Concurrent.ConcurrentAssertStatement class method)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement parse}@anchor{357}
+@deffn {Method} classmethod parse (assertNode, label)
+
+@*Return type:
+@ref{262,,ConcurrentAssertStatement}
+
+@end deffn
+
+@geindex Condition (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Condition}@anchor{358}
+@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Label}@anchor{359}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Message (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Message}@anchor{35a}
+@deffn {Property} Message: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Parent}@anchor{35b}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Position}@anchor{35c}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Severity (pyGHDL.dom.Concurrent.ConcurrentAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement Severity}@anchor{35d}
+@deffn {Property} Severity: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _position}@anchor{35e}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _parent}@anchor{35f}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _label}@anchor{360}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _condition (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _condition}@anchor{361}
+@deffn {Attribute} _condition: ExpressionUnion
+@end deffn
+
+@geindex _message (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _message}@anchor{362}
+@deffn {Attribute} _message: ExpressionUnion
+@end deffn
+
+@geindex _severity (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _severity}@anchor{363}
+@deffn {Attribute} _severity: ExpressionUnion
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Concurrent.ConcurrentAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Concurrent pyGHDL dom Concurrent ConcurrentAssertStatement _iirNode}@anchor{364}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -7233,17 +10340,17 @@ set self.__traceback__ to tb and return self.
@c # define a hard line break for HTML
-@node pyGHDL dom DesignUnit,pyGHDL dom Expression,pyGHDL dom Common,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom DesignUnit doc}@anchor{1de}@anchor{pyGHDL/pyGHDL dom DesignUnit module-pyGHDL dom DesignUnit}@anchor{9}@anchor{pyGHDL/pyGHDL dom DesignUnit pyghdl-dom-designunit}@anchor{1df}
+@node pyGHDL dom DesignUnit,pyGHDL dom Expression,pyGHDL dom Concurrent,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom DesignUnit doc}@anchor{365}@anchor{pyGHDL/pyGHDL dom DesignUnit module-pyGHDL dom DesignUnit}@anchor{a}@anchor{pyGHDL/pyGHDL dom DesignUnit pyghdl-dom-designunit}@anchor{366}
@subsection pyGHDL.dom.DesignUnit
@geindex module; pyGHDL.dom.DesignUnit
-This module contains all DOM classes for VHDL’s design units (@ref{1e0,,context},
-@ref{1e1,,architecture}, @ref{1e2,,package},
-@ref{1e3,,package body}, @ref{1e4,,context} and
-@ref{1e5,,configuration}.
+This module contains all DOM classes for VHDL’s design units (@ref{367,,context},
+@ref{368,,architecture}, @ref{369,,package},
+@ref{36a,,package body}, @ref{36b,,context} and
+@ref{36c,,configuration}.
@c #-----------------------------------
@@ -7253,820 +10360,1419 @@ This module contains all DOM classes for VHDL’s design units (@ref{1e0,,contex
@itemize -
@item
-@ref{1e0,,Entity}:
+@ref{36d,,LibraryClause}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{36e,,UseClause}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{36f,,ContextReference}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{367,,Entity}:
A @code{PrimaryUnit} is a base-class for all primary units.
@item
-@ref{1e1,,Architecture}:
+@ref{368,,Architecture}:
A @code{SecondaryUnit} is a base-class for all secondary units.
@item
-@ref{1e6,,Component}:
+@ref{370,,Component}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{1e2,,Package}:
+@ref{369,,Package}:
A @code{PrimaryUnit} is a base-class for all primary units.
@item
-@ref{1e3,,PackageBody}:
+@ref{36a,,PackageBody}:
A @code{SecondaryUnit} is a base-class for all secondary units.
@item
-@ref{1e4,,Context}:
+@ref{371,,PackageInstantiation}:
+A @code{PrimaryUnit} is a base-class for all primary units.
+
+@item
+@ref{36b,,Context}:
A @code{PrimaryUnit} is a base-class for all primary units.
@item
-@ref{1e5,,Configuration}:
+@ref{36c,,Configuration}:
A @code{PrimaryUnit} is a base-class for all primary units.
@end itemize
@c #-----------------------------------
-@geindex Entity (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity}@anchor{1e0}
-@deffn {Class} pyGHDL.dom.DesignUnit.Entity (name, genericItems=None, portItems=None, declaredItems=None, bodyItems=None)
+@geindex LibraryClause (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause}@anchor{36d}
+@deffn {Class} pyGHDL.dom.DesignUnit.LibraryClause (libraryNode, names)
+
+@subsubheading Inheritance
+
+@image{inheritance-07a8570d4ba3ec9cf4acadd20c7ac2a064f6df5d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Names (pyGHDL.dom.DesignUnit.LibraryClause property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause Names}@anchor{372}
+@deffn {Property} Names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.DesignUnit.LibraryClause property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause Parent}@anchor{373}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.DesignUnit.LibraryClause property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause Position}@anchor{374}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.DesignUnit.LibraryClause attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _position}@anchor{375}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _names (pyGHDL.dom.DesignUnit.LibraryClause attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _names}@anchor{376}
+@deffn {Attribute} _names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.LibraryClause attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _parent}@anchor{377}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.DesignUnit.LibraryClause attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit LibraryClause _iirNode}@anchor{378}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex UseClause (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause}@anchor{36e}
+@deffn {Class} pyGHDL.dom.DesignUnit.UseClause (useNode, names)
+
+@subsubheading Inheritance
+
+@image{inheritance-536e0cb0adc72a2129c9ff0b4193c412c8b27f2d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.DesignUnit.UseClause class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause parse}@anchor{379}
+@deffn {Method} classmethod parse (useNode)
+@end deffn
+
+@geindex Names (pyGHDL.dom.DesignUnit.UseClause property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause Names}@anchor{37a}
+@deffn {Property} Names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.DesignUnit.UseClause property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause Parent}@anchor{37b}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+@geindex Position (pyGHDL.dom.DesignUnit.UseClause property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause Position}@anchor{37c}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.DesignUnit.UseClause attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _position}@anchor{37d}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _names (pyGHDL.dom.DesignUnit.UseClause attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _names}@anchor{37e}
+@deffn {Attribute} _names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.UseClause attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _parent}@anchor{37f}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.DesignUnit.UseClause attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit UseClause _iirNode}@anchor{380}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex ContextReference (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference}@anchor{36f}
+@deffn {Class} pyGHDL.dom.DesignUnit.ContextReference (contextNode, names)
@subsubheading Inheritance
-@image{inheritance-3ae3b3449e77ed08d16acebda167356bbbf585ed,,,[graphviz],png}
+@image{inheritance-fdc824edecb8cc089da7ebd5e971d1ff117830de,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.DesignUnit.ContextReference class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference parse}@anchor{381}
+@deffn {Method} classmethod parse (contextNode)
+@end deffn
+
+@geindex Names (pyGHDL.dom.DesignUnit.ContextReference property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference Names}@anchor{382}
+@deffn {Property} Names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.DesignUnit.ContextReference property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference Parent}@anchor{383}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.DesignUnit.ContextReference property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference Position}@anchor{384}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.DesignUnit.ContextReference attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _position}@anchor{385}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _names (pyGHDL.dom.DesignUnit.ContextReference attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _names}@anchor{386}
+@deffn {Attribute} _names: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.ContextReference attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _parent}@anchor{387}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.DesignUnit.ContextReference attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit ContextReference _iirNode}@anchor{388}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex Entity (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity}@anchor{367}
+@deffn {Class} pyGHDL.dom.DesignUnit.Entity (node, identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-3e67f1a5a421dfc98e10e6d5f761bd48b0c4b30f,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.DesignUnit.Entity class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity parse}@anchor{1e7}
-@deffn {Method} classmethod parse (entityNode)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity parse}@anchor{389}
+@deffn {Method} classmethod parse (entityNode, contextItems)
+@end deffn
+
+@geindex Architectures (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Architectures}@anchor{38a}
+@deffn {Property} Architectures: List[pyVHDLModel.SyntaxModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
@end deffn
-@geindex BodyItems() (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity BodyItems}@anchor{1e8}
-@deffn {Method} property BodyItems
+@geindex ContextItems (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity ContextItems}@anchor{38b}
+@deffn {Property} ContextItems: List[LibraryClauseUseClauseContextReference]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConcurrentStatement}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
@end deffn
-@geindex ContextReferences() (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity ContextReferences}@anchor{1e9}
-@deffn {Method} property ContextReferences
+@geindex ContextReferences (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity ContextReferences}@anchor{38c}
+@deffn {Property} ContextReferences: List[@ref{36f,,ContextReference}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
@end deffn
-@geindex DeclaredItems() (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity DeclaredItems}@anchor{1ea}
-@deffn {Method} property DeclaredItems
+@geindex DeclaredItems (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity DeclaredItems}@anchor{38d}
+@deffn {Property} DeclaredItems: List
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
@end deffn
-@geindex GenericItems() (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity GenericItems}@anchor{1eb}
-@deffn {Method} property GenericItems
+@geindex GenericItems (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity GenericItems}@anchor{38e}
+@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@end deffn
-@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity LibraryReferences}@anchor{1ec}
-@deffn {Method} property LibraryReferences
+@geindex Identifier (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Identifier}@anchor{38f}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Name() (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Name}@anchor{1ed}
-@deffn {Method} property Name
+@geindex Library (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Library}@anchor{390}
+@deffn {Property} Library: @ref{391,,Library}
+
+@*Return type:
+@ref{391,,Library}
+
+@end deffn
-Returns a model entity’s name.
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity LibraryReferences}@anchor{392}
+@deffn {Property} LibraryReferences: List[@ref{36d,,LibraryClause}]
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
@end deffn
-@geindex PackageReferences() (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PackageReferences}@anchor{1ee}
-@deffn {Method} property PackageReferences
+@geindex PackageReferences (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PackageReferences}@anchor{393}
+@deffn {Property} PackageReferences: List[@ref{36e,,UseClause}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
@end deffn
-@geindex Parent() (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Parent}@anchor{1ef}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Parent}@anchor{394}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex PortItems() (pyGHDL.dom.DesignUnit.Entity property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PortItems}@anchor{1f0}
-@deffn {Method} property PortItems
+@geindex PortItems (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity PortItems}@anchor{395}
+@deffn {Property} PortItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PortInterfaceItem}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
@end deffn
+@geindex Position (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Position}@anchor{396}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.DesignUnit.Entity property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity Statements}@anchor{397}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _position}@anchor{398}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _genericItems (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _genericItems}@anchor{1f1}
-@deffn {Attribute} _genericItems: List[pyVHDLModel.VHDLModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _genericItems}@anchor{399}
+@deffn {Attribute} _genericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@end deffn
@geindex _portItems (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _portItems}@anchor{1f2}
-@deffn {Attribute} _portItems: List[pyVHDLModel.VHDLModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PortInterfaceItem}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _portItems}@anchor{39a}
+@deffn {Attribute} _portItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
@end deffn
@geindex _declaredItems (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _declaredItems}@anchor{1f3}
-@deffn {Attribute} _declaredItems: List
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _declaredItems}@anchor{39b}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _statements}@anchor{39c}
+@deffn {Attribute} _statements: List[ConcurrentStatement]
@end deffn
-@geindex _bodyItems (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _bodyItems}@anchor{1f4}
-@deffn {Attribute} _bodyItems: List[pyVHDLModel.VHDLModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConcurrentStatement}]
+@geindex _architectures (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _architectures}@anchor{39d}
+@deffn {Attribute} _architectures: List[@ref{368,,Architecture}]
@end deffn
@geindex _parent (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _parent}@anchor{1f5}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _parent}@anchor{39e}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _identifier}@anchor{39f}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _name}@anchor{1f6}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _contextItems (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _contextItems}@anchor{3a0}
+@deffn {Attribute} _contextItems: Nullable[List['ContextUnion']]
@end deffn
@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _libraryReferences}@anchor{1f7}
-@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _libraryReferences}@anchor{3a1}
+@deffn {Attribute} _libraryReferences: Nullable[List['LibraryClause']]
@end deffn
@geindex _packageReferences (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _packageReferences}@anchor{1f8}
-@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _packageReferences}@anchor{3a2}
+@deffn {Attribute} _packageReferences: Nullable[List['UseClause']]
@end deffn
@geindex _contextReferences (pyGHDL.dom.DesignUnit.Entity attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _contextReferences}@anchor{1f9}
-@deffn {Attribute} _contextReferences: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _contextReferences}@anchor{3a3}
+@deffn {Attribute} _contextReferences: Nullable[List['ContextReference']]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.DesignUnit.Entity attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Entity _iirNode}@anchor{3a4}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex Architecture (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture}@anchor{1e1}
-@deffn {Class} pyGHDL.dom.DesignUnit.Architecture (name, entity, declaredItems=None, bodyItems=None)
-
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture}@anchor{368}
+@deffn {Class} pyGHDL.dom.DesignUnit.Architecture (node, identifier, entity, contextItems=None, declaredItems=None, statements=None)
@subsubheading Inheritance
-@image{inheritance-89fbd3adff46851e21d60d3a2c1bae5f1403328b,,,[graphviz],png}
+@image{inheritance-dfb031daa891f26c3ef44d93b12b38c8b61fba73,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.DesignUnit.Architecture class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture parse}@anchor{1fa}
-@deffn {Method} classmethod parse (architectureNode)
-
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture parse}@anchor{3a5}
+@deffn {Method} classmethod parse (architectureNode, contextItems)
@end deffn
-@geindex resolve() (pyGHDL.dom.DesignUnit.Architecture method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture resolve}@anchor{1fb}
-@deffn {Method} resolve ()
+@geindex ContextItems (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture ContextItems}@anchor{3a6}
+@deffn {Property} ContextItems: List[LibraryClauseUseClauseContextReference]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
@end deffn
-@geindex BodyItems() (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture BodyItems}@anchor{1fc}
-@deffn {Method} property BodyItems
+@geindex ContextReferences (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture ContextReferences}@anchor{3a7}
+@deffn {Property} ContextReferences: List[@ref{36f,,ContextReference}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConcurrentStatement}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
@end deffn
-@geindex ContextReferences() (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture ContextReferences}@anchor{1fd}
-@deffn {Method} property ContextReferences
+@geindex DeclaredItems (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture DeclaredItems}@anchor{3a8}
+@deffn {Property} DeclaredItems: List
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
@end deffn
-@geindex DeclaredItems() (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture DeclaredItems}@anchor{1fe}
-@deffn {Method} property DeclaredItems
+@geindex Entity (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Entity}@anchor{3a9}
+@deffn {Property} Entity: pyVHDLModel.SyntaxModel.EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EntitySymbol}
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EntitySymbol}
@end deffn
-@geindex Entity() (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Entity}@anchor{1ff}
-@deffn {Method} property Entity
+@geindex Identifier (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Identifier}@anchor{3aa}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}, EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EntitySymbol}]
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture LibraryReferences}@anchor{200}
-@deffn {Method} property LibraryReferences
+@geindex Library (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Library}@anchor{3ab}
+@deffn {Property} Library: pyVHDLModel.SyntaxModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}
@end deffn
-@geindex Name() (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Name}@anchor{201}
-@deffn {Method} property Name
-
-Returns a model entity’s name.
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture LibraryReferences}@anchor{3ac}
+@deffn {Property} LibraryReferences: List[@ref{36d,,LibraryClause}]
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
@end deffn
-@geindex PackageReferences() (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture PackageReferences}@anchor{202}
-@deffn {Method} property PackageReferences
+@geindex PackageReferences (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture PackageReferences}@anchor{3ad}
+@deffn {Property} PackageReferences: List[@ref{36e,,UseClause}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
@end deffn
-@geindex Parent() (pyGHDL.dom.DesignUnit.Architecture property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Parent}@anchor{203}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Parent}@anchor{3ae}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Position}@anchor{3af}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.DesignUnit.Architecture property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture Statements}@anchor{3b0}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConcurrentStatement}]
+
+@end deffn
+@geindex _library (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _library}@anchor{3b1}
+@deffn {Attribute} _library: pyVHDLModel.SyntaxModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library} = None
+@end deffn
+
+@geindex _position (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _position}@anchor{3b2}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex _entity (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _entity}@anchor{204}
-@deffn {Attribute} _entity: Union[pyVHDLModel.VHDLModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}, pyVHDLModel.VHDLModel.EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EntitySymbol}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _entity}@anchor{3b3}
+@deffn {Attribute} _entity: pyVHDLModel.SyntaxModel.EntitySymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EntitySymbol}
@end deffn
@geindex _declaredItems (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _declaredItems}@anchor{205}
-@deffn {Attribute} _declaredItems: List
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _declaredItems}@anchor{3b4}
+@deffn {Attribute} _declaredItems: List
@end deffn
-@geindex _bodyItems (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _bodyItems}@anchor{206}
-@deffn {Attribute} _bodyItems: List[pyVHDLModel.VHDLModel.ConcurrentStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConcurrentStatement}]
+@geindex _statements (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _statements}@anchor{3b5}
+@deffn {Attribute} _statements: List[ConcurrentStatement]
@end deffn
@geindex _parent (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _parent}@anchor{207}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _parent}@anchor{3b6}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _identifier}@anchor{3b7}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _name}@anchor{208}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _contextItems (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _contextItems}@anchor{3b8}
+@deffn {Attribute} _contextItems: Nullable[List['ContextUnion']]
@end deffn
@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _libraryReferences}@anchor{209}
-@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _libraryReferences}@anchor{3b9}
+@deffn {Attribute} _libraryReferences: Nullable[List['LibraryClause']]
@end deffn
@geindex _packageReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _packageReferences}@anchor{20a}
-@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _packageReferences}@anchor{3ba}
+@deffn {Attribute} _packageReferences: Nullable[List['UseClause']]
@end deffn
@geindex _contextReferences (pyGHDL.dom.DesignUnit.Architecture attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _contextReferences}@anchor{20b}
-@deffn {Attribute} _contextReferences: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _contextReferences}@anchor{3bb}
+@deffn {Attribute} _contextReferences: Nullable[List['ContextReference']]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.DesignUnit.Architecture attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Architecture _iirNode}@anchor{3bc}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex Component (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component}@anchor{1e6}
-@deffn {Class} pyGHDL.dom.DesignUnit.Component (name, genericItems=None, portItems=None)
-
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component}@anchor{370}
+@deffn {Class} pyGHDL.dom.DesignUnit.Component (node, identifier, genericItems=None, portItems=None)
@subsubheading Inheritance
-@image{inheritance-cd8e7d3464d5ef927adb29c714590c550f0b1ba4,,,[graphviz],png}
+@image{inheritance-de07f962c582211c552765aa6eba99a12681080a,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.DesignUnit.Component class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component parse}@anchor{20c}
-@deffn {Method} classmethod parse (componentNode)
-
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component parse}@anchor{3bd}
+@deffn {Method} classmethod parse (componentNode)
@end deffn
-@geindex GenericItems() (pyGHDL.dom.DesignUnit.Component property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component GenericItems}@anchor{20d}
-@deffn {Method} property GenericItems
+@geindex GenericItems (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component GenericItems}@anchor{3be}
+@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@end deffn
-@geindex Name() (pyGHDL.dom.DesignUnit.Component property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Name}@anchor{20e}
-@deffn {Method} property Name
+@geindex Identifier (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Identifier}@anchor{3bf}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s name.
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.DesignUnit.Component property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Parent}@anchor{20f}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Parent}@anchor{3c0}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex PortItems (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component PortItems}@anchor{3c1}
+@deffn {Property} PortItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
@end deffn
-@geindex PortItems() (pyGHDL.dom.DesignUnit.Component property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component PortItems}@anchor{210}
-@deffn {Method} property PortItems
+@geindex Position (pyGHDL.dom.DesignUnit.Component property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component Position}@anchor{3c2}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PortInterfaceItem}]
+@ref{200,,Position}
+
+@end deffn
+@geindex _position (pyGHDL.dom.DesignUnit.Component attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _position}@anchor{3c3}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
@geindex _genericItems (pyGHDL.dom.DesignUnit.Component attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _genericItems}@anchor{211}
-@deffn {Attribute} _genericItems: List[pyVHDLModel.VHDLModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _genericItems}@anchor{3c4}
+@deffn {Attribute} _genericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@end deffn
@geindex _portItems (pyGHDL.dom.DesignUnit.Component attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _portItems}@anchor{212}
-@deffn {Attribute} _portItems: List[pyVHDLModel.VHDLModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PortInterfaceItem}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _portItems}@anchor{3c5}
+@deffn {Attribute} _portItems: List[pyVHDLModel.SyntaxModel.PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}]
@end deffn
@geindex _parent (pyGHDL.dom.DesignUnit.Component attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _parent}@anchor{213}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _parent}@anchor{3c6}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.Component attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _identifier}@anchor{3c7}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.DesignUnit.Component attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _name}@anchor{214}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _iirNode (pyGHDL.dom.DesignUnit.Component attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Component _iirNode}@anchor{3c8}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
@end deffn
@end deffn
@geindex Package (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package}@anchor{1e2}
-@deffn {Class} pyGHDL.dom.DesignUnit.Package (name, genericItems=None, declaredItems=None)
-
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package}@anchor{369}
+@deffn {Class} pyGHDL.dom.DesignUnit.Package (node, identifier, contextItems=None, genericItems=None, declaredItems=None)
@subsubheading Inheritance
-@image{inheritance-4ceb8156f37dccdb04179256adc3df62b9daa340,,,[graphviz],png}
+@image{inheritance-7b21337f7dc131879686c0f37259a8bbf944d411,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.DesignUnit.Package class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package parse}@anchor{215}
-@deffn {Method} classmethod parse (packageNode)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package parse}@anchor{3c9}
+@deffn {Method} classmethod parse (packageNode, contextItems)
+@end deffn
+
+@geindex ContextItems (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package ContextItems}@anchor{3ca}
+@deffn {Property} ContextItems: List[LibraryClauseUseClauseContextReference]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
@end deffn
-@geindex ContextReferences() (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package ContextReferences}@anchor{216}
-@deffn {Method} property ContextReferences
+@geindex ContextReferences (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package ContextReferences}@anchor{3cb}
+@deffn {Property} ContextReferences: List[@ref{36f,,ContextReference}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
@end deffn
-@geindex DeclaredItems() (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package DeclaredItems}@anchor{217}
-@deffn {Method} property DeclaredItems
+@geindex DeclaredItems (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package DeclaredItems}@anchor{3cc}
+@deffn {Property} DeclaredItems: List
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
@end deffn
-@geindex GenericItems() (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package GenericItems}@anchor{218}
-@deffn {Method} property GenericItems
+@geindex GenericItems (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package GenericItems}@anchor{3cd}
+@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@end deffn
-@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package LibraryReferences}@anchor{219}
-@deffn {Method} property LibraryReferences
+@geindex Identifier (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Identifier}@anchor{3ce}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Name() (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Name}@anchor{21a}
-@deffn {Method} property Name
+@geindex Library (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Library}@anchor{3cf}
+@deffn {Property} Library: @ref{391,,Library}
+
+@*Return type:
+@ref{391,,Library}
+
+@end deffn
-Returns a model entity’s name.
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package LibraryReferences}@anchor{3d0}
+@deffn {Property} LibraryReferences: List[@ref{36d,,LibraryClause}]
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
@end deffn
-@geindex PackageReferences() (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package PackageReferences}@anchor{21b}
-@deffn {Method} property PackageReferences
+@geindex PackageReferences (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package PackageReferences}@anchor{3d1}
+@deffn {Property} PackageReferences: List[@ref{36e,,UseClause}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
@end deffn
-@geindex Parent() (pyGHDL.dom.DesignUnit.Package property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Parent}@anchor{21c}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Parent}@anchor{3d2}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.DesignUnit.Package property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package Position}@anchor{3d3}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+@geindex _position (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _position}@anchor{3d4}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex _genericItems (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _genericItems}@anchor{21d}
-@deffn {Attribute} _genericItems: List[pyVHDLModel.VHDLModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _genericItems}@anchor{3d5}
+@deffn {Attribute} _genericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@end deffn
@geindex _declaredItems (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _declaredItems}@anchor{21e}
-@deffn {Attribute} _declaredItems: List
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _declaredItems}@anchor{3d6}
+@deffn {Attribute} _declaredItems: List
@end deffn
@geindex _parent (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _parent}@anchor{21f}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _parent}@anchor{3d7}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _identifier}@anchor{3d8}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _name}@anchor{220}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _contextItems (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _contextItems}@anchor{3d9}
+@deffn {Attribute} _contextItems: Nullable[List['ContextUnion']]
@end deffn
@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _libraryReferences}@anchor{221}
-@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _libraryReferences}@anchor{3da}
+@deffn {Attribute} _libraryReferences: Nullable[List['LibraryClause']]
@end deffn
@geindex _packageReferences (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _packageReferences}@anchor{222}
-@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _packageReferences}@anchor{3db}
+@deffn {Attribute} _packageReferences: Nullable[List['UseClause']]
@end deffn
@geindex _contextReferences (pyGHDL.dom.DesignUnit.Package attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _contextReferences}@anchor{223}
-@deffn {Attribute} _contextReferences: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _contextReferences}@anchor{3dc}
+@deffn {Attribute} _contextReferences: Nullable[List['ContextReference']]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.DesignUnit.Package attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Package _iirNode}@anchor{3dd}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex PackageBody (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody}@anchor{1e3}
-@deffn {Class} pyGHDL.dom.DesignUnit.PackageBody (name, declaredItems=None)
-
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody}@anchor{36a}
+@deffn {Class} pyGHDL.dom.DesignUnit.PackageBody (node, identifier, contextItems=None, declaredItems=None)
@subsubheading Inheritance
-@image{inheritance-48aac6c98ebe0a3b9e803037599e790555f5202a,,,[graphviz],png}
+@image{inheritance-ef9c0172bd32056025e509085e1fa7f8712ac057,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.DesignUnit.PackageBody class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody parse}@anchor{224}
-@deffn {Method} classmethod parse (packageBodyNode)
-
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody parse}@anchor{3de}
+@deffn {Method} classmethod parse (packageBodyNode, contextItems)
@end deffn
-@geindex ContextReferences() (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody ContextReferences}@anchor{225}
-@deffn {Method} property ContextReferences
+@geindex ContextItems (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody ContextItems}@anchor{3df}
+@deffn {Property} ContextItems: List[LibraryClauseUseClauseContextReference]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
@end deffn
-@geindex DeclaredItems() (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody DeclaredItems}@anchor{226}
-@deffn {Method} property DeclaredItems
+@geindex ContextReferences (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody ContextReferences}@anchor{3e0}
+@deffn {Property} ContextReferences: List[@ref{36f,,ContextReference}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
@end deffn
-@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody LibraryReferences}@anchor{227}
-@deffn {Method} property LibraryReferences
+@geindex DeclaredItems (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody DeclaredItems}@anchor{3e1}
+@deffn {Property} DeclaredItems: List
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
@end deffn
-@geindex Name() (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Name}@anchor{228}
-@deffn {Method} property Name
+@geindex Identifier (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Identifier}@anchor{3e2}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s name.
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Package() (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Package}@anchor{229}
-@deffn {Method} property Package
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody LibraryReferences}@anchor{3e3}
+@deffn {Property} LibraryReferences: List[@ref{36d,,LibraryClause}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
+
+@end deffn
+
+@geindex Package (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Package}@anchor{3e4}
+@deffn {Property} Package: pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
@*Return type:
-Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}
+Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
@end deffn
-@geindex PackageReferences() (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody PackageReferences}@anchor{22a}
-@deffn {Method} property PackageReferences
+@geindex PackageReferences (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody PackageReferences}@anchor{3e5}
+@deffn {Property} PackageReferences: List[@ref{36e,,UseClause}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
@end deffn
-@geindex Parent() (pyGHDL.dom.DesignUnit.PackageBody property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Parent}@anchor{22b}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Parent}@anchor{3e6}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.DesignUnit.PackageBody property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody Position}@anchor{3e7}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
+@geindex _position (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _position}@anchor{3e8}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _package (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _package}@anchor{22c}
-@deffn {Attribute} _package: pyVHDLModel.VHDLModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _package}@anchor{3e9}
+@deffn {Attribute} _package: pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
@end deffn
@geindex _declaredItems (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _declaredItems}@anchor{22d}
-@deffn {Attribute} _declaredItems: List
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _declaredItems}@anchor{3ea}
+@deffn {Attribute} _declaredItems: List
@end deffn
@geindex _parent (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _parent}@anchor{22e}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _parent}@anchor{3eb}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _identifier}@anchor{3ec}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _name}@anchor{22f}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _contextItems (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _contextItems}@anchor{3ed}
+@deffn {Attribute} _contextItems: Nullable[List['ContextUnion']]
@end deffn
@geindex _libraryReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _libraryReferences}@anchor{230}
-@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _libraryReferences}@anchor{3ee}
+@deffn {Attribute} _libraryReferences: Nullable[List['LibraryClause']]
@end deffn
@geindex _packageReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _packageReferences}@anchor{231}
-@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _packageReferences}@anchor{3ef}
+@deffn {Attribute} _packageReferences: Nullable[List['UseClause']]
@end deffn
@geindex _contextReferences (pyGHDL.dom.DesignUnit.PackageBody attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _contextReferences}@anchor{232}
-@deffn {Attribute} _contextReferences: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
-@end deffn
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _contextReferences}@anchor{3f0}
+@deffn {Attribute} _contextReferences: Nullable[List['ContextReference']]
@end deffn
-@geindex Context (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context}@anchor{1e4}
-@deffn {Class} pyGHDL.dom.DesignUnit.Context (name)
+@geindex _iirNode (pyGHDL.dom.DesignUnit.PackageBody attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageBody _iirNode}@anchor{3f1}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+@geindex PackageInstantiation (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation}@anchor{371}
+@deffn {Class} pyGHDL.dom.DesignUnit.PackageInstantiation (node, identifier, uninstantiatedPackageName)
@subsubheading Inheritance
-@image{inheritance-527cdf5fb333bd9e4dcff0ddafe5fdaababbb6eb,,,[graphviz],png}
+@image{inheritance-c4ffa4678b32333e31b894da6a90e02a4e2d7726,,,[graphviz],png}
@subsubheading Members
-@geindex parse() (pyGHDL.dom.DesignUnit.Context class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context parse}@anchor{233}
-@deffn {Method} classmethod parse (libraryUnit)
+@geindex parse() (pyGHDL.dom.DesignUnit.PackageInstantiation class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation parse}@anchor{3f2}
+@deffn {Method} classmethod parse (packageNode)
+@end deffn
+
+@geindex GenericAssociations (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation GenericAssociations}@anchor{3f3}
+@deffn {Property} GenericAssociations: List[pyVHDLModel.SyntaxModel.GenericAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericAssociationItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericAssociationItem}]
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Identifier}@anchor{3f4}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Library (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Library}@anchor{3f5}
+@deffn {Property} Library: @ref{391,,Library}
+
+@*Return type:
+@ref{391,,Library}
+
+@end deffn
+
+@geindex PackageReference (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation PackageReference}@anchor{3f6}
+@deffn {Property} PackageReference: Union[@ref{369,,Package}, PackageSymbol]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}, PackageSymbol]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Parent}@anchor{3f7}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.Context property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context LibraryReferences}@anchor{234}
-@deffn {Method} property LibraryReferences
+@geindex Position (pyGHDL.dom.DesignUnit.PackageInstantiation property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation Position}@anchor{3f8}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _position}@anchor{3f9}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _packageReference (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _packageReference}@anchor{3fa}
+@deffn {Attribute} _packageReference: pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}
+@end deffn
+
+@geindex _genericAssociations (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _genericAssociations}@anchor{3fb}
+@deffn {Attribute} _genericAssociations: List[pyVHDLModel.SyntaxModel.GenericAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericAssociationItem}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _parent}@anchor{3fc}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _identifier}@anchor{3fd}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.DesignUnit.PackageInstantiation attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit PackageInstantiation _iirNode}@anchor{3fe}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex Context (class in pyGHDL.dom.DesignUnit)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context}@anchor{36b}
+@deffn {Class} pyGHDL.dom.DesignUnit.Context (node, identifier, libraryReferences=None, packageReferences=None)
+
+@subsubheading Inheritance
+@image{inheritance-99e8e67e567af4d34d9fc0760c5b924a6a1918ea,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.DesignUnit.Context class method)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context parse}@anchor{3ff}
+@deffn {Method} classmethod parse (contextNode)
@end deffn
-@geindex Name() (pyGHDL.dom.DesignUnit.Context property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Name}@anchor{235}
-@deffn {Method} property Name
+@geindex Identifier (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Identifier}@anchor{400}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s name.
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex PackageReferences() (pyGHDL.dom.DesignUnit.Context property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context PackageReferences}@anchor{236}
-@deffn {Method} property PackageReferences
+@geindex Library (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Library}@anchor{401}
+@deffn {Property} Library: @ref{391,,Library}
+
+@*Return type:
+@ref{391,,Library}
+
+@end deffn
+
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context LibraryReferences}@anchor{402}
+@deffn {Property} LibraryReferences: List[pyVHDLModel.SyntaxModel.LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.LibraryClause}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.LibraryClause}]
+
+@end deffn
+
+@geindex PackageReferences (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context PackageReferences}@anchor{403}
+@deffn {Property} PackageReferences: List[pyVHDLModel.SyntaxModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UseClause}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UseClause}]
@end deffn
-@geindex Parent() (pyGHDL.dom.DesignUnit.Context property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Parent}@anchor{237}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Parent}@anchor{404}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.DesignUnit.Context property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context Position}@anchor{405}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
+@geindex _position (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _position}@anchor{406}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Context attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _libraryReferences}@anchor{238}
-@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _libraryReferences}@anchor{407}
+@deffn {Attribute} _libraryReferences: List[pyVHDLModel.SyntaxModel.LibraryClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.LibraryClause}]
@end deffn
@geindex _packageReferences (pyGHDL.dom.DesignUnit.Context attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _packageReferences}@anchor{239}
-@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _packageReferences}@anchor{408}
+@deffn {Attribute} _packageReferences: List[pyVHDLModel.SyntaxModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UseClause}]
@end deffn
@geindex _parent (pyGHDL.dom.DesignUnit.Context attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _parent}@anchor{23a}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _parent}@anchor{409}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _identifier}@anchor{40a}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.DesignUnit.Context attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _name}@anchor{23b}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _iirNode (pyGHDL.dom.DesignUnit.Context attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Context _iirNode}@anchor{40b}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
@end deffn
@end deffn
@geindex Configuration (class in pyGHDL.dom.DesignUnit)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration}@anchor{1e5}
-@deffn {Class} pyGHDL.dom.DesignUnit.Configuration (name)
-
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration}@anchor{36c}
+@deffn {Class} pyGHDL.dom.DesignUnit.Configuration (node, identifier, contextItems=None)
@subsubheading Inheritance
-@image{inheritance-05edcd4e1af65a900addfcb1d2cbf783600ad8e1,,,[graphviz],png}
+@image{inheritance-03d3eeb04690489d8913bc07e91130b81987c758,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.DesignUnit.Configuration class method)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration parse}@anchor{23c}
-@deffn {Method} classmethod parse (configuration)
-
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration parse}@anchor{40c}
+@deffn {Method} classmethod parse (configurationNode, contextItems)
@end deffn
-@geindex ContextReferences() (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration ContextReferences}@anchor{23d}
-@deffn {Method} property ContextReferences
+@geindex ContextItems (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration ContextItems}@anchor{40d}
+@deffn {Property} ContextItems: List[LibraryClauseUseClauseContextReference]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClauseUseClauseContextReference]
@end deffn
-@geindex LibraryReferences() (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration LibraryReferences}@anchor{23e}
-@deffn {Method} property LibraryReferences
+@geindex ContextReferences (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration ContextReferences}@anchor{40e}
+@deffn {Property} ContextReferences: List[@ref{36f,,ContextReference}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ContextReference]
@end deffn
-@geindex Name() (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Name}@anchor{23f}
-@deffn {Method} property Name
+@geindex Identifier (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Identifier}@anchor{40f}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s name.
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex PackageReferences() (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration PackageReferences}@anchor{240}
-@deffn {Method} property PackageReferences
+@geindex Library (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Library}@anchor{410}
+@deffn {Property} Library: @ref{391,,Library}
+
+@*Return type:
+@ref{391,,Library}
+
+@end deffn
+
+@geindex LibraryReferences (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration LibraryReferences}@anchor{411}
+@deffn {Property} LibraryReferences: List[@ref{36d,,LibraryClause}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[LibraryClause]
@end deffn
-@geindex Parent() (pyGHDL.dom.DesignUnit.Configuration property)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Parent}@anchor{241}
-@deffn {Method} property Parent
+@geindex PackageReferences (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration PackageReferences}@anchor{412}
+@deffn {Property} PackageReferences: List[@ref{36e,,UseClause}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[UseClause]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Parent}@anchor{413}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
+@geindex Position (pyGHDL.dom.DesignUnit.Configuration property)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration Position}@anchor{414}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _position}@anchor{415}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _parent (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _parent}@anchor{242}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _parent}@anchor{416}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _identifier}@anchor{417}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _name}@anchor{243}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _contextItems (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _contextItems}@anchor{418}
+@deffn {Attribute} _contextItems: Nullable[List['ContextUnion']]
@end deffn
@geindex _libraryReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _libraryReferences}@anchor{244}
-@deffn {Attribute} _libraryReferences: List[pyVHDLModel.VHDLModel.LibraryStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.LibraryStatement}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _libraryReferences}@anchor{419}
+@deffn {Attribute} _libraryReferences: Nullable[List['LibraryClause']]
@end deffn
@geindex _packageReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _packageReferences}@anchor{245}
-@deffn {Attribute} _packageReferences: List[pyVHDLModel.VHDLModel.UseClause@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.UseClause}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _packageReferences}@anchor{41a}
+@deffn {Attribute} _packageReferences: Nullable[List['UseClause']]
@end deffn
@geindex _contextReferences (pyGHDL.dom.DesignUnit.Configuration attribute)
-@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _contextReferences}@anchor{246}
-@deffn {Attribute} _contextReferences: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _contextReferences}@anchor{41b}
+@deffn {Attribute} _contextReferences: Nullable[List['ContextReference']]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.DesignUnit.Configuration attribute)
+@anchor{pyGHDL/pyGHDL dom DesignUnit pyGHDL dom DesignUnit Configuration _iirNode}@anchor{41c}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -8087,7 +11793,7 @@ ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.
@c # define a hard line break for HTML
@node pyGHDL dom Expression,pyGHDL dom InterfaceItem,pyGHDL dom DesignUnit,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Expression doc}@anchor{247}@anchor{pyGHDL/pyGHDL dom Expression module-pyGHDL dom Expression}@anchor{a}@anchor{pyGHDL/pyGHDL dom Expression pyghdl-dom-expression}@anchor{248}
+@anchor{pyGHDL/pyGHDL dom Expression doc}@anchor{41d}@anchor{pyGHDL/pyGHDL dom Expression module-pyGHDL dom Expression}@anchor{b}@anchor{pyGHDL/pyGHDL dom Expression pyghdl-dom-expression}@anchor{41e}
@subsection pyGHDL.dom.Expression
@@ -8101,2151 +11807,3793 @@ ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.
@itemize -
@item
-@ref{249,,InverseExpression}:
+@ref{41f,,InverseExpression}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{24a,,IdentityExpression}:
+@ref{420,,IdentityExpression}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{24b,,NegationExpression}:
+@ref{421,,NegationExpression}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{24c,,AbsoluteExpression}:
+@ref{422,,AbsoluteExpression}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{24d,,ParenthesisExpression}:
+@ref{423,,ParenthesisExpression}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{24e,,TypeConversion}:
+@ref{205,,TypeConversion}:
A @code{UnaryExpression} is a base-class for all unary expressions.
@item
-@ref{24f,,FunctionCall}:
-A @code{UnaryExpression} is a base-class for all unary expressions.
+@ref{204,,FunctionCall}:
+A @code{BaseExpression} is a base-class for all expressions.
+
+@item
+@ref{424,,AscendingRangeExpression}:
+A @code{BinaryExpression} is a base-class for all binary expressions.
+
+@item
+@ref{425,,DescendingRangeExpression}:
+A @code{BinaryExpression} is a base-class for all binary expressions.
@item
-@ref{250,,AdditionExpression}:
+@ref{426,,AdditionExpression}:
A @code{AddingExpression} is a base-class for all adding expressions.
@item
-@ref{251,,SubtractionExpression}:
+@ref{427,,SubtractionExpression}:
A @code{AddingExpression} is a base-class for all adding expressions.
@item
-@ref{252,,ConcatenationExpression}:
+@ref{428,,ConcatenationExpression}:
A @code{AddingExpression} is a base-class for all adding expressions.
@item
-@ref{253,,MultiplyExpression}:
+@ref{429,,MultiplyExpression}:
A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
@item
-@ref{254,,DivisionExpression}:
+@ref{42a,,DivisionExpression}:
A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
@item
-@ref{255,,RemainderExpression}:
+@ref{42b,,RemainderExpression}:
A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
@item
-@ref{256,,ModuloExpression}:
+@ref{42c,,ModuloExpression}:
A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
@item
-@ref{257,,ExponentiationExpression}:
+@ref{42d,,ExponentiationExpression}:
A @code{MultiplyingExpression} is a base-class for all multiplying expressions.
@item
-@ref{258,,AndExpression}:
+@ref{42e,,AndExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{259,,NandExpression}:
+@ref{42f,,NandExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{25a,,OrExpression}:
+@ref{430,,OrExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{25b,,NorExpression}:
+@ref{431,,NorExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{25c,,XorExpression}:
+@ref{432,,XorExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{25d,,XnorExpression}:
+@ref{433,,XnorExpression}:
A @code{LogicalExpression} is a base-class for all logical expressions.
@item
-@ref{25e,,EqualExpression}:
+@ref{434,,EqualExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{435,,UnequalExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{436,,LessThanExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{25f,,UnequalExpression}:
+@ref{437,,LessEqualExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{260,,LessThanExpression}:
+@ref{438,,GreaterThanExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{261,,LessEqualExpression}:
+@ref{439,,GreaterEqualExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{262,,GreaterThanExpression}:
+@ref{43a,,MatchingEqualExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{263,,GreaterEqualExpression}:
+@ref{43b,,MatchingUnequalExpression}:
A @code{RelationalExpression} is a base-class for all shifting expressions.
@item
-@ref{264,,ShiftRightLogicExpression}:
+@ref{43c,,MatchingLessThanExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{43d,,MatchingLessEqualExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{43e,,MatchingGreaterThanExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{43f,,MatchingGreaterEqualExpression}:
+A @code{RelationalExpression} is a base-class for all shifting expressions.
+
+@item
+@ref{440,,ShiftRightLogicExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{265,,ShiftLeftLogicExpression}:
+@ref{441,,ShiftLeftLogicExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{266,,ShiftRightArithmeticExpression}:
+@ref{442,,ShiftRightArithmeticExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{267,,ShiftLeftArithmeticExpression}:
+@ref{443,,ShiftLeftArithmeticExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{268,,RotateRightExpression}:
+@ref{444,,RotateRightExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{269,,RotateLeftExpression}:
+@ref{445,,RotateLeftExpression}:
A @code{ShiftExpression} is a base-class for all shifting expressions.
@item
-@ref{26a,,QualifiedExpression}:
+@ref{203,,QualifiedExpression}:
+A @code{BaseExpression} is a base-class for all expressions.
+
+@item
+@ref{446,,SubtypeAllocation}:
+A @code{BaseExpression} is a base-class for all expressions.
+
+@item
+@ref{447,,QualifiedExpressionAllocation}:
A @code{BaseExpression} is a base-class for all expressions.
@item
-@ref{26b,,Aggregate}:
+@ref{448,,Aggregate}:
A @code{BaseExpression} is a base-class for all expressions.
@end itemize
@c #-----------------------------------
@geindex InverseExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression}@anchor{249}
-@deffn {Class} pyGHDL.dom.Expression.InverseExpression (operand)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression}@anchor{41f}
+@deffn {Class} pyGHDL.dom.Expression.InverseExpression (node, operand)
@subsubheading Inheritance
-@image{inheritance-ebf94b93dc71822a685f69404832f6b42219a309,,,[graphviz],png}
+@image{inheritance-56f436712a11e89e3412c987fbad5293d54d2c84,,,[graphviz],png}
@subsubheading Members
-@geindex _operand (pyGHDL.dom.Expression.InverseExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _operand}@anchor{26c}
-@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
-
-@geindex Operand() (pyGHDL.dom.Expression.InverseExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Operand}@anchor{26d}
-@deffn {Method} property Operand
+@geindex Operand (pyGHDL.dom.Expression.InverseExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Operand}@anchor{449}
+@deffn {Property} Operand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.InverseExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Parent}@anchor{26e}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.InverseExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Parent}@anchor{44a}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.InverseExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression Position}@anchor{44b}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.InverseExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _FORMAT}@anchor{26f}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('not ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _FORMAT}@anchor{44c}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('not ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.InverseExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _position}@anchor{44d}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.InverseExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression parse}@anchor{270}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression parse}@anchor{44e}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
+
+@end deffn
+
+@geindex _operand (pyGHDL.dom.Expression.InverseExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _operand}@anchor{44f}
+@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.InverseExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _parent}@anchor{271}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _parent}@anchor{450}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.InverseExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression InverseExpression _iirNode}@anchor{451}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex IdentityExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression}@anchor{24a}
-@deffn {Class} pyGHDL.dom.Expression.IdentityExpression (operand)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression}@anchor{420}
+@deffn {Class} pyGHDL.dom.Expression.IdentityExpression (node, operand)
@subsubheading Inheritance
-@image{inheritance-f717c39fd9b91deb5449622a4f6b78d1dad69737,,,[graphviz],png}
+@image{inheritance-ee8baa4148627c08618260d55b784d831191a9e7,,,[graphviz],png}
@subsubheading Members
-@geindex _operand (pyGHDL.dom.Expression.IdentityExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _operand}@anchor{272}
-@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
-
-@geindex Operand() (pyGHDL.dom.Expression.IdentityExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Operand}@anchor{273}
-@deffn {Method} property Operand
+@geindex Operand (pyGHDL.dom.Expression.IdentityExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Operand}@anchor{452}
+@deffn {Property} Operand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.IdentityExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Parent}@anchor{274}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.IdentityExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Parent}@anchor{453}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.IdentityExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression Position}@anchor{454}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.IdentityExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _FORMAT}@anchor{275}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('+', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _FORMAT}@anchor{455}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('+', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.IdentityExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _position}@anchor{456}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.IdentityExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression parse}@anchor{276}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression parse}@anchor{457}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
+
+@end deffn
+
+@geindex _operand (pyGHDL.dom.Expression.IdentityExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _operand}@anchor{458}
+@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.IdentityExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _parent}@anchor{277}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _parent}@anchor{459}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.IdentityExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression IdentityExpression _iirNode}@anchor{45a}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex NegationExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression}@anchor{24b}
-@deffn {Class} pyGHDL.dom.Expression.NegationExpression (operand)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression}@anchor{421}
+@deffn {Class} pyGHDL.dom.Expression.NegationExpression (node, operand)
@subsubheading Inheritance
-@image{inheritance-44eaef0d516930ae28d93dc0ef532a38c3fe9e1b,,,[graphviz],png}
+@image{inheritance-44c5e969a17106ec0f133e44505846769f0a493e,,,[graphviz],png}
@subsubheading Members
-@geindex _operand (pyGHDL.dom.Expression.NegationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _operand}@anchor{278}
-@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
-
-@geindex Operand() (pyGHDL.dom.Expression.NegationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Operand}@anchor{279}
-@deffn {Method} property Operand
+@geindex Operand (pyGHDL.dom.Expression.NegationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Operand}@anchor{45b}
+@deffn {Property} Operand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.NegationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Parent}@anchor{27a}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.NegationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Parent}@anchor{45c}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.NegationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression Position}@anchor{45d}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.NegationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _FORMAT}@anchor{27b}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{-}', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _FORMAT}@anchor{45e}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{-}', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.NegationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _position}@anchor{45f}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.NegationExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression parse}@anchor{27c}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression parse}@anchor{460}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
+
+@end deffn
+
+@geindex _operand (pyGHDL.dom.Expression.NegationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _operand}@anchor{461}
+@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.NegationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _parent}@anchor{27d}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _parent}@anchor{462}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.NegationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NegationExpression _iirNode}@anchor{463}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex AbsoluteExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression}@anchor{24c}
-@deffn {Class} pyGHDL.dom.Expression.AbsoluteExpression (operand)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression}@anchor{422}
+@deffn {Class} pyGHDL.dom.Expression.AbsoluteExpression (node, operand)
@subsubheading Inheritance
-@image{inheritance-cda8461fe6002e0de2bb482df3cfdf4853f5b426,,,[graphviz],png}
+@image{inheritance-575681bab10b680d6e8e784c322fa4b73b690586,,,[graphviz],png}
@subsubheading Members
-@geindex _operand (pyGHDL.dom.Expression.AbsoluteExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _operand}@anchor{27e}
-@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
-
-@geindex Operand() (pyGHDL.dom.Expression.AbsoluteExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Operand}@anchor{27f}
-@deffn {Method} property Operand
+@geindex Operand (pyGHDL.dom.Expression.AbsoluteExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Operand}@anchor{464}
+@deffn {Property} Operand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.AbsoluteExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Parent}@anchor{280}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.AbsoluteExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Parent}@anchor{465}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.AbsoluteExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression Position}@anchor{466}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.AbsoluteExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _FORMAT}@anchor{281}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('abs ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _FORMAT}@anchor{467}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('abs ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.AbsoluteExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _position}@anchor{468}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.AbsoluteExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression parse}@anchor{282}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression parse}@anchor{469}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+UnaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.UnaryExpression}
+
+@end deffn
+
+@geindex _operand (pyGHDL.dom.Expression.AbsoluteExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _operand}@anchor{46a}
+@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.AbsoluteExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _parent}@anchor{283}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _parent}@anchor{46b}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.AbsoluteExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AbsoluteExpression _iirNode}@anchor{46c}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ParenthesisExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression}@anchor{24d}
-@deffn {Class} pyGHDL.dom.Expression.ParenthesisExpression (operand)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression}@anchor{423}
+@deffn {Class} pyGHDL.dom.Expression.ParenthesisExpression (node, operand)
@subsubheading Inheritance
-@image{inheritance-6b2fd298f5ecf6882a7f70c2266dbe75e7546955,,,[graphviz],png}
+@image{inheritance-2afdebb6e7889b14f6fb9c67052d25df7ea5d010,,,[graphviz],png}
@subsubheading Members
-@geindex _operand (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _operand}@anchor{284}
-@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
-
@geindex parse() (pyGHDL.dom.Expression.ParenthesisExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression parse}@anchor{285}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression parse}@anchor{46d}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+@ref{423,,ParenthesisExpression}
@end deffn
-@geindex Operand() (pyGHDL.dom.Expression.ParenthesisExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Operand}@anchor{286}
-@deffn {Method} property Operand
+@geindex Operand (pyGHDL.dom.Expression.ParenthesisExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Operand}@anchor{46e}
+@deffn {Property} Operand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.ParenthesisExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Parent}@anchor{287}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.ParenthesisExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Parent}@anchor{46f}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _FORMAT}@anchor{288}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('(', ')')
+@geindex Position (pyGHDL.dom.Expression.ParenthesisExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression Position}@anchor{470}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex _abc_impl (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _abc_impl}@anchor{289}
-@deffn {Attribute} _abc_impl = <_abc_data object>
+@geindex _FORMAT (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _FORMAT}@anchor{471}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('(', ')')
@end deffn
-@geindex _is_protocol (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _is_protocol}@anchor{28a}
-@deffn {Attribute} _is_protocol = False
+@geindex _position (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _position}@anchor{472}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _is_runtime_protocol (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _is_runtime_protocol}@anchor{28b}
-@deffn {Attribute} _is_runtime_protocol = False
+@geindex _operand (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _operand}@anchor{473}
+@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.ParenthesisExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _parent}@anchor{28c}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _parent}@anchor{474}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.ParenthesisExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ParenthesisExpression _iirNode}@anchor{475}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex TypeConversion (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion}@anchor{24e}
-@deffn {Class} pyGHDL.dom.Expression.TypeConversion (operand)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion}@anchor{205}
+@deffn {Class} pyGHDL.dom.Expression.TypeConversion (node, operand)
@subsubheading Inheritance
-@image{inheritance-97b950bea2d2c21bbed8b3120aecbb83703174c4,,,[graphviz],png}
+@image{inheritance-e930a6ed162f6da3fb681a742cc49044d117af1a,,,[graphviz],png}
@subsubheading Members
-@geindex _operand (pyGHDL.dom.Expression.TypeConversion attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _operand}@anchor{28d}
-@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
-
-@geindex Operand() (pyGHDL.dom.Expression.TypeConversion property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Operand}@anchor{28e}
-@deffn {Method} property Operand
+@geindex Operand (pyGHDL.dom.Expression.TypeConversion property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Operand}@anchor{476}
+@deffn {Property} Operand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.TypeConversion property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Parent}@anchor{28f}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.TypeConversion property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Parent}@anchor{477}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.TypeConversion property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion Position}@anchor{478}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+@geindex _position (pyGHDL.dom.Expression.TypeConversion attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _position}@anchor{479}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.TypeConversion attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _FORMAT}@anchor{290}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _FORMAT}@anchor{47a}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@end deffn
+
+@geindex _operand (pyGHDL.dom.Expression.TypeConversion attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _operand}@anchor{47b}
+@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.TypeConversion attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _parent}@anchor{291}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _parent}@anchor{47c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.TypeConversion attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression TypeConversion _iirNode}@anchor{47d}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex FunctionCall (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall}@anchor{24f}
-@deffn {Class} pyGHDL.dom.Expression.FunctionCall (operand)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall}@anchor{204}
+@deffn {Class} pyGHDL.dom.Expression.FunctionCall (node, operand)
+
+@subsubheading Inheritance
+
+@image{inheritance-743abdca100039d9c9327f0d3eac2c8c240715b8,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Parent (pyGHDL.dom.Expression.FunctionCall property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Parent}@anchor{47e}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.FunctionCall property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Position}@anchor{47f}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.FunctionCall attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _position}@anchor{480}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.FunctionCall attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _parent}@anchor{481}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.FunctionCall attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _iirNode}@anchor{482}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+@geindex AscendingRangeExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression}@anchor{424}
+@deffn {Class} pyGHDL.dom.Expression.AscendingRangeExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-f5ba7cac5cea754eeed46a2a0871bf8931b8fd44,,,[graphviz],png}
+@image{inheritance-5635d299ccb0201df9de39904d5b239349892254,,,[graphviz],png}
@subsubheading Members
-@geindex _operand (pyGHDL.dom.Expression.FunctionCall attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _operand}@anchor{292}
-@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Direction (pyGHDL.dom.Expression.AscendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression Direction}@anchor{483}
+@deffn {Property} Direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+
+@*Return type:
+Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+
@end deffn
-@geindex Operand() (pyGHDL.dom.Expression.FunctionCall property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Operand}@anchor{293}
-@deffn {Method} property Operand
+@geindex LeftOperand (pyGHDL.dom.Expression.AscendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression LeftOperand}@anchor{484}
+@deffn {Property} LeftOperand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.FunctionCall property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall Parent}@anchor{294}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.AscendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression Parent}@anchor{485}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.FunctionCall attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _FORMAT}@anchor{295}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@geindex Position (pyGHDL.dom.Expression.AscendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression Position}@anchor{486}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.FunctionCall attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression FunctionCall _parent}@anchor{296}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex RightOperand (pyGHDL.dom.Expression.AscendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression RightOperand}@anchor{487}
+@deffn {Property} RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _FORMAT}@anchor{488}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' to ', '@w{'})
@end deffn
+
+@geindex _direction (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _direction}@anchor{489}
+@deffn {Attribute} _direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction} = 0
@end deffn
-@geindex AdditionExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression}@anchor{250}
-@deffn {Class} pyGHDL.dom.Expression.AdditionExpression (left, right)
+@geindex _position (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _position}@anchor{48a}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _leftOperand}@anchor{48b}
+@deffn {Attribute} _leftOperand: ExpressionUnion
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _rightOperand}@anchor{48c}
+@deffn {Attribute} _rightOperand: ExpressionUnion
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _parent}@anchor{48d}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.AscendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AscendingRangeExpression _iirNode}@anchor{48e}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+@geindex DescendingRangeExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression}@anchor{425}
+@deffn {Class} pyGHDL.dom.Expression.DescendingRangeExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-69b01e1a82daac56ad0f5a9f0b461d9ef67c04ae,,,[graphviz],png}
+@image{inheritance-a0e9c541005c32736fc5c5f00894af4f30799b68,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.AdditionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _leftOperand}@anchor{297}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Direction (pyGHDL.dom.Expression.DescendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression Direction}@anchor{48f}
+@deffn {Property} Direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+
+@*Return type:
+Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.AdditionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _rightOperand}@anchor{298}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.DescendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression LeftOperand}@anchor{490}
+@deffn {Property} LeftOperand
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Expression.DescendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression Parent}@anchor{491}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.DescendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression Position}@anchor{492}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex RightOperand (pyGHDL.dom.Expression.DescendingRangeExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression RightOperand}@anchor{493}
+@deffn {Property} RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _FORMAT}@anchor{494}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' downto ', '@w{'})
+@end deffn
+
+@geindex _direction (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _direction}@anchor{495}
+@deffn {Attribute} _direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction} = 1
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _position}@anchor{496}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _leftOperand}@anchor{497}
+@deffn {Attribute} _leftOperand: ExpressionUnion
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _rightOperand}@anchor{498}
+@deffn {Attribute} _rightOperand: ExpressionUnion
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _parent}@anchor{499}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.DescendingRangeExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DescendingRangeExpression _iirNode}@anchor{49a}
+@deffn {Attribute} _iirNode: Iir
@end deffn
+@end deffn
+
+@geindex AdditionExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression}@anchor{426}
+@deffn {Class} pyGHDL.dom.Expression.AdditionExpression (node, left, right)
+
+@subsubheading Inheritance
+
+@image{inheritance-97723bc99f87ff46e8258ad8bbe652ee5a80355b,,,[graphviz],png}
+
+@subsubheading Members
-@geindex LeftOperand() (pyGHDL.dom.Expression.AdditionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression LeftOperand}@anchor{299}
-@deffn {Method} property LeftOperand
+
+@geindex LeftOperand (pyGHDL.dom.Expression.AdditionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression LeftOperand}@anchor{49b}
+@deffn {Property} LeftOperand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.AdditionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression Parent}@anchor{29a}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.AdditionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression Parent}@anchor{49c}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.AdditionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression RightOperand}@anchor{29b}
-@deffn {Method} property RightOperand
+@geindex Position (pyGHDL.dom.Expression.AdditionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression Position}@anchor{49d}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex RightOperand (pyGHDL.dom.Expression.AdditionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression RightOperand}@anchor{49e}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.AdditionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _FORMAT}@anchor{29c}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' + ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _FORMAT}@anchor{49f}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' + ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.AdditionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _position}@anchor{4a0}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.AdditionExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression parse}@anchor{29d}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression parse}@anchor{4a1}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.AdditionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _leftOperand}@anchor{4a2}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.AdditionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _rightOperand}@anchor{4a3}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.AdditionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _parent}@anchor{29e}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _parent}@anchor{4a4}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.AdditionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AdditionExpression _iirNode}@anchor{4a5}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex SubtractionExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression}@anchor{251}
-@deffn {Class} pyGHDL.dom.Expression.SubtractionExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression}@anchor{427}
+@deffn {Class} pyGHDL.dom.Expression.SubtractionExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-ab0e5986596d55be639e17fd8533e8649a332df6,,,[graphviz],png}
+@image{inheritance-b2f1976e8960224f4789c51c539d741b31ee275e,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.SubtractionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _leftOperand}@anchor{29f}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.SubtractionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression LeftOperand}@anchor{4a6}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.SubtractionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _rightOperand}@anchor{2a0}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.SubtractionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression Parent}@anchor{4a7}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.SubtractionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression LeftOperand}@anchor{2a1}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.SubtractionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression Parent}@anchor{2a2}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.SubtractionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression Position}@anchor{4a8}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.SubtractionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression RightOperand}@anchor{2a3}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.SubtractionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression RightOperand}@anchor{4a9}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.SubtractionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _FORMAT}@anchor{2a4}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' @w{-} ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _FORMAT}@anchor{4aa}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' @w{-} ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.SubtractionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _position}@anchor{4ab}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.SubtractionExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression parse}@anchor{2a5}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression parse}@anchor{4ac}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.SubtractionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _leftOperand}@anchor{4ad}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.SubtractionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _rightOperand}@anchor{4ae}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.SubtractionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _parent}@anchor{2a6}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _parent}@anchor{4af}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.SubtractionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtractionExpression _iirNode}@anchor{4b0}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ConcatenationExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression}@anchor{252}
-@deffn {Class} pyGHDL.dom.Expression.ConcatenationExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression}@anchor{428}
+@deffn {Class} pyGHDL.dom.Expression.ConcatenationExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-ce053223caa26a9b940864e3708120a81a9e8600,,,[graphviz],png}
+@image{inheritance-fbc0e83bdfa1873da49604ca7c3e9225a38dd9a9,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.ConcatenationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _leftOperand}@anchor{2a7}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.ConcatenationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression LeftOperand}@anchor{4b1}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ConcatenationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _rightOperand}@anchor{2a8}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.ConcatenationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression Parent}@anchor{4b2}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.ConcatenationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression LeftOperand}@anchor{2a9}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.ConcatenationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression Parent}@anchor{2aa}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.ConcatenationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression Position}@anchor{4b3}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.ConcatenationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression RightOperand}@anchor{2ab}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.ConcatenationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression RightOperand}@anchor{4b4}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.ConcatenationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _FORMAT}@anchor{2ac}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' & ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _FORMAT}@anchor{4b5}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' & ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.ConcatenationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _position}@anchor{4b6}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.ConcatenationExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression parse}@anchor{2ad}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression parse}@anchor{4b7}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ConcatenationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _leftOperand}@anchor{4b8}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ConcatenationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _rightOperand}@anchor{4b9}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.ConcatenationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _parent}@anchor{2ae}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _parent}@anchor{4ba}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.ConcatenationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ConcatenationExpression _iirNode}@anchor{4bb}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex MultiplyExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression}@anchor{253}
-@deffn {Class} pyGHDL.dom.Expression.MultiplyExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression}@anchor{429}
+@deffn {Class} pyGHDL.dom.Expression.MultiplyExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-4fb660e2bbc79bae79cd3a5edac15f92c9d67ffe,,,[graphviz],png}
+@image{inheritance-7ddc16423623f62e725a62366b1ed27932c1872a,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.MultiplyExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _leftOperand}@anchor{2af}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.MultiplyExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression LeftOperand}@anchor{4bc}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.MultiplyExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _rightOperand}@anchor{2b0}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.MultiplyExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression Parent}@anchor{4bd}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.MultiplyExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression LeftOperand}@anchor{2b1}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.MultiplyExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression Parent}@anchor{2b2}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.MultiplyExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression Position}@anchor{4be}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.MultiplyExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression RightOperand}@anchor{2b3}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.MultiplyExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression RightOperand}@anchor{4bf}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.MultiplyExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _FORMAT}@anchor{2b4}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' * ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _FORMAT}@anchor{4c0}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' * ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.MultiplyExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _position}@anchor{4c1}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.MultiplyExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression parse}@anchor{2b5}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression parse}@anchor{4c2}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.MultiplyExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _leftOperand}@anchor{4c3}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.MultiplyExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _rightOperand}@anchor{4c4}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.MultiplyExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _parent}@anchor{2b6}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _parent}@anchor{4c5}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.MultiplyExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MultiplyExpression _iirNode}@anchor{4c6}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex DivisionExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression}@anchor{254}
-@deffn {Class} pyGHDL.dom.Expression.DivisionExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression}@anchor{42a}
+@deffn {Class} pyGHDL.dom.Expression.DivisionExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-82f9bb3a9047797687814bfa91dc850790b455aa,,,[graphviz],png}
+@image{inheritance-63ecb50b57dc177580cf5b4ed3b5e713ab8f15d2,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.DivisionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _leftOperand}@anchor{2b7}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.DivisionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression LeftOperand}@anchor{4c7}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.DivisionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _rightOperand}@anchor{2b8}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.DivisionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression Parent}@anchor{4c8}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.DivisionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression LeftOperand}@anchor{2b9}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.DivisionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression Parent}@anchor{2ba}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.DivisionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression Position}@anchor{4c9}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.DivisionExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression RightOperand}@anchor{2bb}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.DivisionExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression RightOperand}@anchor{4ca}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.DivisionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _FORMAT}@anchor{2bc}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' / ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _FORMAT}@anchor{4cb}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' / ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.DivisionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _position}@anchor{4cc}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.DivisionExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression parse}@anchor{2bd}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression parse}@anchor{4cd}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.DivisionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _leftOperand}@anchor{4ce}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.DivisionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _rightOperand}@anchor{4cf}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.DivisionExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _parent}@anchor{2be}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _parent}@anchor{4d0}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.DivisionExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression DivisionExpression _iirNode}@anchor{4d1}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex RemainderExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression}@anchor{255}
-@deffn {Class} pyGHDL.dom.Expression.RemainderExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression}@anchor{42b}
+@deffn {Class} pyGHDL.dom.Expression.RemainderExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-5c1fb44f560ae57629f48290aa5fe498fbcd94ea,,,[graphviz],png}
+@image{inheritance-ceb2d7735dfdf333fd7813f435e25128202f4241,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.RemainderExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _leftOperand}@anchor{2bf}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.RemainderExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression LeftOperand}@anchor{4d2}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.RemainderExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _rightOperand}@anchor{2c0}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.RemainderExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression Parent}@anchor{4d3}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.RemainderExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression LeftOperand}@anchor{2c1}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.RemainderExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression Parent}@anchor{2c2}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.RemainderExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression Position}@anchor{4d4}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.RemainderExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression RightOperand}@anchor{2c3}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.RemainderExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression RightOperand}@anchor{4d5}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.RemainderExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _FORMAT}@anchor{2c4}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' rem ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _FORMAT}@anchor{4d6}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' rem ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.RemainderExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _position}@anchor{4d7}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.RemainderExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression parse}@anchor{2c5}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression parse}@anchor{4d8}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.RemainderExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _leftOperand}@anchor{4d9}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.RemainderExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _rightOperand}@anchor{4da}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.RemainderExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _parent}@anchor{2c6}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _parent}@anchor{4db}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.RemainderExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RemainderExpression _iirNode}@anchor{4dc}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ModuloExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression}@anchor{256}
-@deffn {Class} pyGHDL.dom.Expression.ModuloExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression}@anchor{42c}
+@deffn {Class} pyGHDL.dom.Expression.ModuloExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-450ced08ab30bef55fcee4914a23d7da7261b99d,,,[graphviz],png}
+@image{inheritance-8b63406558428c4b6a8cf956ee3297be96a66bca,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.ModuloExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _leftOperand}@anchor{2c7}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.ModuloExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression LeftOperand}@anchor{4dd}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ModuloExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _rightOperand}@anchor{2c8}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.ModuloExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression Parent}@anchor{4de}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.ModuloExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression LeftOperand}@anchor{2c9}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.ModuloExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression Parent}@anchor{2ca}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.ModuloExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression Position}@anchor{4df}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.ModuloExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression RightOperand}@anchor{2cb}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.ModuloExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression RightOperand}@anchor{4e0}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.ModuloExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _FORMAT}@anchor{2cc}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' mod ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _FORMAT}@anchor{4e1}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' mod ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.ModuloExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _position}@anchor{4e2}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.ModuloExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression parse}@anchor{2cd}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression parse}@anchor{4e3}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ModuloExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _leftOperand}@anchor{4e4}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ModuloExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _rightOperand}@anchor{4e5}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.ModuloExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _parent}@anchor{2ce}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _parent}@anchor{4e6}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.ModuloExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ModuloExpression _iirNode}@anchor{4e7}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ExponentiationExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression}@anchor{257}
-@deffn {Class} pyGHDL.dom.Expression.ExponentiationExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression}@anchor{42d}
+@deffn {Class} pyGHDL.dom.Expression.ExponentiationExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-6414d391d76916afc9831c562b4a8314af2f9dbe,,,[graphviz],png}
+@image{inheritance-fc6a4113920aaca0aeeada93485b228107acf212,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.ExponentiationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _leftOperand}@anchor{2cf}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.ExponentiationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression LeftOperand}@anchor{4e8}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ExponentiationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _rightOperand}@anchor{2d0}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.ExponentiationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression Parent}@anchor{4e9}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.ExponentiationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression LeftOperand}@anchor{2d1}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.ExponentiationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression Parent}@anchor{2d2}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.ExponentiationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression Position}@anchor{4ea}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.ExponentiationExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression RightOperand}@anchor{2d3}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.ExponentiationExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression RightOperand}@anchor{4eb}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.ExponentiationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _FORMAT}@anchor{2d4}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, '**', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _FORMAT}@anchor{4ec}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, '**', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.ExponentiationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _position}@anchor{4ed}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.ExponentiationExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression parse}@anchor{2d5}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression parse}@anchor{4ee}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ExponentiationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _leftOperand}@anchor{4ef}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ExponentiationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _rightOperand}@anchor{4f0}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.ExponentiationExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _parent}@anchor{2d6}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _parent}@anchor{4f1}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.ExponentiationExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ExponentiationExpression _iirNode}@anchor{4f2}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex AndExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression}@anchor{258}
-@deffn {Class} pyGHDL.dom.Expression.AndExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression}@anchor{42e}
+@deffn {Class} pyGHDL.dom.Expression.AndExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-fa2e08ce1f59d096652dfc621ca49c95ddd7cf50,,,[graphviz],png}
+@image{inheritance-5125a5fd336a2716ace0564838e8b00330ff99a7,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.AndExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _leftOperand}@anchor{2d7}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.AndExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression LeftOperand}@anchor{4f3}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.AndExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _rightOperand}@anchor{2d8}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.AndExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression Parent}@anchor{4f4}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.AndExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression LeftOperand}@anchor{2d9}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.AndExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression Parent}@anchor{2da}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.AndExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression Position}@anchor{4f5}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.AndExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression RightOperand}@anchor{2db}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.AndExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression RightOperand}@anchor{4f6}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.AndExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _FORMAT}@anchor{2dc}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' and ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _FORMAT}@anchor{4f7}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' and ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.AndExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _position}@anchor{4f8}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.AndExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression parse}@anchor{2dd}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression parse}@anchor{4f9}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.AndExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _leftOperand}@anchor{4fa}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.AndExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _rightOperand}@anchor{4fb}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.AndExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _parent}@anchor{2de}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _parent}@anchor{4fc}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.AndExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression AndExpression _iirNode}@anchor{4fd}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex NandExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression}@anchor{259}
-@deffn {Class} pyGHDL.dom.Expression.NandExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression}@anchor{42f}
+@deffn {Class} pyGHDL.dom.Expression.NandExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-2671cdb7c819a6b5d73a24b8612ea95fb62f873b,,,[graphviz],png}
+@image{inheritance-1ed6f6a6bc2fa04359a629204f83dc0bb3f5ade3,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.NandExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _leftOperand}@anchor{2df}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.NandExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression LeftOperand}@anchor{4fe}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.NandExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _rightOperand}@anchor{2e0}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.NandExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression Parent}@anchor{4ff}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.NandExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression LeftOperand}@anchor{2e1}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.NandExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression Parent}@anchor{2e2}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.NandExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression Position}@anchor{500}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.NandExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression RightOperand}@anchor{2e3}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.NandExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression RightOperand}@anchor{501}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.NandExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _FORMAT}@anchor{2e4}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' nand ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _FORMAT}@anchor{502}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' nand ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.NandExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _position}@anchor{503}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.NandExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression parse}@anchor{2e5}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression parse}@anchor{504}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.NandExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _leftOperand}@anchor{505}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.NandExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _rightOperand}@anchor{506}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.NandExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _parent}@anchor{2e6}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _parent}@anchor{507}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.NandExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NandExpression _iirNode}@anchor{508}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex OrExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression}@anchor{25a}
-@deffn {Class} pyGHDL.dom.Expression.OrExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression}@anchor{430}
+@deffn {Class} pyGHDL.dom.Expression.OrExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-8ca8688ee1e9d126c03e6dc9d00d418366587adc,,,[graphviz],png}
+@image{inheritance-b30be63d1a11fd663e6aee4b8bd904575f6ec36a,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.OrExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _leftOperand}@anchor{2e7}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.OrExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression LeftOperand}@anchor{509}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.OrExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _rightOperand}@anchor{2e8}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.OrExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression Parent}@anchor{50a}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.OrExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression LeftOperand}@anchor{2e9}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.OrExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression Parent}@anchor{2ea}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.OrExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression Position}@anchor{50b}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.OrExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression RightOperand}@anchor{2eb}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.OrExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression RightOperand}@anchor{50c}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.OrExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _FORMAT}@anchor{2ec}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' or ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _FORMAT}@anchor{50d}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' or ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.OrExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _position}@anchor{50e}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.OrExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression parse}@anchor{2ed}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression parse}@anchor{50f}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.OrExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _leftOperand}@anchor{510}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.OrExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _rightOperand}@anchor{511}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.OrExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _parent}@anchor{2ee}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _parent}@anchor{512}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.OrExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression OrExpression _iirNode}@anchor{513}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex NorExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression}@anchor{25b}
-@deffn {Class} pyGHDL.dom.Expression.NorExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression}@anchor{431}
+@deffn {Class} pyGHDL.dom.Expression.NorExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-9b8adbfcc7289eb648dbf0d6a7ad1fb3832e7c3d,,,[graphviz],png}
+@image{inheritance-47db195cb5950f5520bc20aad6c4270164f0b7fd,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.NorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _leftOperand}@anchor{2ef}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.NorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression LeftOperand}@anchor{514}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.NorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _rightOperand}@anchor{2f0}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.NorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression Parent}@anchor{515}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.NorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression LeftOperand}@anchor{2f1}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.NorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression Parent}@anchor{2f2}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.NorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression Position}@anchor{516}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.NorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression RightOperand}@anchor{2f3}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.NorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression RightOperand}@anchor{517}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.NorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _FORMAT}@anchor{2f4}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' nor ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _FORMAT}@anchor{518}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' nor ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.NorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _position}@anchor{519}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.NorExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression parse}@anchor{2f5}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression parse}@anchor{51a}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.NorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _leftOperand}@anchor{51b}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.NorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _rightOperand}@anchor{51c}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.NorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _parent}@anchor{2f6}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _parent}@anchor{51d}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.NorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression NorExpression _iirNode}@anchor{51e}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex XorExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression}@anchor{25c}
-@deffn {Class} pyGHDL.dom.Expression.XorExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression}@anchor{432}
+@deffn {Class} pyGHDL.dom.Expression.XorExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-1e5d758a21d0b967cd5678670c077c6fa7c9b4f9,,,[graphviz],png}
+@image{inheritance-344a2fa961b0abf0152b306415cf73d9f02a4000,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.XorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _leftOperand}@anchor{2f7}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.XorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression LeftOperand}@anchor{51f}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.XorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _rightOperand}@anchor{2f8}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.XorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression Parent}@anchor{520}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.XorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression LeftOperand}@anchor{2f9}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.XorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression Parent}@anchor{2fa}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.XorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression Position}@anchor{521}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.XorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression RightOperand}@anchor{2fb}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.XorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression RightOperand}@anchor{522}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.XorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _FORMAT}@anchor{2fc}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' xor ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _FORMAT}@anchor{523}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' xor ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.XorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _position}@anchor{524}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.XorExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression parse}@anchor{2fd}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression parse}@anchor{525}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.XorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _leftOperand}@anchor{526}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.XorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _rightOperand}@anchor{527}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.XorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _parent}@anchor{2fe}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _parent}@anchor{528}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.XorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XorExpression _iirNode}@anchor{529}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex XnorExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression}@anchor{25d}
-@deffn {Class} pyGHDL.dom.Expression.XnorExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression}@anchor{433}
+@deffn {Class} pyGHDL.dom.Expression.XnorExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-ad2329812ea0092b2c04b873d40dcdca0168699e,,,[graphviz],png}
+@image{inheritance-f13dc3111f19f24becc50de825456ee3d85938ef,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.XnorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _leftOperand}@anchor{2ff}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.XnorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression LeftOperand}@anchor{52a}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.XnorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _rightOperand}@anchor{300}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.XnorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression Parent}@anchor{52b}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.XnorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression LeftOperand}@anchor{301}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.XnorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression Parent}@anchor{302}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.XnorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression Position}@anchor{52c}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.XnorExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression RightOperand}@anchor{303}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.XnorExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression RightOperand}@anchor{52d}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.XnorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _FORMAT}@anchor{304}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' xnor ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _FORMAT}@anchor{52e}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' xnor ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.XnorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _position}@anchor{52f}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.XnorExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression parse}@anchor{305}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression parse}@anchor{530}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.XnorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _leftOperand}@anchor{531}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.XnorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _rightOperand}@anchor{532}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.XnorExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _parent}@anchor{306}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _parent}@anchor{533}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.XnorExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression XnorExpression _iirNode}@anchor{534}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex EqualExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression}@anchor{25e}
-@deffn {Class} pyGHDL.dom.Expression.EqualExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression}@anchor{434}
+@deffn {Class} pyGHDL.dom.Expression.EqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-a723a0c34601aa43a1cb98dc4356b8bae1157812,,,[graphviz],png}
+@image{inheritance-2d4a8109c34c076a4f9bca654349667bdea14f8f,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.EqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _leftOperand}@anchor{307}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.EqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression LeftOperand}@anchor{535}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.EqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _rightOperand}@anchor{308}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.EqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression Parent}@anchor{536}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.EqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression LeftOperand}@anchor{309}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.EqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression Parent}@anchor{30a}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.EqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression Position}@anchor{537}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.EqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression RightOperand}@anchor{30b}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.EqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression RightOperand}@anchor{538}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.EqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _FORMAT}@anchor{30c}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' = ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _FORMAT}@anchor{539}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' = ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.EqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _position}@anchor{53a}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.EqualExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression parse}@anchor{30d}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression parse}@anchor{53b}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.EqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _leftOperand}@anchor{53c}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.EqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _rightOperand}@anchor{53d}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.EqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _parent}@anchor{30e}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _parent}@anchor{53e}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.EqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression EqualExpression _iirNode}@anchor{53f}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex UnequalExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression}@anchor{25f}
-@deffn {Class} pyGHDL.dom.Expression.UnequalExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression}@anchor{435}
+@deffn {Class} pyGHDL.dom.Expression.UnequalExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-f5bc38e3684a36af478a191eb9d72ab80ea0e214,,,[graphviz],png}
+@image{inheritance-009d12ae28c8eb24b03db10e3e19e64bf5be6be0,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.UnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _leftOperand}@anchor{30f}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.UnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression LeftOperand}@anchor{540}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.UnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _rightOperand}@anchor{310}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.UnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression Parent}@anchor{541}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.UnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression LeftOperand}@anchor{311}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.UnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression Parent}@anchor{312}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.UnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression Position}@anchor{542}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.UnequalExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression RightOperand}@anchor{313}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.UnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression RightOperand}@anchor{543}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.UnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _FORMAT}@anchor{314}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' /= ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _FORMAT}@anchor{544}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' /= ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.UnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _position}@anchor{545}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.UnequalExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression parse}@anchor{315}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression parse}@anchor{546}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.UnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _leftOperand}@anchor{547}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.UnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _rightOperand}@anchor{548}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.UnequalExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _parent}@anchor{316}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _parent}@anchor{549}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.UnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression UnequalExpression _iirNode}@anchor{54a}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex LessThanExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression}@anchor{260}
-@deffn {Class} pyGHDL.dom.Expression.LessThanExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression}@anchor{436}
+@deffn {Class} pyGHDL.dom.Expression.LessThanExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-bfce54434d425a3a3a3d781c699f8b3d15a76eb2,,,[graphviz],png}
+@image{inheritance-00b4621918840d5326c8e17141b165d054120bbf,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.LessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _leftOperand}@anchor{317}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.LessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression LeftOperand}@anchor{54b}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.LessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _rightOperand}@anchor{318}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.LessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression Parent}@anchor{54c}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.LessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression LeftOperand}@anchor{319}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.LessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression Parent}@anchor{31a}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.LessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression Position}@anchor{54d}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.LessThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression RightOperand}@anchor{31b}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.LessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression RightOperand}@anchor{54e}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.LessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _FORMAT}@anchor{31c}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' < ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _FORMAT}@anchor{54f}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' < ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.LessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _position}@anchor{550}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.LessThanExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression parse}@anchor{31d}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression parse}@anchor{551}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.LessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _leftOperand}@anchor{552}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.LessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _rightOperand}@anchor{553}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.LessThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _parent}@anchor{31e}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _parent}@anchor{554}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.LessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessThanExpression _iirNode}@anchor{555}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex LessEqualExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression}@anchor{261}
-@deffn {Class} pyGHDL.dom.Expression.LessEqualExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression}@anchor{437}
+@deffn {Class} pyGHDL.dom.Expression.LessEqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-d674c78a9ccbf4561acfcbb5b5539382706df5d8,,,[graphviz],png}
+@image{inheritance-19a815f432150a606c1cec6393ae2bf26e804b5a,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.LessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _leftOperand}@anchor{31f}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.LessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression LeftOperand}@anchor{556}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.LessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _rightOperand}@anchor{320}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.LessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression Parent}@anchor{557}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.LessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression LeftOperand}@anchor{321}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.LessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression Parent}@anchor{322}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.LessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression Position}@anchor{558}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.LessEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression RightOperand}@anchor{323}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.LessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression RightOperand}@anchor{559}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.LessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _FORMAT}@anchor{324}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' <= ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _FORMAT}@anchor{55a}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' <= ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.LessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _position}@anchor{55b}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.LessEqualExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression parse}@anchor{325}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression parse}@anchor{55c}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.LessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _leftOperand}@anchor{55d}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.LessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _rightOperand}@anchor{55e}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.LessEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _parent}@anchor{326}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _parent}@anchor{55f}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.LessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression LessEqualExpression _iirNode}@anchor{560}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex GreaterThanExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression}@anchor{262}
-@deffn {Class} pyGHDL.dom.Expression.GreaterThanExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression}@anchor{438}
+@deffn {Class} pyGHDL.dom.Expression.GreaterThanExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-89732104af76841a416681830e5a3f5767ba30c2,,,[graphviz],png}
+@image{inheritance-bba0e44fef20e438c3590dbf30215a41d2745631,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.GreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _leftOperand}@anchor{327}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.GreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression LeftOperand}@anchor{561}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.GreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _rightOperand}@anchor{328}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.GreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression Parent}@anchor{562}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.GreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression LeftOperand}@anchor{329}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.GreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression Parent}@anchor{32a}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.GreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression Position}@anchor{563}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.GreaterThanExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression RightOperand}@anchor{32b}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.GreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression RightOperand}@anchor{564}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.GreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _FORMAT}@anchor{32c}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' > ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _FORMAT}@anchor{565}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' > ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.GreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _position}@anchor{566}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.GreaterThanExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression parse}@anchor{32d}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression parse}@anchor{567}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.GreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _leftOperand}@anchor{568}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.GreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _rightOperand}@anchor{569}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.GreaterThanExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _parent}@anchor{32e}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _parent}@anchor{56a}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.GreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterThanExpression _iirNode}@anchor{56b}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex GreaterEqualExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression}@anchor{263}
-@deffn {Class} pyGHDL.dom.Expression.GreaterEqualExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression}@anchor{439}
+@deffn {Class} pyGHDL.dom.Expression.GreaterEqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-b302d15f74c36b531314d68766f7a10faf1fdb1a,,,[graphviz],png}
+@image{inheritance-a3df9e3ad38c2ade552cc35c4d8973fc64996afd,,,[graphviz],png}
@subsubheading Members
+@geindex LeftOperand (pyGHDL.dom.Expression.GreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression LeftOperand}@anchor{56c}
+@deffn {Property} LeftOperand
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Expression.GreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression Parent}@anchor{56d}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.GreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression Position}@anchor{56e}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex RightOperand (pyGHDL.dom.Expression.GreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression RightOperand}@anchor{56f}
+@deffn {Property} RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _FORMAT}@anchor{570}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' >= ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _position}@anchor{571}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.GreaterEqualExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression parse}@anchor{572}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
@geindex _leftOperand (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _leftOperand}@anchor{32f}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _leftOperand}@anchor{573}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _rightOperand (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _rightOperand}@anchor{330}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _rightOperand}@anchor{574}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _parent}@anchor{575}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _iirNode}@anchor{576}
+@deffn {Attribute} _iirNode: Iir
@end deffn
+@end deffn
+
+@geindex MatchingEqualExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression}@anchor{43a}
+@deffn {Class} pyGHDL.dom.Expression.MatchingEqualExpression (node, left, right)
+
+@subsubheading Inheritance
+
+@image{inheritance-d7786f13f3b379c62b1388d91c7164ee0339624f,,,[graphviz],png}
+
+@subsubheading Members
+
-@geindex LeftOperand() (pyGHDL.dom.Expression.GreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression LeftOperand}@anchor{331}
-@deffn {Method} property LeftOperand
+@geindex LeftOperand (pyGHDL.dom.Expression.MatchingEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression LeftOperand}@anchor{577}
+@deffn {Property} LeftOperand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.GreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression Parent}@anchor{332}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.MatchingEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression Parent}@anchor{578}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.GreaterEqualExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression RightOperand}@anchor{333}
-@deffn {Method} property RightOperand
+@geindex Position (pyGHDL.dom.Expression.MatchingEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression Position}@anchor{579}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _FORMAT}@anchor{334}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' >= ', '@w{'})
+@geindex RightOperand (pyGHDL.dom.Expression.MatchingEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression RightOperand}@anchor{57a}
+@deffn {Property} RightOperand
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.GreaterEqualExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression parse}@anchor{335}
-@deffn {Method} classmethod parse (node)
+@geindex _FORMAT (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _FORMAT}@anchor{57b}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?= ', '@w{'})
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.GreaterEqualExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression GreaterEqualExpression _parent}@anchor{336}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _position (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _position}@anchor{57c}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.MatchingEqualExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression parse}@anchor{57d}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _leftOperand}@anchor{57e}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _rightOperand}@anchor{57f}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex ShiftRightLogicExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression}@anchor{264}
-@deffn {Class} pyGHDL.dom.Expression.ShiftRightLogicExpression (left, right)
+@geindex _parent (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _parent}@anchor{580}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.MatchingEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingEqualExpression _iirNode}@anchor{581}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+@geindex MatchingUnequalExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression}@anchor{43b}
+@deffn {Class} pyGHDL.dom.Expression.MatchingUnequalExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-f51e664a58207a768b274e69d196cd890679bbdb,,,[graphviz],png}
+@image{inheritance-ab9f27cdde14edd5c094a0b36735bee201b3a55f,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _leftOperand}@anchor{337}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.MatchingUnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression LeftOperand}@anchor{582}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _rightOperand}@anchor{338}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Parent (pyGHDL.dom.Expression.MatchingUnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression Parent}@anchor{583}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
@end deffn
-@geindex LeftOperand() (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression LeftOperand}@anchor{339}
-@deffn {Method} property LeftOperand
+@geindex Position (pyGHDL.dom.Expression.MatchingUnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression Position}@anchor{584}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex RightOperand (pyGHDL.dom.Expression.MatchingUnequalExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression RightOperand}@anchor{585}
+@deffn {Property} RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _FORMAT}@anchor{586}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?/= ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _position}@anchor{587}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.MatchingUnequalExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression parse}@anchor{588}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression Parent}@anchor{33a}
-@deffn {Method} property Parent
+@geindex _leftOperand (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _leftOperand}@anchor{589}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _rightOperand}@anchor{58a}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _parent}@anchor{58b}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.MatchingUnequalExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingUnequalExpression _iirNode}@anchor{58c}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex MatchingLessThanExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression}@anchor{43c}
+@deffn {Class} pyGHDL.dom.Expression.MatchingLessThanExpression (node, left, right)
+
+@subsubheading Inheritance
+
+@image{inheritance-90c2fa10eaa69c1d04f9e49363a84936f163e47d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex LeftOperand (pyGHDL.dom.Expression.MatchingLessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression LeftOperand}@anchor{58d}
+@deffn {Property} LeftOperand
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Expression.MatchingLessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression Parent}@anchor{58e}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression RightOperand}@anchor{33b}
-@deffn {Method} property RightOperand
+@geindex Position (pyGHDL.dom.Expression.MatchingLessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression Position}@anchor{58f}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _FORMAT}@anchor{33c}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' srl ', '@w{'})
+@geindex RightOperand (pyGHDL.dom.Expression.MatchingLessThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression RightOperand}@anchor{590}
+@deffn {Property} RightOperand
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ShiftRightLogicExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression parse}@anchor{33d}
-@deffn {Method} classmethod parse (node)
+@geindex _FORMAT (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _FORMAT}@anchor{591}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?< ', '@w{'})
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _parent}@anchor{33e}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _position (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _position}@anchor{592}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.MatchingLessThanExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression parse}@anchor{593}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _leftOperand}@anchor{594}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex ShiftLeftLogicExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression}@anchor{265}
-@deffn {Class} pyGHDL.dom.Expression.ShiftLeftLogicExpression (left, right)
+@geindex _rightOperand (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _rightOperand}@anchor{595}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _parent}@anchor{596}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+@geindex _iirNode (pyGHDL.dom.Expression.MatchingLessThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessThanExpression _iirNode}@anchor{597}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex MatchingLessEqualExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression}@anchor{43d}
+@deffn {Class} pyGHDL.dom.Expression.MatchingLessEqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-305e5826bdc3f6afedf0730070e90d0f3f7c927b,,,[graphviz],png}
+@image{inheritance-ca9114b0be2410a34ecc667c336290cb39a46690,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _leftOperand}@anchor{33f}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression LeftOperand}@anchor{598}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _rightOperand}@anchor{340}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Parent (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression Parent}@anchor{599}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
@end deffn
-@geindex LeftOperand() (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression LeftOperand}@anchor{341}
-@deffn {Method} property LeftOperand
+@geindex Position (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression Position}@anchor{59a}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex RightOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression RightOperand}@anchor{59b}
+@deffn {Property} RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _FORMAT}@anchor{59c}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?<= ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _position}@anchor{59d}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.MatchingLessEqualExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression parse}@anchor{59e}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _leftOperand}@anchor{59f}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _rightOperand}@anchor{5a0}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression Parent}@anchor{342}
-@deffn {Method} property Parent
+@geindex _parent (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _parent}@anchor{5a1}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.MatchingLessEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingLessEqualExpression _iirNode}@anchor{5a2}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex MatchingGreaterThanExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression}@anchor{43e}
+@deffn {Class} pyGHDL.dom.Expression.MatchingGreaterThanExpression (node, left, right)
+
+@subsubheading Inheritance
+
+@image{inheritance-d9ed65079f26d480dba445b3624d01ad4796c570,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex LeftOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression LeftOperand}@anchor{5a3}
+@deffn {Property} LeftOperand
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression Parent}@anchor{5a4}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression Position}@anchor{5a5}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression RightOperand}@anchor{343}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression RightOperand}@anchor{5a6}
+@deffn {Property} RightOperand
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _FORMAT}@anchor{344}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sll ', '@w{'})
+@geindex _FORMAT (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _FORMAT}@anchor{5a7}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?> ', '@w{'})
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ShiftLeftLogicExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression parse}@anchor{345}
-@deffn {Method} classmethod parse (node)
+@geindex _position (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _position}@anchor{5a8}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _parent}@anchor{346}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex parse() (pyGHDL.dom.Expression.MatchingGreaterThanExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression parse}@anchor{5a9}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _leftOperand}@anchor{5aa}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex ShiftRightArithmeticExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression}@anchor{266}
-@deffn {Class} pyGHDL.dom.Expression.ShiftRightArithmeticExpression (left, right)
+@geindex _rightOperand (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _rightOperand}@anchor{5ab}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _parent}@anchor{5ac}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+@geindex _iirNode (pyGHDL.dom.Expression.MatchingGreaterThanExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterThanExpression _iirNode}@anchor{5ad}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex MatchingGreaterEqualExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression}@anchor{43f}
+@deffn {Class} pyGHDL.dom.Expression.MatchingGreaterEqualExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-0a4b6b1c0df58158356d3519981a2979f3f2179c,,,[graphviz],png}
+@image{inheritance-d6a4a2e5acba7acefad74ba48b8b80cdfeb5bbd3,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _leftOperand}@anchor{347}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression LeftOperand}@anchor{5ae}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _rightOperand}@anchor{348}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Parent (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression Parent}@anchor{5af}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression Position}@anchor{5b0}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex LeftOperand() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression LeftOperand}@anchor{349}
-@deffn {Method} property LeftOperand
+@geindex RightOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression RightOperand}@anchor{5b1}
+@deffn {Property} RightOperand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression Parent}@anchor{34a}
-@deffn {Method} property Parent
+@geindex _FORMAT (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _FORMAT}@anchor{5b2}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ?>= ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _position}@anchor{5b3}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.MatchingGreaterEqualExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression parse}@anchor{5b4}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _leftOperand}@anchor{5b5}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _rightOperand}@anchor{5b6}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _parent}@anchor{5b7}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.MatchingGreaterEqualExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression MatchingGreaterEqualExpression _iirNode}@anchor{5b8}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ShiftRightLogicExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression}@anchor{440}
+@deffn {Class} pyGHDL.dom.Expression.ShiftRightLogicExpression (node, left, right)
+
+@subsubheading Inheritance
+
+@image{inheritance-b414bca7e9af16aa1e5d7e0c545e08b5821ccb2e,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex LeftOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression LeftOperand}@anchor{5b9}
+@deffn {Property} LeftOperand
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression Parent}@anchor{5ba}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression RightOperand}@anchor{34b}
-@deffn {Method} property RightOperand
+@geindex Position (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression Position}@anchor{5bb}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _FORMAT}@anchor{34c}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sra ', '@w{'})
+@geindex RightOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression RightOperand}@anchor{5bc}
+@deffn {Property} RightOperand
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression parse}@anchor{34d}
-@deffn {Method} classmethod parse (node)
+@geindex _FORMAT (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _FORMAT}@anchor{5bd}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' srl ', '@w{'})
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _parent}@anchor{34e}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _position (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _position}@anchor{5be}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ShiftRightLogicExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression parse}@anchor{5bf}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _leftOperand}@anchor{5c0}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex ShiftLeftArithmeticExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression}@anchor{267}
-@deffn {Class} pyGHDL.dom.Expression.ShiftLeftArithmeticExpression (left, right)
+@geindex _rightOperand (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _rightOperand}@anchor{5c1}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _parent}@anchor{5c2}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.ShiftRightLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightLogicExpression _iirNode}@anchor{5c3}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ShiftLeftLogicExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression}@anchor{441}
+@deffn {Class} pyGHDL.dom.Expression.ShiftLeftLogicExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-1ecffd298c993a1a2a372b2fd9fac84becd91863,,,[graphviz],png}
+@image{inheritance-0acf4d5620eb9e39f42831ce4dbca0df43200c04,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _leftOperand}@anchor{34f}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression LeftOperand}@anchor{5c4}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _rightOperand}@anchor{350}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Parent (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression Parent}@anchor{5c5}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression Position}@anchor{5c6}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex RightOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression RightOperand}@anchor{5c7}
+@deffn {Property} RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _FORMAT}@anchor{5c8}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sll ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _position}@anchor{5c9}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ShiftLeftLogicExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression parse}@anchor{5ca}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _leftOperand}@anchor{5cb}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _rightOperand}@anchor{5cc}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _parent}@anchor{5cd}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.ShiftLeftLogicExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftLogicExpression _iirNode}@anchor{5ce}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
@end deffn
-@geindex LeftOperand() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression LeftOperand}@anchor{351}
-@deffn {Method} property LeftOperand
+@geindex ShiftRightArithmeticExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression}@anchor{442}
+@deffn {Class} pyGHDL.dom.Expression.ShiftRightArithmeticExpression (node, left, right)
+
+@subsubheading Inheritance
+
+@image{inheritance-7dab8f22f3dd592641292b89002df491f880aa6f,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex LeftOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression LeftOperand}@anchor{5cf}
+@deffn {Property} LeftOperand
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression Parent}@anchor{352}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression Parent}@anchor{5d0}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression RightOperand}@anchor{353}
-@deffn {Method} property RightOperand
+@geindex Position (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression Position}@anchor{5d1}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _FORMAT}@anchor{354}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sla ', '@w{'})
+@geindex RightOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression RightOperand}@anchor{5d2}
+@deffn {Property} RightOperand
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression parse}@anchor{355}
-@deffn {Method} classmethod parse (node)
+@geindex _FORMAT (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _FORMAT}@anchor{5d3}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sra ', '@w{'})
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _parent}@anchor{356}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _position (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _position}@anchor{5d4}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ShiftRightArithmeticExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression parse}@anchor{5d5}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _leftOperand}@anchor{5d6}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex RotateRightExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression}@anchor{268}
-@deffn {Class} pyGHDL.dom.Expression.RotateRightExpression (left, right)
+@geindex _rightOperand (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _rightOperand}@anchor{5d7}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _parent}@anchor{5d8}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.ShiftRightArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftRightArithmeticExpression _iirNode}@anchor{5d9}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ShiftLeftArithmeticExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression}@anchor{443}
+@deffn {Class} pyGHDL.dom.Expression.ShiftLeftArithmeticExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-ee8a6fb8a3b7523ec07edfecb686b1668fb20a79,,,[graphviz],png}
+@image{inheritance-80377618268f855051fc5ae5f006bd65a591797d,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.RotateRightExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _leftOperand}@anchor{357}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression LeftOperand}@anchor{5da}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.RotateRightExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _rightOperand}@anchor{358}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Parent (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression Parent}@anchor{5db}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
@end deffn
-@geindex LeftOperand() (pyGHDL.dom.Expression.RotateRightExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression LeftOperand}@anchor{359}
-@deffn {Method} property LeftOperand
+@geindex Position (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression Position}@anchor{5dc}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.RotateRightExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression Parent}@anchor{35a}
-@deffn {Method} property Parent
+@geindex RightOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression RightOperand}@anchor{5dd}
+@deffn {Property} RightOperand
+@end deffn
+
+@geindex _FORMAT (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _FORMAT}@anchor{5de}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' sla ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _position}@anchor{5df}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression parse}@anchor{5e0}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _leftOperand}@anchor{5e1}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _rightOperand}@anchor{5e2}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _parent}@anchor{5e3}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.ShiftLeftArithmeticExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression ShiftLeftArithmeticExpression _iirNode}@anchor{5e4}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex RotateRightExpression (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression}@anchor{444}
+@deffn {Class} pyGHDL.dom.Expression.RotateRightExpression (node, left, right)
+
+@subsubheading Inheritance
+
+@image{inheritance-5dd69daac1abeaf33fa3019f21c52962056e5d5d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex LeftOperand (pyGHDL.dom.Expression.RotateRightExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression LeftOperand}@anchor{5e5}
+@deffn {Property} LeftOperand
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Expression.RotateRightExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression Parent}@anchor{5e6}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.RotateRightExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression Position}@anchor{5e7}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.RotateRightExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression RightOperand}@anchor{35b}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.RotateRightExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression RightOperand}@anchor{5e8}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.RotateRightExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _FORMAT}@anchor{35c}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ror ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _FORMAT}@anchor{5e9}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' ror ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.RotateRightExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _position}@anchor{5ea}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.RotateRightExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression parse}@anchor{35d}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression parse}@anchor{5eb}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.RotateRightExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _leftOperand}@anchor{5ec}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.RotateRightExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _rightOperand}@anchor{5ed}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.RotateRightExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _parent}@anchor{35e}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _parent}@anchor{5ee}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.RotateRightExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateRightExpression _iirNode}@anchor{5ef}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex RotateLeftExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression}@anchor{269}
-@deffn {Class} pyGHDL.dom.Expression.RotateLeftExpression (left, right)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression}@anchor{445}
+@deffn {Class} pyGHDL.dom.Expression.RotateLeftExpression (node, left, right)
@subsubheading Inheritance
-@image{inheritance-6efb4e8f601de3a5b89cb5c1d9d200095ddc6fc9,,,[graphviz],png}
+@image{inheritance-01924ccb8dddb211422514a225c50f64cccb55b9,,,[graphviz],png}
@subsubheading Members
-@geindex _leftOperand (pyGHDL.dom.Expression.RotateLeftExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _leftOperand}@anchor{35f}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex LeftOperand (pyGHDL.dom.Expression.RotateLeftExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression LeftOperand}@anchor{5f0}
+@deffn {Property} LeftOperand
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Expression.RotateLeftExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _rightOperand}@anchor{360}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
-@end deffn
+@geindex Parent (pyGHDL.dom.Expression.RotateLeftExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression Parent}@anchor{5f1}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-@geindex LeftOperand() (pyGHDL.dom.Expression.RotateLeftExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression LeftOperand}@anchor{361}
-@deffn {Method} property LeftOperand
-@end deffn
+Returns a reference to the parent entity.
-@geindex Parent() (pyGHDL.dom.Expression.RotateLeftExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression Parent}@anchor{362}
-@deffn {Method} property Parent
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a reference to the parent entity.
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.RotateLeftExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression Position}@anchor{5f2}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Expression.RotateLeftExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression RightOperand}@anchor{363}
-@deffn {Method} property RightOperand
+@geindex RightOperand (pyGHDL.dom.Expression.RotateLeftExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression RightOperand}@anchor{5f3}
+@deffn {Property} RightOperand
@end deffn
@geindex _FORMAT (pyGHDL.dom.Expression.RotateLeftExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _FORMAT}@anchor{364}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' rol ', '@w{'})
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _FORMAT}@anchor{5f4}
+@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}] = ('@w{'}, ' rol ', '@w{'})
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.RotateLeftExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _position}@anchor{5f5}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex parse() (pyGHDL.dom.Expression.RotateLeftExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression parse}@anchor{365}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression parse}@anchor{5f6}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+BinaryExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BinaryExpression}
+
+@end deffn
+
+@geindex _leftOperand (pyGHDL.dom.Expression.RotateLeftExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _leftOperand}@anchor{5f7}
+@deffn {Attribute} _leftOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightOperand (pyGHDL.dom.Expression.RotateLeftExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _rightOperand}@anchor{5f8}
+@deffn {Attribute} _rightOperand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.RotateLeftExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _parent}@anchor{366}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _parent}@anchor{5f9}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.RotateLeftExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression RotateLeftExpression _iirNode}@anchor{5fa}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex QualifiedExpression (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression}@anchor{26a}
-@deffn {Class} pyGHDL.dom.Expression.QualifiedExpression (subType, operand)
-
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression}@anchor{203}
+@deffn {Class} pyGHDL.dom.Expression.QualifiedExpression (node, subtype, operand)
@subsubheading Inheritance
-@image{inheritance-205c4eb4ce3e073b02391fdf7ef7a56987f87a18,,,[graphviz],png}
+@image{inheritance-21f3177de36c5d841a3306a849461b5341ef8267,,,[graphviz],png}
@subsubheading Members
-@geindex _subtype (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _subtype}@anchor{367}
-@deffn {Attribute} _subtype: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex parse() (pyGHDL.dom.Expression.QualifiedExpression class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression parse}@anchor{5fb}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+@ref{203,,QualifiedExpression}
+
+@end deffn
+
+@geindex Operand (pyGHDL.dom.Expression.QualifiedExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Operand}@anchor{5fc}
+@deffn {Property} Operand
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Expression.QualifiedExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Parent}@anchor{5fd}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.QualifiedExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Position}@anchor{5fe}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Subtyped (pyGHDL.dom.Expression.QualifiedExpression property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Subtyped}@anchor{5ff}
+@deffn {Property} Subtyped
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _position}@anchor{600}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex _operand (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _operand}@anchor{368}
-@deffn {Attribute} _operand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _operand}@anchor{601}
+@deffn {Attribute} _operand: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
-@geindex parse() (pyGHDL.dom.Expression.QualifiedExpression class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression parse}@anchor{369}
-@deffn {Method} classmethod parse (node)
+@geindex _subtype (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _subtype}@anchor{602}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _parent}@anchor{603}
+@deffn {Attribute} _parent: ModelEntity
+Reference to a parent entity in the model.
@end deffn
-@geindex Operand() (pyGHDL.dom.Expression.QualifiedExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Operand}@anchor{36a}
-@deffn {Method} property Operand
+@geindex _iirNode (pyGHDL.dom.Expression.QualifiedExpression attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _iirNode}@anchor{604}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.QualifiedExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression Parent}@anchor{36b}
-@deffn {Method} property Parent
+@geindex SubtypeAllocation (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation}@anchor{446}
+@deffn {Class} pyGHDL.dom.Expression.SubtypeAllocation (node, subtype)
+
+@subsubheading Inheritance
+
+@image{inheritance-6e727058f00979d63f195a21dba6f42ca1b8ded1,,,[graphviz],png}
+
+@subsubheading Members
-Returns a reference to the parent entity.
+
+@geindex parse() (pyGHDL.dom.Expression.SubtypeAllocation class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation parse}@anchor{605}
+@deffn {Method} classmethod parse (node)
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{447,,QualifiedExpressionAllocation}
@end deffn
-@geindex SubTyped() (pyGHDL.dom.Expression.QualifiedExpression property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression SubTyped}@anchor{36c}
-@deffn {Method} property SubTyped
+@geindex Parent (pyGHDL.dom.Expression.SubtypeAllocation property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation Parent}@anchor{606}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
@end deffn
-@geindex _abc_impl (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _abc_impl}@anchor{36d}
-@deffn {Attribute} _abc_impl = <_abc_data object>
+@geindex Position (pyGHDL.dom.Expression.SubtypeAllocation property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation Position}@anchor{607}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex _is_protocol (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _is_protocol}@anchor{36e}
-@deffn {Attribute} _is_protocol = False
+@geindex Subtype (pyGHDL.dom.Expression.SubtypeAllocation property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation Subtype}@anchor{608}
+@deffn {Property} Subtype: pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
+
+@*Return type:
+Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
+
@end deffn
-@geindex _is_runtime_protocol (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _is_runtime_protocol}@anchor{36f}
-@deffn {Attribute} _is_runtime_protocol = False
+@geindex _position (pyGHDL.dom.Expression.SubtypeAllocation attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _position}@anchor{609}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
-@geindex _parent (pyGHDL.dom.Expression.QualifiedExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpression _parent}@anchor{370}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _subtype (pyGHDL.dom.Expression.SubtypeAllocation attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _subtype}@anchor{60a}
+@deffn {Attribute} _subtype: pyVHDLModel.SyntaxModel.Symbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Symbol}
@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.SubtypeAllocation attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _parent}@anchor{60b}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex Aggregate (class in pyGHDL.dom.Expression)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate}@anchor{26b}
-@deffn {Class} pyGHDL.dom.Expression.Aggregate (elements)
+@geindex _iirNode (pyGHDL.dom.Expression.SubtypeAllocation attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression SubtypeAllocation _iirNode}@anchor{60c}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+@geindex QualifiedExpressionAllocation (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation}@anchor{447}
+@deffn {Class} pyGHDL.dom.Expression.QualifiedExpressionAllocation (node, qualifiedExpression)
@subsubheading Inheritance
-@image{inheritance-62328b77062fa281b2f8155d70f579464fabdda6,,,[graphviz],png}
+@image{inheritance-29376e4e5770f95e674cc62f8e9431092fda2b60,,,[graphviz],png}
@subsubheading Members
-@geindex _elements (pyGHDL.dom.Expression.Aggregate attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _elements}@anchor{371}
-@deffn {Attribute} _elements: List[pyVHDLModel.VHDLModel.AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.AggregateElement}]
+@geindex parse() (pyGHDL.dom.Expression.QualifiedExpressionAllocation class method)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation parse}@anchor{60d}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+@ref{447,,QualifiedExpressionAllocation}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Expression.QualifiedExpressionAllocation property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation Parent}@anchor{60e}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.QualifiedExpressionAllocation property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation Position}@anchor{60f}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex QualifiedExpression (pyGHDL.dom.Expression.QualifiedExpressionAllocation property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation QualifiedExpression}@anchor{610}
+@deffn {Property} QualifiedExpression: pyVHDLModel.SyntaxModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}
+
+@*Return type:
+QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}
+
@end deffn
+@geindex _position (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _position}@anchor{611}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _qualifiedExpression (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _qualifiedExpression}@anchor{612}
+@deffn {Attribute} _qualifiedExpression: pyVHDLModel.SyntaxModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _parent}@anchor{613}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.QualifiedExpressionAllocation attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression QualifiedExpressionAllocation _iirNode}@anchor{614}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex Aggregate (class in pyGHDL.dom.Expression)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate}@anchor{448}
+@deffn {Class} pyGHDL.dom.Expression.Aggregate (node, elements)
+
+@subsubheading Inheritance
+
+@image{inheritance-0b43089389cce7434ff9c5bd3e28c2955f409ee3,,,[graphviz],png}
+
+@subsubheading Members
+
+
@geindex parse() (pyGHDL.dom.Expression.Aggregate class method)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate parse}@anchor{372}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate parse}@anchor{615}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+@ref{448,,Aggregate}
@end deffn
-@geindex Elements() (pyGHDL.dom.Expression.Aggregate property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Elements}@anchor{373}
-@deffn {Method} property Elements
+@geindex Elements (pyGHDL.dom.Expression.Aggregate property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Elements}@anchor{616}
+@deffn {Property} Elements: List[pyVHDLModel.SyntaxModel.AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AggregateElement}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.AggregateElement}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AggregateElement}]
@end deffn
-@geindex Parent() (pyGHDL.dom.Expression.Aggregate property)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Parent}@anchor{374}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Expression.Aggregate property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Parent}@anchor{617}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Expression.Aggregate property)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate Position}@anchor{618}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Expression.Aggregate attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _position}@anchor{619}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+@geindex _elements (pyGHDL.dom.Expression.Aggregate attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _elements}@anchor{61a}
+@deffn {Attribute} _elements: List[pyVHDLModel.SyntaxModel.AggregateElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.AggregateElement}]
@end deffn
@geindex _parent (pyGHDL.dom.Expression.Aggregate attribute)
-@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _parent}@anchor{375}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _parent}@anchor{61b}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Expression.Aggregate attribute)
+@anchor{pyGHDL/pyGHDL dom Expression pyGHDL dom Expression Aggregate _iirNode}@anchor{61c}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -10266,7 +15614,7 @@ ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.
@c # define a hard line break for HTML
@node pyGHDL dom InterfaceItem,pyGHDL dom Literal,pyGHDL dom Expression,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom InterfaceItem doc}@anchor{376}@anchor{pyGHDL/pyGHDL dom InterfaceItem module-pyGHDL dom InterfaceItem}@anchor{d}@anchor{pyGHDL/pyGHDL dom InterfaceItem pyghdl-dom-interfaceitem}@anchor{377}
+@anchor{pyGHDL/pyGHDL dom InterfaceItem doc}@anchor{61d}@anchor{pyGHDL/pyGHDL dom InterfaceItem module-pyGHDL dom InterfaceItem}@anchor{e}@anchor{pyGHDL/pyGHDL dom InterfaceItem pyghdl-dom-interfaceitem}@anchor{61e}
@subsection pyGHDL.dom.InterfaceItem
@@ -10280,494 +15628,1151 @@ ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.
@itemize -
@item
-@ref{378,,GenericConstantInterfaceItem}:
+@ref{61f,,GenericConstantInterfaceItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{620,,GenericTypeInterfaceItem}:
+@code{BaseType} is the base class of all type entities in this model.
+
+@item
+@ref{621,,GenericPackageInterfaceItem}:
A @code{GenericInterfaceItem} is a mixin class for all generic interface items.
@item
-@ref{379,,PortSignalInterfaceItem}:
-A @code{PortInterfaceItem} is a mixin class for all port interface items.
+@ref{622,,GenericProcedureInterfaceItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{623,,GenericFunctionInterfaceItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{624,,PortSignalInterfaceItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{625,,ParameterConstantInterfaceItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{37a,,ParameterConstantInterfaceItem}:
-A @code{ParameterInterfaceItem} is a mixin class for all parameter interface items.
+@ref{626,,ParameterVariableInterfaceItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{37b,,ParameterVariableInterfaceItem}:
-A @code{ParameterInterfaceItem} is a mixin class for all parameter interface items.
+@ref{627,,ParameterSignalInterfaceItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{37c,,ParameterSignalInterfaceItem}:
-A @code{ParameterInterfaceItem} is a mixin class for all parameter interface items.
+@ref{628,,ParameterFileInterfaceItem}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
@end itemize
@c #-----------------------------------
@geindex GenericConstantInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem}@anchor{378}
-@deffn {Class} pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem (name, mode, subType, defaultExpression)
-
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem}@anchor{61f}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem (node, identifiers, mode, subtype, defaultExpression)
@subsubheading Inheritance
-@image{inheritance-fc1611368958f6682a5c71e504db7709e042438d,,,[graphviz],png}
+@image{inheritance-5dee1b0b2a6eb8ffa88ae4869ebf18a2269feb27,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem parse}@anchor{37d}
-@deffn {Method} classmethod parse (generic)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem parse}@anchor{629}
+@deffn {Method} classmethod parse (genericNode)
+
+@*Return type:
+@ref{61f,,GenericConstantInterfaceItem}
@end deffn
-@geindex _subType (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _subType}@anchor{37e}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex DefaultExpression (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem DefaultExpression}@anchor{62a}
+@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _defaultExpression}@anchor{37f}
-@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Identifiers}@anchor{62b}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
@end deffn
-@geindex DefaultExpression() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem DefaultExpression}@anchor{380}
-@deffn {Method} property DefaultExpression
+@geindex Mode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Mode}@anchor{62c}
+@deffn {Property} Mode: pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
@end deffn
-@geindex Mode() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Mode}@anchor{381}
-@deffn {Method} property Mode
+@geindex Parent (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Parent}@anchor{62d}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Name() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Name}@anchor{382}
-@deffn {Method} property Name
+@geindex Position (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Position}@anchor{62e}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
-Returns a model entity’s name.
+@geindex Subtype (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Subtype}@anchor{62f}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _position}@anchor{630}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _subtype (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _subtype}@anchor{631}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _parent}@anchor{632}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifiers (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _identifiers}@anchor{633}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _defaultExpression}@anchor{634}
+@deffn {Attribute} _defaultExpression: ExpressionUnion
+@end deffn
+
+@geindex _mode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _mode}@anchor{635}
+@deffn {Attribute} _mode: Mode
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _iirNode}@anchor{636}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex GenericTypeInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem}@anchor{620}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem (node, identifier)
+
+@subsubheading Inheritance
+
+@image{inheritance-5ccb8f461b6d5e05d7cae1acf7bd95a349c7a646,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem parse}@anchor{637}
+@deffn {Method} classmethod parse (genericNode)
+
+@*Return type:
+@ref{620,,GenericTypeInterfaceItem}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Identifier}@anchor{638}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem Parent}@anchor{383}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Parent}@anchor{639}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SubType() (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem SubType}@anchor{384}
-@deffn {Method} property SubType
+@geindex Position (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem Position}@anchor{63a}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@ref{200,,Position}
@end deffn
-@geindex _parent (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _parent}@anchor{385}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _position (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _position}@anchor{63b}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _name (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _name}@anchor{386}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _parent (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _parent}@anchor{63c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _mode (pyGHDL.dom.InterfaceItem.GenericConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericConstantInterfaceItem _mode}@anchor{387}
-@deffn {Attribute} _mode: pyVHDLModel.VHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+@geindex _identifier (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _identifier}@anchor{63d}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericTypeInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericTypeInterfaceItem _iirNode}@anchor{63e}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
-@geindex PortSignalInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem}@anchor{379}
-@deffn {Class} pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem (name, mode, subType, defaultExpression=None)
+@geindex GenericPackageInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem}@anchor{621}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem (node, name)
+
+@subsubheading Inheritance
+
+@image{inheritance-7208798d6d7e5dc2bea2ca99c0cb6ed40430fd31,,,[graphviz],png}
+
+@subsubheading Members
+
+@geindex parse() (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem parse}@anchor{63f}
+@deffn {Method} classmethod parse (genericNode)
+
+@*Return type:
+@ref{621,,GenericPackageInterfaceItem}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem Position}@anchor{640}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem _position}@anchor{641}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericPackageInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericPackageInterfaceItem _iirNode}@anchor{642}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex GenericProcedureInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem}@anchor{622}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem (node, identifier)
@subsubheading Inheritance
-@image{inheritance-005c142b3bb5552396316b8fb24fece5339a3b7e,,,[graphviz],png}
+@image{inheritance-02a0fa8df4315ac9c082731c72668ee84e1a7367,,,[graphviz],png}
@subsubheading Members
-@geindex parse() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem parse}@anchor{388}
-@deffn {Method} classmethod parse (port)
+@geindex parse() (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem parse}@anchor{643}
+@deffn {Method} classmethod parse (genericNode)
+
+@*Return type:
+@ref{622,,GenericProcedureInterfaceItem}
@end deffn
-@geindex _subType (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _subType}@anchor{389}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex DeclaredItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem DeclaredItems}@anchor{644}
+@deffn {Property} DeclaredItems: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _defaultExpression}@anchor{38a}
-@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex GenericItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem GenericItems}@anchor{645}
+@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Identifier}@anchor{646}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
@end deffn
-@geindex DefaultExpression() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem DefaultExpression}@anchor{38b}
-@deffn {Method} property DefaultExpression
+@geindex IsPure (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem IsPure}@anchor{647}
+@deffn {Property} IsPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
-@geindex Mode() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Mode}@anchor{38c}
-@deffn {Method} property Mode
+@geindex ParameterItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem ParameterItems}@anchor{648}
+@deffn {Property} ParameterItems: List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
@end deffn
-@geindex Name() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Name}@anchor{38d}
-@deffn {Method} property Name
+@geindex Parent (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Parent}@anchor{649}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
-Returns a model entity’s name.
+@geindex Position (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Position}@anchor{64a}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem Statements}@anchor{64b}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@end deffn
+
+@geindex _isPure (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _isPure}@anchor{64c}
+@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool} = False
+@end deffn
+
+@geindex _position (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _position}@anchor{64d}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _genericItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _genericItems}@anchor{64e}
+@deffn {Attribute} _genericItems: List['GenericInterfaceItem']
+@end deffn
+
+@geindex _parameterItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _parameterItems}@anchor{64f}
+@deffn {Attribute} _parameterItems: List['ParameterInterfaceItem']
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _declaredItems}@anchor{650}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _statements}@anchor{651}
+@deffn {Attribute} _statements: List['SequentialStatement']
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _parent}@anchor{652}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _identifier}@anchor{653}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericProcedureInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericProcedureInterfaceItem _iirNode}@anchor{654}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex GenericFunctionInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem}@anchor{623}
+@deffn {Class} pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem (node, identifier)
+
+@subsubheading Inheritance
+
+@image{inheritance-8042610b7670d437c7a90a30d7ddead9784ed8a8,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem parse}@anchor{655}
+@deffn {Method} classmethod parse (genericNode)
+
+@*Return type:
+@ref{623,,GenericFunctionInterfaceItem}
+
+@end deffn
+
+@geindex DeclaredItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem DeclaredItems}@anchor{656}
+@deffn {Property} DeclaredItems: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex GenericItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem GenericItems}@anchor{657}
+@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Identifier}@anchor{658}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Parent}@anchor{38e}
-@deffn {Method} property Parent
+@geindex IsPure (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem IsPure}@anchor{659}
+@deffn {Property} IsPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex ParameterItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem ParameterItems}@anchor{65a}
+@deffn {Property} ParameterItems: List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Parent}@anchor{65b}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SubType() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem SubType}@anchor{38f}
-@deffn {Method} property SubType
+@geindex Position (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Position}@anchor{65c}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@ref{200,,Position}
@end deffn
-@geindex _parent (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _parent}@anchor{390}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex ReturnType (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem ReturnType}@anchor{65d}
+@deffn {Property} ReturnType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+
+@*Return type:
+Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem Statements}@anchor{65e}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
@end deffn
-@geindex _name (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _name}@anchor{391}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _position (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _position}@anchor{65f}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _mode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _mode}@anchor{392}
-@deffn {Attribute} _mode: pyVHDLModel.VHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+@geindex _returnType (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _returnType}@anchor{660}
+@deffn {Attribute} _returnType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@end deffn
+
+@geindex _genericItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _genericItems}@anchor{661}
+@deffn {Attribute} _genericItems: List['GenericInterfaceItem']
@end deffn
-@geindex ParameterConstantInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem}@anchor{37a}
-@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem (name, mode, subType, defaultExpression=None)
+@geindex _parameterItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _parameterItems}@anchor{662}
+@deffn {Attribute} _parameterItems: List['ParameterInterfaceItem']
+@end deffn
+
+@geindex _declaredItems (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _declaredItems}@anchor{663}
+@deffn {Attribute} _declaredItems: List
+@end deffn
+
+@geindex _statements (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _statements}@anchor{664}
+@deffn {Attribute} _statements: List['SequentialStatement']
+@end deffn
+
+@geindex _isPure (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _isPure}@anchor{665}
+@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _parent}@anchor{666}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+@geindex _identifier (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _identifier}@anchor{667}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.InterfaceItem.GenericFunctionInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem GenericFunctionInterfaceItem _iirNode}@anchor{668}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex PortSignalInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem}@anchor{624}
+@deffn {Class} pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
@subsubheading Inheritance
-@image{inheritance-2c84fb1ddace91065c3bf26bef17cfdc776fd041,,,[graphviz],png}
+@image{inheritance-7d49346699ae6b1550042a1fbacf8cc7963e4aae,,,[graphviz],png}
@subsubheading Members
-@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem parse}@anchor{393}
-@deffn {Method} classmethod parse (parameter)
+@geindex parse() (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem parse}@anchor{669}
+@deffn {Method} classmethod parse (portNode)
+
+@*Return type:
+@ref{624,,PortSignalInterfaceItem}
@end deffn
-@geindex _subType (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _subType}@anchor{394}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex DefaultExpression (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem DefaultExpression}@anchor{66a}
+@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _defaultExpression}@anchor{395}
-@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Identifiers}@anchor{66b}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
@end deffn
-@geindex DefaultExpression() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem DefaultExpression}@anchor{396}
-@deffn {Method} property DefaultExpression
+@geindex Mode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Mode}@anchor{66c}
+@deffn {Property} Mode: pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
@end deffn
-@geindex Mode() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Mode}@anchor{397}
-@deffn {Method} property Mode
+@geindex Parent (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Parent}@anchor{66d}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Name() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Name}@anchor{398}
-@deffn {Method} property Name
+@geindex Position (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Position}@anchor{66e}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
-Returns a model entity’s name.
+@geindex Subtype (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem Subtype}@anchor{66f}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _position}@anchor{670}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _subtype (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _subtype}@anchor{671}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _parent}@anchor{672}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifiers (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _identifiers}@anchor{673}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _defaultExpression}@anchor{674}
+@deffn {Attribute} _defaultExpression: ExpressionUnion
+@end deffn
+
+@geindex _mode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _mode}@anchor{675}
+@deffn {Attribute} _mode: Mode
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.InterfaceItem.PortSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem PortSignalInterfaceItem _iirNode}@anchor{676}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ParameterConstantInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem}@anchor{625}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-5b028f618a6ac5085f013295bd27b02f1fa3fdd6,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem parse}@anchor{677}
+@deffn {Method} classmethod parse (parameterNode)
+
+@*Return type:
+@ref{625,,ParameterConstantInterfaceItem}
+
+@end deffn
+
+@geindex DefaultExpression (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem DefaultExpression}@anchor{678}
+@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Identifiers}@anchor{679}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex Mode (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Mode}@anchor{67a}
+@deffn {Property} Mode: pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
+
+@*Return type:
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
@end deffn
-@geindex Parent() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Parent}@anchor{399}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Parent}@anchor{67b}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Position}@anchor{67c}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex SubType() (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem SubType}@anchor{39a}
-@deffn {Method} property SubType
+@geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem Subtype}@anchor{67d}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _position}@anchor{67e}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+@geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _subtype}@anchor{67f}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
@end deffn
@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _parent}@anchor{39b}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _parent}@anchor{680}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _name (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _name}@anchor{39c}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _identifiers}@anchor{681}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _defaultExpression}@anchor{682}
+@deffn {Attribute} _defaultExpression: ExpressionUnion
@end deffn
@geindex _mode (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _mode}@anchor{39d}
-@deffn {Attribute} _mode: pyVHDLModel.VHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _mode}@anchor{683}
+@deffn {Attribute} _mode: Mode
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterConstantInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterConstantInterfaceItem _iirNode}@anchor{684}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ParameterVariableInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem}@anchor{37b}
-@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem (name, mode, subType, defaultExpression=None)
-
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem}@anchor{626}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
@subsubheading Inheritance
-@image{inheritance-a59f7337edf06776254464e9bf9e302a4e1ff9a6,,,[graphviz],png}
+@image{inheritance-f59831f1ebf4f2a5ff6d66b0f877bb764c860934,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem parse}@anchor{39e}
-@deffn {Method} classmethod parse (parameter)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem parse}@anchor{685}
+@deffn {Method} classmethod parse (parameterNode)
-@end deffn
+@*Return type:
+@ref{626,,ParameterVariableInterfaceItem}
-@geindex _subType (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _subType}@anchor{39f}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _defaultExpression}@anchor{3a0}
-@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex DefaultExpression (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem DefaultExpression}@anchor{686}
+@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
@end deffn
-@geindex DefaultExpression() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem DefaultExpression}@anchor{3a1}
-@deffn {Method} property DefaultExpression
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Identifiers}@anchor{687}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
-@geindex Mode() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Mode}@anchor{3a2}
-@deffn {Method} property Mode
+@geindex Mode (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Mode}@anchor{688}
+@deffn {Property} Mode: pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
@end deffn
-@geindex Name() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Name}@anchor{3a3}
-@deffn {Method} property Name
+@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Parent}@anchor{689}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
-Returns a model entity’s name.
+Returns a reference to the parent entity.
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Parent() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Parent}@anchor{3a4}
-@deffn {Method} property Parent
-
-Returns a reference to the parent entity.
+@geindex Position (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Position}@anchor{68a}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex SubType() (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem SubType}@anchor{3a5}
-@deffn {Method} property SubType
+@geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem Subtype}@anchor{68b}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@end deffn
+@geindex _position (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _position}@anchor{68c}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _subtype}@anchor{68d}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
@end deffn
@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _parent}@anchor{3a6}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _parent}@anchor{68e}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _name (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _name}@anchor{3a7}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _identifiers}@anchor{68f}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _defaultExpression}@anchor{690}
+@deffn {Attribute} _defaultExpression: ExpressionUnion
@end deffn
@geindex _mode (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _mode}@anchor{3a8}
-@deffn {Attribute} _mode: pyVHDLModel.VHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _mode}@anchor{691}
+@deffn {Attribute} _mode: Mode
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterVariableInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterVariableInterfaceItem _iirNode}@anchor{692}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex ParameterSignalInterfaceItem (class in pyGHDL.dom.InterfaceItem)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem}@anchor{37c}
-@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem (name, mode, subType, defaultExpression=None)
-
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem}@anchor{627}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem (node, identifiers, mode, subtype, defaultExpression=None)
@subsubheading Inheritance
-@image{inheritance-27eca86c80d6024f1c0764191387c256825592da,,,[graphviz],png}
+@image{inheritance-64964d100b852b59e10ffd994c63b96fc5ce82c4,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem class method)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem parse}@anchor{3a9}
-@deffn {Method} classmethod parse (parameter)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem parse}@anchor{693}
+@deffn {Method} classmethod parse (parameterNode)
+
+@*Return type:
+@ref{627,,ParameterSignalInterfaceItem}
@end deffn
-@geindex _subType (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _subType}@anchor{3aa}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex DefaultExpression (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem DefaultExpression}@anchor{694}
+@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _defaultExpression}@anchor{3ab}
-@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Identifiers}@anchor{695}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
@end deffn
-@geindex DefaultExpression() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem DefaultExpression}@anchor{3ac}
-@deffn {Method} property DefaultExpression
+@geindex Mode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Mode}@anchor{696}
+@deffn {Property} Mode: pyVHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
@end deffn
-@geindex Mode() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Mode}@anchor{3ad}
-@deffn {Method} property Mode
+@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Parent}@anchor{697}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Name() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Name}@anchor{3ae}
-@deffn {Method} property Name
+@geindex Position (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Position}@anchor{698}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
-Returns a model entity’s name.
+@geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Subtype}@anchor{699}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _position}@anchor{69a}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _subtype}@anchor{69b}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _parent}@anchor{69c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _identifiers}@anchor{69d}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+
+@geindex _defaultExpression (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _defaultExpression}@anchor{69e}
+@deffn {Attribute} _defaultExpression: ExpressionUnion
+@end deffn
+
+@geindex _mode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _mode}@anchor{69f}
+@deffn {Attribute} _mode: Mode
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _iirNode}@anchor{6a0}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ParameterFileInterfaceItem (class in pyGHDL.dom.InterfaceItem)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem}@anchor{628}
+@deffn {Class} pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem (node, identifiers, subtype)
+
+@subsubheading Inheritance
+
+@image{inheritance-1277ce12fc9529c4ee666a504bec430cb8aef1c8,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem class method)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem parse}@anchor{6a1}
+@deffn {Method} classmethod parse (parameterNode)
+
+@*Return type:
+@ref{628,,ParameterFileInterfaceItem}
@end deffn
-@geindex Parent() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem Parent}@anchor{3af}
-@deffn {Method} property Parent
+@geindex Identifiers (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Identifiers}@anchor{6a2}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Parent}@anchor{6a3}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SubType() (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem property)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem SubType}@anchor{3b0}
-@deffn {Method} property SubType
+@geindex Position (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Position}@anchor{6a4}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@ref{200,,Position}
@end deffn
-@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _parent}@anchor{3b1}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex Subtype (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem property)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem Subtype}@anchor{6a5}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
@end deffn
-@geindex _name (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _name}@anchor{3b2}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _position (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _position}@anchor{6a6}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _mode (pyGHDL.dom.InterfaceItem.ParameterSignalInterfaceItem attribute)
-@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterSignalInterfaceItem _mode}@anchor{3b3}
-@deffn {Attribute} _mode: pyVHDLModel.VHDLModel.Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
+@geindex _subtype (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _subtype}@anchor{6a7}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _parent}@anchor{6a8}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifiers (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _identifiers}@anchor{6a9}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.InterfaceItem.ParameterFileInterfaceItem attribute)
+@anchor{pyGHDL/pyGHDL dom InterfaceItem pyGHDL dom InterfaceItem ParameterFileInterfaceItem _iirNode}@anchor{6aa}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -10788,7 +16793,7 @@ Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Sub
@c # define a hard line break for HTML
@node pyGHDL dom Literal,pyGHDL dom Misc,pyGHDL dom InterfaceItem,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Literal doc}@anchor{3b4}@anchor{pyGHDL/pyGHDL dom Literal module-pyGHDL dom Literal}@anchor{e}@anchor{pyGHDL/pyGHDL dom Literal pyghdl-dom-literal}@anchor{3b5}
+@anchor{pyGHDL/pyGHDL dom Literal doc}@anchor{6ab}@anchor{pyGHDL/pyGHDL dom Literal module-pyGHDL dom Literal}@anchor{f}@anchor{pyGHDL/pyGHDL dom Literal pyghdl-dom-literal}@anchor{6ac}
@subsection pyGHDL.dom.Literal
@@ -10802,436 +16807,631 @@ Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Sub
@itemize -
@item
-@ref{3b6,,EnumerationLiteral}:
+@ref{6ad,,NullLiteral}:
A @code{Literal} is a base-class for all literals.
@item
-@ref{3b7,,IntegerLiteral}:
+@ref{6ae,,EnumerationLiteral}:
+A @code{Literal} is a base-class for all literals.
+
+@item
+@ref{6af,,IntegerLiteral}:
A @code{NumericLiteral} is a base-class for all numeric literals.
@item
-@ref{3b8,,FloatingPointLiteral}:
+@ref{6b0,,FloatingPointLiteral}:
A @code{NumericLiteral} is a base-class for all numeric literals.
@item
-@ref{3b9,,PhysicalIntegerLiteral}:
+@ref{6b1,,PhysicalIntegerLiteral}:
A @code{NumericLiteral} is a base-class for all numeric literals.
@item
-@ref{3ba,,PhysicalFloatingLiteral}:
+@ref{6b2,,PhysicalFloatingLiteral}:
A @code{NumericLiteral} is a base-class for all numeric literals.
@item
-@ref{3bb,,CharacterLiteral}:
+@ref{6b3,,CharacterLiteral}:
A @code{Literal} is a base-class for all literals.
@item
-@ref{3bc,,StringLiteral}:
+@ref{6b4,,StringLiteral}:
A @code{Literal} is a base-class for all literals.
@end itemize
@c #-----------------------------------
-@geindex EnumerationLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral}@anchor{3b6}
-@deffn {Class} pyGHDL.dom.Literal.EnumerationLiteral (value)
+@geindex NullLiteral (class in pyGHDL.dom.Literal)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral}@anchor{6ad}
+@deffn {Class} pyGHDL.dom.Literal.NullLiteral (node)
+
+@subsubheading Inheritance
+
+@image{inheritance-1aeb551b9b134f7763952e36404e30b0f3b47858,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Literal.NullLiteral class method)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral parse}@anchor{6b5}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+@ref{6ad,,NullLiteral}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Literal.NullLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral Parent}@anchor{6b6}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Literal.NullLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral Position}@anchor{6b7}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Literal.NullLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral _position}@anchor{6b8}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Literal.NullLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral _parent}@anchor{6b9}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+@geindex _iirNode (pyGHDL.dom.Literal.NullLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal NullLiteral _iirNode}@anchor{6ba}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex EnumerationLiteral (class in pyGHDL.dom.Literal)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral}@anchor{6ae}
+@deffn {Class} pyGHDL.dom.Literal.EnumerationLiteral (node, value)
@subsubheading Inheritance
-@image{inheritance-62aad6ae8d30ab9c071f16ec7473e252b411207c,,,[graphviz],png}
+@image{inheritance-ab459f7fccf760ea43f289cb4fa34acf424436de,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Literal.EnumerationLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral parse}@anchor{3bd}
-@deffn {Method} classmethod parse (literalNode)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral parse}@anchor{6bb}
+@deffn {Method} classmethod parse (literalNode)
@*Return type:
-@ref{3b6,,EnumerationLiteral}
+@ref{6ae,,EnumerationLiteral}
@end deffn
-@geindex Parent() (pyGHDL.dom.Literal.EnumerationLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Parent}@anchor{3be}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Literal.EnumerationLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Parent}@anchor{6bc}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Literal.EnumerationLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Position}@anchor{6bd}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex Value() (pyGHDL.dom.Literal.EnumerationLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Value}@anchor{3bf}
-@deffn {Method} property Value
+@geindex Value (pyGHDL.dom.Literal.EnumerationLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral Value}@anchor{6be}
+@deffn {Property} Value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
+@geindex _position (pyGHDL.dom.Literal.EnumerationLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _position}@anchor{6bf}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _value (pyGHDL.dom.Literal.EnumerationLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _value}@anchor{3c0}
-@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _value}@anchor{6c0}
+@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex _parent (pyGHDL.dom.Literal.EnumerationLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _parent}@anchor{3c1}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _parent}@anchor{6c1}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Literal.EnumerationLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal EnumerationLiteral _iirNode}@anchor{6c2}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex IntegerLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral}@anchor{3b7}
-@deffn {Class} pyGHDL.dom.Literal.IntegerLiteral (value)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral}@anchor{6af}
+@deffn {Class} pyGHDL.dom.Literal.IntegerLiteral (node, value)
@subsubheading Inheritance
-@image{inheritance-0455a3e63024b6f0f47e10370e4baeec1137e67e,,,[graphviz],png}
+@image{inheritance-ed879665ec0ffa6b227fcb2fcf534ad8f90ab754,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Literal.IntegerLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral parse}@anchor{3c2}
-@deffn {Method} classmethod parse (node)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral parse}@anchor{6c3}
+@deffn {Method} classmethod parse (literalNode)
@*Return type:
-@ref{3b7,,IntegerLiteral}
+@ref{6af,,IntegerLiteral}
@end deffn
-@geindex Parent() (pyGHDL.dom.Literal.IntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Parent}@anchor{3c3}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Literal.IntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Parent}@anchor{6c4}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Literal.IntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Position}@anchor{6c5}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex Value() (pyGHDL.dom.Literal.IntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Value}@anchor{3c4}
-@deffn {Method} property Value
+@geindex Value (pyGHDL.dom.Literal.IntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral Value}@anchor{6c6}
+@deffn {Property} Value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@*Return type:
int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@end deffn
+@geindex _position (pyGHDL.dom.Literal.IntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _position}@anchor{6c7}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _value (pyGHDL.dom.Literal.IntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _value}@anchor{3c5}
-@deffn {Attribute} _value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _value}@anchor{6c8}
+@deffn {Attribute} _value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@end deffn
@geindex _parent (pyGHDL.dom.Literal.IntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _parent}@anchor{3c6}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _parent}@anchor{6c9}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Literal.IntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal IntegerLiteral _iirNode}@anchor{6ca}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex FloatingPointLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral}@anchor{3b8}
-@deffn {Class} pyGHDL.dom.Literal.FloatingPointLiteral (value)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral}@anchor{6b0}
+@deffn {Class} pyGHDL.dom.Literal.FloatingPointLiteral (node, value)
@subsubheading Inheritance
-@image{inheritance-98c71f0493992e9b165f01782f85753f80c81aae,,,[graphviz],png}
+@image{inheritance-12c12c89c45361cd2d7285819fb9414bc1d90af9,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Literal.FloatingPointLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral parse}@anchor{3c7}
-@deffn {Method} classmethod parse (node)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral parse}@anchor{6cb}
+@deffn {Method} classmethod parse (literalNode)
@*Return type:
-@ref{3b8,,FloatingPointLiteral}
+@ref{6b0,,FloatingPointLiteral}
@end deffn
-@geindex Parent() (pyGHDL.dom.Literal.FloatingPointLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Parent}@anchor{3c8}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Literal.FloatingPointLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Parent}@anchor{6cc}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Literal.FloatingPointLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Position}@anchor{6cd}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex Value() (pyGHDL.dom.Literal.FloatingPointLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Value}@anchor{3c9}
-@deffn {Method} property Value
+@geindex Value (pyGHDL.dom.Literal.FloatingPointLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral Value}@anchor{6ce}
+@deffn {Property} Value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
@*Return type:
float@footnote{https://docs.python.org/3.6/library/functions.html#float}
@end deffn
+@geindex _position (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _position}@anchor{6cf}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _value (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _value}@anchor{3ca}
-@deffn {Attribute} _value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _value}@anchor{6d0}
+@deffn {Attribute} _value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
@end deffn
@geindex _parent (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _parent}@anchor{3cb}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _parent}@anchor{6d1}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Literal.FloatingPointLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal FloatingPointLiteral _iirNode}@anchor{6d2}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex PhysicalIntegerLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral}@anchor{3b9}
-@deffn {Class} pyGHDL.dom.Literal.PhysicalIntegerLiteral (value, unitName)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral}@anchor{6b1}
+@deffn {Class} pyGHDL.dom.Literal.PhysicalIntegerLiteral (node, value, unitName)
@subsubheading Inheritance
-@image{inheritance-10332ca56fee399c5e14eb681bc6094a1def2902,,,[graphviz],png}
+@image{inheritance-dc56c8891aeb738d395f038c340a3a37ae2aa7e2,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Literal.PhysicalIntegerLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral parse}@anchor{3cc}
-@deffn {Method} classmethod parse (node)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral parse}@anchor{6d3}
+@deffn {Method} classmethod parse (literalNode)
@*Return type:
-@ref{3b9,,PhysicalIntegerLiteral}
+@ref{6b1,,PhysicalIntegerLiteral}
@end deffn
-@geindex Parent() (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Parent}@anchor{3cd}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Parent}@anchor{6d4}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Position}@anchor{6d5}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex UnitName() (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral UnitName}@anchor{3ce}
-@deffn {Method} property UnitName
+@geindex UnitName (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral UnitName}@anchor{6d6}
+@deffn {Property} UnitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Value() (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Value}@anchor{3cf}
-@deffn {Method} property Value
+@geindex Value (pyGHDL.dom.Literal.PhysicalIntegerLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral Value}@anchor{6d7}
+@deffn {Property} Value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@*Return type:
int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@end deffn
+@geindex _position (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _position}@anchor{6d8}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _value (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _value}@anchor{3d0}
-@deffn {Attribute} _value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _value}@anchor{6d9}
+@deffn {Attribute} _value: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@end deffn
@geindex _unitName (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _unitName}@anchor{3d1}
-@deffn {Attribute} _unitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _unitName}@anchor{6da}
+@deffn {Attribute} _unitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex _parent (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _parent}@anchor{3d2}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _parent}@anchor{6db}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Literal.PhysicalIntegerLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalIntegerLiteral _iirNode}@anchor{6dc}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex PhysicalFloatingLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral}@anchor{3ba}
-@deffn {Class} pyGHDL.dom.Literal.PhysicalFloatingLiteral (value, unitName)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral}@anchor{6b2}
+@deffn {Class} pyGHDL.dom.Literal.PhysicalFloatingLiteral (node, value, unitName)
@subsubheading Inheritance
-@image{inheritance-08892a5be41a4a728cfd1e3ee39cbef7781883bd,,,[graphviz],png}
+@image{inheritance-420e600999a1358a8c69016acbeec929b664b277,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Literal.PhysicalFloatingLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral parse}@anchor{3d3}
-@deffn {Method} classmethod parse (node)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral parse}@anchor{6dd}
+@deffn {Method} classmethod parse (literalNode)
@*Return type:
-@ref{3ba,,PhysicalFloatingLiteral}
+@ref{6b2,,PhysicalFloatingLiteral}
@end deffn
-@geindex Parent() (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Parent}@anchor{3d4}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Parent}@anchor{6de}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Position}@anchor{6df}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex UnitName() (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral UnitName}@anchor{3d5}
-@deffn {Method} property UnitName
+@geindex UnitName (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral UnitName}@anchor{6e0}
+@deffn {Property} UnitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Value() (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Value}@anchor{3d6}
-@deffn {Method} property Value
+@geindex Value (pyGHDL.dom.Literal.PhysicalFloatingLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral Value}@anchor{6e1}
+@deffn {Property} Value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
@*Return type:
float@footnote{https://docs.python.org/3.6/library/functions.html#float}
@end deffn
+@geindex _position (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _position}@anchor{6e2}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _value (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _value}@anchor{3d7}
-@deffn {Attribute} _value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _value}@anchor{6e3}
+@deffn {Attribute} _value: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
@end deffn
@geindex _unitName (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _unitName}@anchor{3d8}
-@deffn {Attribute} _unitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _unitName}@anchor{6e4}
+@deffn {Attribute} _unitName: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex _parent (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _parent}@anchor{3d9}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _parent}@anchor{6e5}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Literal.PhysicalFloatingLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal PhysicalFloatingLiteral _iirNode}@anchor{6e6}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex CharacterLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral}@anchor{3bb}
-@deffn {Class} pyGHDL.dom.Literal.CharacterLiteral (value)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral}@anchor{6b3}
+@deffn {Class} pyGHDL.dom.Literal.CharacterLiteral (node, value)
@subsubheading Inheritance
-@image{inheritance-7bbdfbfa295ba78f4e8065098b280fd6aa04d195,,,[graphviz],png}
+@image{inheritance-a926f295ee205e773b684240816df526e7b1ddbe,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Literal.CharacterLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral parse}@anchor{3da}
-@deffn {Method} classmethod parse (node)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral parse}@anchor{6e7}
+@deffn {Method} classmethod parse (literalNode)
@*Return type:
-@ref{3bb,,CharacterLiteral}
+@ref{6b3,,CharacterLiteral}
@end deffn
-@geindex Parent() (pyGHDL.dom.Literal.CharacterLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Parent}@anchor{3db}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Literal.CharacterLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Parent}@anchor{6e8}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Literal.CharacterLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Position}@anchor{6e9}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex Value() (pyGHDL.dom.Literal.CharacterLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Value}@anchor{3dc}
-@deffn {Method} property Value
+@geindex Value (pyGHDL.dom.Literal.CharacterLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral Value}@anchor{6ea}
+@deffn {Property} Value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
+@geindex _position (pyGHDL.dom.Literal.CharacterLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _position}@anchor{6eb}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _value (pyGHDL.dom.Literal.CharacterLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _value}@anchor{3dd}
-@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _value}@anchor{6ec}
+@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex _parent (pyGHDL.dom.Literal.CharacterLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _parent}@anchor{3de}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _parent}@anchor{6ed}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Literal.CharacterLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal CharacterLiteral _iirNode}@anchor{6ee}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex StringLiteral (class in pyGHDL.dom.Literal)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral}@anchor{3bc}
-@deffn {Class} pyGHDL.dom.Literal.StringLiteral (value)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral}@anchor{6b4}
+@deffn {Class} pyGHDL.dom.Literal.StringLiteral (node, value)
@subsubheading Inheritance
-@image{inheritance-725aa062bc778d942923f0ffc2db22c8f0b21a95,,,[graphviz],png}
+@image{inheritance-fa4181014785a4dff6de518bc9e7b80a76ad21db,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Literal.StringLiteral class method)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral parse}@anchor{3df}
-@deffn {Method} classmethod parse (node)
-
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral parse}@anchor{6ef}
+@deffn {Method} classmethod parse (literalNode)
@*Return type:
-@ref{3bc,,StringLiteral}
+@ref{6b4,,StringLiteral}
@end deffn
-@geindex Parent() (pyGHDL.dom.Literal.StringLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Parent}@anchor{3e0}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Literal.StringLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Parent}@anchor{6f0}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Literal.StringLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Position}@anchor{6f1}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex Value() (pyGHDL.dom.Literal.StringLiteral property)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Value}@anchor{3e1}
-@deffn {Method} property Value
+@geindex Value (pyGHDL.dom.Literal.StringLiteral property)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral Value}@anchor{6f2}
+@deffn {Property} Value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
+@geindex _position (pyGHDL.dom.Literal.StringLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _position}@anchor{6f3}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _value (pyGHDL.dom.Literal.StringLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _value}@anchor{3e2}
-@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _value}@anchor{6f4}
+@deffn {Attribute} _value: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex _parent (pyGHDL.dom.Literal.StringLiteral attribute)
-@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _parent}@anchor{3e3}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _parent}@anchor{6f5}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Literal.StringLiteral attribute)
+@anchor{pyGHDL/pyGHDL dom Literal pyGHDL dom Literal StringLiteral _iirNode}@anchor{6f6}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -11251,8 +17451,8 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@c # define a hard line break for HTML
-@node pyGHDL dom Misc,pyGHDL dom NonStandard,pyGHDL dom Literal,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Misc doc}@anchor{3e4}@anchor{pyGHDL/pyGHDL dom Misc module-pyGHDL dom Misc}@anchor{f}@anchor{pyGHDL/pyGHDL dom Misc pyghdl-dom-misc}@anchor{3e5}
+@node pyGHDL dom Misc,pyGHDL dom Names,pyGHDL dom Literal,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Misc doc}@anchor{6f7}@anchor{pyGHDL/pyGHDL dom Misc module-pyGHDL dom Misc}@anchor{10}@anchor{pyGHDL/pyGHDL dom Misc pyghdl-dom-misc}@anchor{6f8}
@subsection pyGHDL.dom.Misc
@@ -11272,105 +17472,838 @@ Add a module documentation.
@itemize -
@item
-@ref{3e6,,Position}:
-Undocumented.
+@ref{6f9,,Alias}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@end itemize
+
+@c #-----------------------------------
+
+@geindex Alias (class in pyGHDL.dom.Misc)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias}@anchor{6f9}
+@deffn {Class} pyGHDL.dom.Misc.Alias (node, aliasName)
+
+@subsubheading Inheritance
+
+@image{inheritance-9ab260821b2de3ddfc57d303e43efd20451c31c7,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Misc.Alias class method)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias parse}@anchor{6fa}
+@deffn {Method} classmethod parse (node)
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Misc.Alias property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Identifier}@anchor{6fb}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Misc.Alias property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Parent}@anchor{6fc}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Misc.Alias property)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Position}@anchor{6fd}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Misc.Alias attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _position}@anchor{6fe}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Misc.Alias attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _parent}@anchor{6ff}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Misc.Alias attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _identifier}@anchor{700}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Misc.Alias attribute)
+@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _iirNode}@anchor{701}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Names,pyGHDL dom NonStandard,pyGHDL dom Misc,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Names doc}@anchor{702}@anchor{pyGHDL/pyGHDL dom Names module-pyGHDL dom Names}@anchor{11}@anchor{pyGHDL/pyGHDL dom Names pyghdl-dom-names}@anchor{703}
+@subsection pyGHDL.dom.Names
+
+
+@geindex module; pyGHDL.dom.Names
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
@item
-@ref{3e7,,Alias}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@ref{704,,SimpleName}:
+@code{Name} is the base class for all @emph{names} in the VHDL language model.
+
+@item
+@ref{705,,ParenthesisName}:
+@code{Name} is the base class for all @emph{names} in the VHDL language model.
+
+@item
+@ref{706,,IndexedName}:
+@code{Name} is the base class for all @emph{names} in the VHDL language model.
+
+@item
+@ref{707,,SlicedName}:
+@code{Name} is the base class for all @emph{names} in the VHDL language model.
+
+@item
+@ref{708,,SelectedName}:
+@code{Name} is the base class for all @emph{names} in the VHDL language model.
+
+@item
+@ref{709,,AttributeName}:
+@code{Name} is the base class for all @emph{names} in the VHDL language model.
+
+@item
+@ref{70a,,AllName}:
+@code{Name} is the base class for all @emph{names} in the VHDL language model.
+
+@item
+@ref{70b,,OpenName}:
+@code{Name} is the base class for all @emph{names} in the VHDL language model.
@end itemize
@c #-----------------------------------
-@geindex Position (class in pyGHDL.dom.Misc)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position}@anchor{3e6}
-@deffn {Class} pyGHDL.dom.Misc.Position (filename, line, column)
+@geindex SimpleName (class in pyGHDL.dom.Names)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName}@anchor{704}
+@deffn {Class} pyGHDL.dom.Names.SimpleName (node, identifier)
+
+@subsubheading Inheritance
+
+@image{inheritance-e9350059b242d6f8bc030b54deb2e60adecfee02,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Has_Prefix (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Has_Prefix}@anchor{70c}
+@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Identifier}@anchor{70d}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Position}@anchor{70e}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Prefix (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Prefix}@anchor{70f}
+@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+@geindex Root (pyGHDL.dom.Names.SimpleName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName Root}@anchor{710}
+@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Names.SimpleName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _position}@anchor{711}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Names.SimpleName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _identifier}@anchor{712}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _root (pyGHDL.dom.Names.SimpleName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _root}@anchor{713}
+@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _prefix (pyGHDL.dom.Names.SimpleName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _prefix}@anchor{714}
+@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Names.SimpleName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SimpleName _iirNode}@anchor{715}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ParenthesisName (class in pyGHDL.dom.Names)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName}@anchor{705}
+@deffn {Class} pyGHDL.dom.Names.ParenthesisName (node, prefix, associations)
@subsubheading Inheritance
-@image{inheritance-c6599de83442b74dc671078a314316847fae1fe3,,,[graphviz],png}
+@image{inheritance-ac178bf9a0a824b0eca8b88e0fe71d78174c137e,,,[graphviz],png}
@subsubheading Members
-@geindex _filename (pyGHDL.dom.Misc.Position attribute)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position _filename}@anchor{3e8}
-@deffn {Attribute} _filename: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Associations (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Associations}@anchor{716}
+@deffn {Property} Associations: List
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+
+@end deffn
+
+@geindex Has_Prefix (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Has_Prefix}@anchor{717}
+@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Identifier}@anchor{718}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Position}@anchor{719}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Prefix (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Prefix}@anchor{71a}
+@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
@end deffn
-@geindex _line (pyGHDL.dom.Misc.Position attribute)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position _line}@anchor{3e9}
-@deffn {Attribute} _line: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@geindex Root (pyGHDL.dom.Names.ParenthesisName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName Root}@anchor{71b}
+@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
@end deffn
-@geindex _column (pyGHDL.dom.Misc.Position attribute)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position _column}@anchor{3ea}
-@deffn {Attribute} _column: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@geindex _position (pyGHDL.dom.Names.ParenthesisName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _position}@anchor{71c}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex Filename() (pyGHDL.dom.Misc.Position property)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position Filename}@anchor{3eb}
-@deffn {Method} property Filename
+@geindex _associations (pyGHDL.dom.Names.ParenthesisName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _associations}@anchor{71d}
+@deffn {Attribute} _associations: List
@end deffn
-@geindex Line() (pyGHDL.dom.Misc.Position property)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position Line}@anchor{3ec}
-@deffn {Method} property Line
+@geindex _identifier (pyGHDL.dom.Names.ParenthesisName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _identifier}@anchor{71e}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Column() (pyGHDL.dom.Misc.Position property)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Position Column}@anchor{3ed}
-@deffn {Method} property Column
+@geindex _root (pyGHDL.dom.Names.ParenthesisName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _root}@anchor{71f}
+@deffn {Attribute} _root: Nullable['Name']
@end deffn
+
+@geindex _prefix (pyGHDL.dom.Names.ParenthesisName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _prefix}@anchor{720}
+@deffn {Attribute} _prefix: Nullable['Name']
@end deffn
-@geindex Alias (class in pyGHDL.dom.Misc)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias}@anchor{3e7}
-@deffn {Class} pyGHDL.dom.Misc.Alias (aliasName)
+@geindex _iirNode (pyGHDL.dom.Names.ParenthesisName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names ParenthesisName _iirNode}@anchor{721}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+@geindex IndexedName (class in pyGHDL.dom.Names)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName}@anchor{706}
+@deffn {Class} pyGHDL.dom.Names.IndexedName (node, identifier)
@subsubheading Inheritance
-@image{inheritance-9e26b197acd53708a04c9fd29c805cf0379d93e9,,,[graphviz],png}
+@image{inheritance-53799f61c091012b34176698853d72d44de146f6,,,[graphviz],png}
@subsubheading Members
-@geindex Name() (pyGHDL.dom.Misc.Alias property)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Name}@anchor{3ee}
-@deffn {Method} property Name
+@geindex Has_Prefix (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Has_Prefix}@anchor{722}
+@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
-Returns a model entity’s name.
+@geindex Identifier (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Identifier}@anchor{723}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.Misc.Alias property)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias Parent}@anchor{3ef}
-@deffn {Method} property Parent
+@geindex Indices (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Indices}@anchor{724}
+@deffn {Property} Indices: List[Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]]
-Returns a reference to the parent entity.
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]]
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Position}@anchor{725}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@ref{200,,Position}
@end deffn
-@geindex _parent (pyGHDL.dom.Misc.Alias attribute)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _parent}@anchor{3f0}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex Prefix (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Prefix}@anchor{726}
+@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
@end deffn
-@geindex _name (pyGHDL.dom.Misc.Alias attribute)
-@anchor{pyGHDL/pyGHDL dom Misc pyGHDL dom Misc Alias _name}@anchor{3f1}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Root (pyGHDL.dom.Names.IndexedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName Root}@anchor{727}
+@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Names.IndexedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _position}@anchor{728}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _indices (pyGHDL.dom.Names.IndexedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _indices}@anchor{729}
+@deffn {Attribute} _indices: List[Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]]
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Names.IndexedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _identifier}@anchor{72a}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _root (pyGHDL.dom.Names.IndexedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _root}@anchor{72b}
+@deffn {Attribute} _root: Nullable['Name']
+@end deffn
+
+@geindex _prefix (pyGHDL.dom.Names.IndexedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _prefix}@anchor{72c}
+@deffn {Attribute} _prefix: Nullable['Name']
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Names.IndexedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names IndexedName _iirNode}@anchor{72d}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex SlicedName (class in pyGHDL.dom.Names)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName}@anchor{707}
+@deffn {Class} pyGHDL.dom.Names.SlicedName (node, identifier)
+
+@subsubheading Inheritance
+
+@image{inheritance-a60914fd956195fc8268275f77c975e3f875a1e6,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Has_Prefix (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Has_Prefix}@anchor{72e}
+@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Identifier}@anchor{72f}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Position}@anchor{730}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Prefix (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Prefix}@anchor{731}
+@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex Root (pyGHDL.dom.Names.SlicedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName Root}@anchor{732}
+@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Names.SlicedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _position}@anchor{733}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Names.SlicedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _identifier}@anchor{734}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _root (pyGHDL.dom.Names.SlicedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _root}@anchor{735}
+@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _prefix (pyGHDL.dom.Names.SlicedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _prefix}@anchor{736}
+@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Names.SlicedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SlicedName _iirNode}@anchor{737}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex SelectedName (class in pyGHDL.dom.Names)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName}@anchor{708}
+@deffn {Class} pyGHDL.dom.Names.SelectedName (node, identifier, prefix)
+
+@subsubheading Inheritance
+
+@image{inheritance-b82cc93b659af4689f4f24f86de4f76d417d655d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Has_Prefix (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Has_Prefix}@anchor{738}
+@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Identifier}@anchor{739}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Position}@anchor{73a}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Prefix (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Prefix}@anchor{73b}
+@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex Root (pyGHDL.dom.Names.SelectedName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName Root}@anchor{73c}
+@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Names.SelectedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _position}@anchor{73d}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
+
+@geindex _identifier (pyGHDL.dom.Names.SelectedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _identifier}@anchor{73e}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _root (pyGHDL.dom.Names.SelectedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _root}@anchor{73f}
+@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _prefix (pyGHDL.dom.Names.SelectedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _prefix}@anchor{740}
+@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Names.SelectedName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names SelectedName _iirNode}@anchor{741}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex AttributeName (class in pyGHDL.dom.Names)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName}@anchor{709}
+@deffn {Class} pyGHDL.dom.Names.AttributeName (node, identifier, prefix)
+
+@subsubheading Inheritance
+
+@image{inheritance-5004cac115c27dcc73b81e5efeee46da8f5e5757,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Has_Prefix (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Has_Prefix}@anchor{742}
+@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Identifier}@anchor{743}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Position}@anchor{744}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@geindex Prefix (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Prefix}@anchor{745}
+@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex Root (pyGHDL.dom.Names.AttributeName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName Root}@anchor{746}
+@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Names.AttributeName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _position}@anchor{747}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Names.AttributeName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _identifier}@anchor{748}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _root (pyGHDL.dom.Names.AttributeName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _root}@anchor{749}
+@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _prefix (pyGHDL.dom.Names.AttributeName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _prefix}@anchor{74a}
+@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Names.AttributeName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AttributeName _iirNode}@anchor{74b}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex AllName (class in pyGHDL.dom.Names)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName}@anchor{70a}
+@deffn {Class} pyGHDL.dom.Names.AllName (node, prefix)
+
+@subsubheading Inheritance
+
+@image{inheritance-183243b8ac131125bdfcd3f09d3af62f56bf330f,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Has_Prefix (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Has_Prefix}@anchor{74c}
+@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Identifier}@anchor{74d}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Position}@anchor{74e}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Prefix (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Prefix}@anchor{74f}
+@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex Root (pyGHDL.dom.Names.AllName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName Root}@anchor{750}
+@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Names.AllName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _position}@anchor{751}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Names.AllName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _identifier}@anchor{752}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _root (pyGHDL.dom.Names.AllName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _root}@anchor{753}
+@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _prefix (pyGHDL.dom.Names.AllName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _prefix}@anchor{754}
+@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Names.AllName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names AllName _iirNode}@anchor{755}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex OpenName (class in pyGHDL.dom.Names)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName}@anchor{70b}
+@deffn {Class} pyGHDL.dom.Names.OpenName (node)
+
+@subsubheading Inheritance
+
+@image{inheritance-5e5eafc6ea8c1f16a78542748a8c6680081e2eef,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Has_Prefix (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Has_Prefix}@anchor{756}
+@deffn {Property} Has_Prefix: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Identifier}@anchor{757}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Position}@anchor{758}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Prefix (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Prefix}@anchor{759}
+@deffn {Property} Prefix: Optional[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+Optional@footnote{https://docs.python.org/3.6/library/typing.html#typing.Optional}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex Root (pyGHDL.dom.Names.OpenName property)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName Root}@anchor{75a}
+@deffn {Property} Root: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Names.OpenName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _position}@anchor{75b}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Names.OpenName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _identifier}@anchor{75c}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _root (pyGHDL.dom.Names.OpenName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _root}@anchor{75d}
+@deffn {Attribute} _root: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _prefix (pyGHDL.dom.Names.OpenName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _prefix}@anchor{75e}
+@deffn {Attribute} _prefix: Optional[@ref{354,,Name}]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Names.OpenName attribute)
+@anchor{pyGHDL/pyGHDL dom Names pyGHDL dom Names OpenName _iirNode}@anchor{75f}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -11390,8 +18323,8 @@ ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.
@c # define a hard line break for HTML
-@node pyGHDL dom NonStandard,pyGHDL dom Object,pyGHDL dom Misc,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom NonStandard doc}@anchor{3f2}@anchor{pyGHDL/pyGHDL dom NonStandard module-pyGHDL dom NonStandard}@anchor{10}@anchor{pyGHDL/pyGHDL dom NonStandard pyghdl-dom-nonstandard}@anchor{3f3}
+@node pyGHDL dom NonStandard,pyGHDL dom Object,pyGHDL dom Names,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom NonStandard doc}@anchor{760}@anchor{pyGHDL/pyGHDL dom NonStandard module-pyGHDL dom NonStandard}@anchor{12}@anchor{pyGHDL/pyGHDL dom NonStandard pyghdl-dom-nonstandard}@anchor{761}
@subsection pyGHDL.dom.NonStandard
@@ -11411,356 +18344,503 @@ Add a module documentation.
@itemize -
@item
-@ref{3f4,,Design}:
-A @code{Design} represents all loaded files (see Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Document})
+@ref{1ba,,Design}:
+A @code{Design} represents all loaded files (see @code{Document})
@item
-@ref{3f5,,Library}:
+@ref{391,,Library}:
A @code{Library} represents a VHDL library. It contains all @emph{primary} design
@item
-@ref{3f6,,Document}:
+@ref{1c3,,Document}:
A @code{Document} represents a sourcefile. It contains primary and secondary
@end itemize
@c #-----------------------------------
@geindex Design (class in pyGHDL.dom.NonStandard)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design}@anchor{3f4}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design}@anchor{1ba}
@deffn {Class} pyGHDL.dom.NonStandard.Design
-
@subsubheading Inheritance
-@image{inheritance-6d14766a5390a5dd2278490ba0fc3a2f07542824,,,[graphviz],png}
+@image{inheritance-f38840981c14894155a52915ba2b8f311061ac8d,,,[graphviz],png}
@subsubheading Members
+@geindex __ghdl_init() (pyGHDL.dom.NonStandard.Design method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design __ghdl_init}@anchor{762}
+@deffn {Method} __ghdl_init ()
+
+Initialization: set options and then load libraries.
+@end deffn
+
@geindex AddDocument() (pyGHDL.dom.NonStandard.Design method)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design AddDocument}@anchor{3f7}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design AddDocument}@anchor{763}
@deffn {Method} AddDocument (document, library)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@geindex Documents() (pyGHDL.dom.NonStandard.Design property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Documents}@anchor{3f8}
-@deffn {Method} property Documents
+@geindex Documents (pyGHDL.dom.NonStandard.Design property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Documents}@anchor{764}
+@deffn {Property} Documents: List[pyVHDLModel.SyntaxModel.Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Document}]
Returns a list of all documents (files) loaded for this design.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Document}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Document}]
@end deffn
@geindex GetLibrary() (pyGHDL.dom.NonStandard.Design method)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design GetLibrary}@anchor{3f9}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design GetLibrary}@anchor{765}
@deffn {Method} GetLibrary (libraryName)
-
@*Return type:
-Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Library}
+Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}
@end deffn
-@geindex Libraries() (pyGHDL.dom.NonStandard.Design property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Libraries}@anchor{3fa}
-@deffn {Method} property Libraries
+@geindex Libraries (pyGHDL.dom.NonStandard.Design property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Libraries}@anchor{766}
+@deffn {Property} Libraries: Dict[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, pyVHDLModel.SyntaxModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}]
Returns a list of all libraries specified for this design.
@*Return type:
-Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Library}]
+Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Library}]
@end deffn
-@geindex Parent() (pyGHDL.dom.NonStandard.Design property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Parent}@anchor{3fb}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.NonStandard.Design property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design Parent}@anchor{767}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
@geindex _libraries (pyGHDL.dom.NonStandard.Design attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _libraries}@anchor{3fc}
-@deffn {Attribute} _libraries: Dict[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, pyVHDLModel.VHDLModel.Library@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Library}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _libraries}@anchor{768}
+@deffn {Attribute} _libraries: Dict[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, @ref{391,,pyGHDL.dom.NonStandard.Library}]
+
+List of all libraries defined for a design.
@end deffn
@geindex _documents (pyGHDL.dom.NonStandard.Design attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _documents}@anchor{3fd}
-@deffn {Attribute} _documents: List[pyVHDLModel.VHDLModel.Document@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Document}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _documents}@anchor{769}
+@deffn {Attribute} _documents: List[@ref{1c3,,pyGHDL.dom.NonStandard.Document}]
+
+List of all documents loaded for a design.
@end deffn
@geindex _parent (pyGHDL.dom.NonStandard.Design attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _parent}@anchor{3fe}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Design _parent}@anchor{76a}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
@end deffn
@geindex Library (class in pyGHDL.dom.NonStandard)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library}@anchor{3f5}
-@deffn {Class} pyGHDL.dom.NonStandard.Library (name)
-
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library}@anchor{391}
+@deffn {Class} pyGHDL.dom.NonStandard.Library (identifier)
@subsubheading Inheritance
-@image{inheritance-e102bbdd3d80670b657358a339f8152f2bb96e0b,,,[graphviz],png}
+@image{inheritance-edcc1b497b0368e5390746f7ee1a9b6b0ff8bbd7,,,[graphviz],png}
@subsubheading Members
-@geindex Configurations() (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Configurations}@anchor{3ff}
-@deffn {Method} property Configurations
+@geindex Architectures (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Architectures}@anchor{76b}
+@deffn {Property} Architectures: Dict[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}, List[pyVHDLModel.SyntaxModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]]
-Returns a list of all configuration declarations loaded for this design.
+Returns a list of all architectures declarations declared in this library.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Configuration}]
+Dict@footnote{https://docs.python.org/3.6/library/typing.html#typing.Dict}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}, List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]]
@end deffn
-@geindex Contexts() (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Contexts}@anchor{400}
-@deffn {Method} property Contexts
+@geindex Configurations (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Configurations}@anchor{76c}
+@deffn {Property} Configurations: List[pyVHDLModel.SyntaxModel.Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
-Returns a list of all context declarations loaded for this design.
+Returns a list of all configuration declarations declared in this library.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
@end deffn
-@geindex Entities() (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Entities}@anchor{401}
-@deffn {Method} property Entities
+@geindex Contexts (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Contexts}@anchor{76d}
+@deffn {Property} Contexts: List[pyVHDLModel.SyntaxModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
-Returns a list of all entity declarations loaded for this design.
+Returns a list of all context declarations declared in this library.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
@end deffn
-@geindex Name() (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Name}@anchor{402}
-@deffn {Method} property Name
+@geindex Entities (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Entities}@anchor{76e}
+@deffn {Property} Entities: List[pyVHDLModel.SyntaxModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
-Returns a model entity’s name.
+Returns a list of all entity declarations declared in this library.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Identifier}@anchor{76f}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Packages() (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Packages}@anchor{403}
-@deffn {Method} property Packages
+@geindex PackageBodies (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library PackageBodies}@anchor{770}
+@deffn {Property} PackageBodies: List[pyVHDLModel.SyntaxModel.PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
+
+Returns a list of all package body declarations declared in this library.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
+
+@end deffn
+
+@geindex Packages (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Packages}@anchor{771}
+@deffn {Property} Packages: List[pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
-Returns a list of all package declarations loaded for this design.
+Returns a list of all package declarations declared in this library.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
@end deffn
-@geindex Parent() (pyGHDL.dom.NonStandard.Library property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Parent}@anchor{404}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.NonStandard.Library property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library Parent}@anchor{772}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
@geindex _contexts (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _contexts}@anchor{405}
-@deffn {Attribute} _contexts: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _contexts}@anchor{773}
+@deffn {Attribute} _contexts: List[@ref{36b,,Context}]
+
+List of all contexts defined in a library.
@end deffn
@geindex _configurations (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _configurations}@anchor{406}
-@deffn {Attribute} _configurations: List[pyVHDLModel.VHDLModel.Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Configuration}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _configurations}@anchor{774}
+@deffn {Attribute} _configurations: List[@ref{36c,,Configuration}]
+
+List of all configurations defined in a library.
@end deffn
@geindex _entities (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _entities}@anchor{407}
-@deffn {Attribute} _entities: List[pyVHDLModel.VHDLModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _entities}@anchor{775}
+@deffn {Attribute} _entities: List[@ref{367,,Entity}]
+
+List of all entities defined in a library.
+@end deffn
+
+@geindex _architectures (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _architectures}@anchor{776}
+@deffn {Attribute} _architectures: Dict[@ref{354,,Name}, List[@ref{368,,Architecture}]]
+
+Dictionary of all architectures defined in a library.
@end deffn
@geindex _packages (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _packages}@anchor{408}
-@deffn {Attribute} _packages: List[pyVHDLModel.VHDLModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _packages}@anchor{777}
+@deffn {Attribute} _packages: List[@ref{369,,Package}]
+
+List of all packages defined in a library.
+@end deffn
+
+@geindex _packageBodies (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _packageBodies}@anchor{778}
+@deffn {Attribute} _packageBodies: List[@ref{36a,,PackageBody}]
+
+List of all package bodies defined in a library.
@end deffn
@geindex _parent (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _parent}@anchor{409}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _parent}@anchor{779}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _name (pyGHDL.dom.NonStandard.Library attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _name}@anchor{40a}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _identifier (pyGHDL.dom.NonStandard.Library attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Library _identifier}@anchor{77a}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
@end deffn
@geindex Document (class in pyGHDL.dom.NonStandard)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document}@anchor{3f6}
-@deffn {Class} pyGHDL.dom.NonStandard.Document (path=None, dontParse=False)
-
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document}@anchor{1c3}
+@deffn {Class} pyGHDL.dom.NonStandard.Document (path, sourceCode=None, dontParse=False, dontTranslate=False)
@subsubheading Inheritance
-@image{inheritance-2ec1d76a5b2ce27226700f9236d22365d9e91f27,,,[graphviz],png}
+@image{inheritance-d1a9e6821196cb925e728e43befd24a969d40dca,,,[graphviz],png}
@subsubheading Members
-@geindex parse() (pyGHDL.dom.NonStandard.Document method)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document parse}@anchor{40b}
-@deffn {Method} parse ()
+@geindex __ghdlFileID (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlFileID}@anchor{77b}
+@deffn {Attribute} __ghdlFileID: Any
+@end deffn
+
+@geindex __ghdlSourceFileEntry (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlSourceFileEntry}@anchor{77c}
+@deffn {Attribute} __ghdlSourceFileEntry: Any
+@end deffn
+
+@geindex _filename (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _filename}@anchor{77d}
+@deffn {Attribute} _filename: pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
+@end deffn
+
+@geindex __ghdlFile (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlFile}@anchor{77e}
+@deffn {Attribute} __ghdlFile: Any
+@end deffn
+
+@geindex __ghdlProcessingTime (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __ghdlProcessingTime}@anchor{77f}
+@deffn {Attribute} __ghdlProcessingTime: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@end deffn
+
+@geindex __domTranslateTime (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __domTranslateTime}@anchor{780}
+@deffn {Attribute} __domTranslateTime: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+@end deffn
+@geindex __loadFromPath() (pyGHDL.dom.NonStandard.Document method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __loadFromPath}@anchor{781}
+@deffn {Method} __loadFromPath ()
@end deffn
-@geindex Architectures() (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Architectures}@anchor{40c}
-@deffn {Method} property Architectures
+@geindex Architectures (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Architectures}@anchor{782}
+@deffn {Property} Architectures: List[pyVHDLModel.SyntaxModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
Returns a list of all architecture declarations found in this document.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Architecture}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Architecture}]
@end deffn
-@geindex Configurations() (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Configurations}@anchor{40d}
-@deffn {Method} property Configurations
+@geindex Configurations (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Configurations}@anchor{783}
+@deffn {Property} Configurations: List[pyVHDLModel.SyntaxModel.Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
Returns a list of all configuration declarations found in this document.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Configuration}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Configuration}]
@end deffn
-@geindex Contexts() (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Contexts}@anchor{40e}
-@deffn {Method} property Contexts
+@geindex Contexts (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Contexts}@anchor{784}
+@deffn {Property} Contexts: List[pyVHDLModel.SyntaxModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
Returns a list of all context declarations found in this document.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Context}]
@end deffn
-@geindex Entities() (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Entities}@anchor{40f}
-@deffn {Method} property Entities
+@geindex Entities (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Entities}@anchor{785}
+@deffn {Property} Entities: List[pyVHDLModel.SyntaxModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
Returns a list of all entity declarations found in this document.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}]
@end deffn
-@geindex PackageBodies() (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document PackageBodies}@anchor{410}
-@deffn {Method} property PackageBodies
+@geindex PackageBodies (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document PackageBodies}@anchor{786}
+@deffn {Property} PackageBodies: List[pyVHDLModel.SyntaxModel.PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
Returns a list of all package body declarations found in this document.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PackageBody}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PackageBody}]
@end deffn
-@geindex Packages() (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Packages}@anchor{411}
-@deffn {Method} property Packages
+@geindex Packages (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Packages}@anchor{787}
+@deffn {Property} Packages: List[pyVHDLModel.SyntaxModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
Returns a list of all package declarations found in this document.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Package}]
@end deffn
-@geindex Parent() (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Parent}@anchor{412}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Parent}@anchor{788}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Path() (pyGHDL.dom.NonStandard.Document property)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Path}@anchor{413}
-@deffn {Method} property Path
+@geindex Path (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document Path}@anchor{789}
+@deffn {Property} Path: pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
@*Return type:
Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
@end deffn
+@geindex VerificationUnits (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document VerificationUnits}@anchor{78a}
+@deffn {Property} VerificationUnits: List[pyVHDLModel.PSLModel.VerificationUnit@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.PSLModel.html#pyVHDLModel.PSLModel.VerificationUnit}]
+
+Returns a list of all configuration declarations found in this document.
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[VerificationUnit@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.PSLModel.html#pyVHDLModel.PSLModel.VerificationUnit}]
+
+@end deffn
+
+@geindex __loadFromString() (pyGHDL.dom.NonStandard.Document method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document __loadFromString}@anchor{78b}
+@deffn {Method} __loadFromString (sourceCode)
+@end deffn
+
@geindex _path (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _path}@anchor{414}
-@deffn {Attribute} _path: pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _path}@anchor{78c}
+@deffn {Attribute} _path: Path
+
+path to the document. @code{None} if virtual document.
@end deffn
@geindex _contexts (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _contexts}@anchor{415}
-@deffn {Attribute} _contexts: List[pyVHDLModel.VHDLModel.Context@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Context}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _contexts}@anchor{78d}
+@deffn {Attribute} _contexts: List['Context']
+
+List of all contexts defined in a document.
@end deffn
@geindex _configurations (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _configurations}@anchor{416}
-@deffn {Attribute} _configurations: List[pyVHDLModel.VHDLModel.Configuration@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Configuration}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _configurations}@anchor{78e}
+@deffn {Attribute} _configurations: List['Configuration']
+
+List of all configurations defined in a document.
+@end deffn
+
+@geindex _verificationUnits (pyGHDL.dom.NonStandard.Document attribute)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _verificationUnits}@anchor{78f}
+@deffn {Attribute} _verificationUnits: List['VerificationUnit']
+
+List of all PSL verification units defined in a document.
@end deffn
@geindex _entities (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _entities}@anchor{417}
-@deffn {Attribute} _entities: List[pyVHDLModel.VHDLModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _entities}@anchor{790}
+@deffn {Attribute} _entities: List['Entity']
+
+List of all entities defined in a document.
@end deffn
@geindex _architectures (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _architectures}@anchor{418}
-@deffn {Attribute} _architectures: List[pyVHDLModel.VHDLModel.Architecture@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Architecture}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _architectures}@anchor{791}
+@deffn {Attribute} _architectures: List['Architecture']
+
+List of all architectures defined in a document.
@end deffn
@geindex _packages (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packages}@anchor{419}
-@deffn {Attribute} _packages: List[pyVHDLModel.VHDLModel.Package@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Package}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packages}@anchor{792}
+@deffn {Attribute} _packages: List['Package']
+
+List of all packages defined in a document.
@end deffn
@geindex _packageBodies (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packageBodies}@anchor{41a}
-@deffn {Attribute} _packageBodies: List[pyVHDLModel.VHDLModel.PackageBody@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PackageBody}]
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _packageBodies}@anchor{793}
+@deffn {Attribute} _packageBodies: List['PackageBody']
+
+List of all package bodies defined in a document.
@end deffn
@geindex _parent (pyGHDL.dom.NonStandard.Document attribute)
-@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _parent}@anchor{41b}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document _parent}@anchor{794}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex translate() (pyGHDL.dom.NonStandard.Document method)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document translate}@anchor{795}
+@deffn {Method} translate ()
+@end deffn
+
+@geindex LibGHDLProcessingTime (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document LibGHDLProcessingTime}@anchor{796}
+@deffn {Property} LibGHDLProcessingTime: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+
+@*Return type:
+float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+
+@end deffn
+
+@geindex DOMTranslationTime (pyGHDL.dom.NonStandard.Document property)
+@anchor{pyGHDL/pyGHDL dom NonStandard pyGHDL dom NonStandard Document DOMTranslationTime}@anchor{797}
+@deffn {Property} DOMTranslationTime: float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+
+@*Return type:
+float@footnote{https://docs.python.org/3.6/library/functions.html#float}
+
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -11780,8 +18860,8 @@ Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
@c # define a hard line break for HTML
-@node pyGHDL dom Object,pyGHDL dom Range,pyGHDL dom NonStandard,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Object doc}@anchor{41c}@anchor{pyGHDL/pyGHDL dom Object module-pyGHDL dom Object}@anchor{11}@anchor{pyGHDL/pyGHDL dom Object pyghdl-dom-object}@anchor{41d}
+@node pyGHDL dom Object,pyGHDL dom PSL,pyGHDL dom NonStandard,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Object doc}@anchor{798}@anchor{pyGHDL/pyGHDL dom Object module-pyGHDL dom Object}@anchor{13}@anchor{pyGHDL/pyGHDL dom Object pyghdl-dom-object}@anchor{799}
@subsection pyGHDL.dom.Object
@@ -11795,410 +18875,998 @@ Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
@itemize -
@item
-@ref{41e,,Constant}:
+@ref{206,,Constant}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+
+@item
+@ref{79a,,DeferredConstant}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{41f,,DeferredConstant}:
+@ref{207,,Variable}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{420,,Variable}:
+@ref{79b,,SharedVariable}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{421,,SharedVariable}:
+@ref{208,,Signal}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{422,,Signal}:
+@ref{79c,,File}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@end itemize
@c #-----------------------------------
@geindex Constant (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant}@anchor{41e}
-@deffn {Class} pyGHDL.dom.Object.Constant (name, subType, defaultExpression)
-
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant}@anchor{206}
+@deffn {Class} pyGHDL.dom.Object.Constant (node, identifiers, subtype, defaultExpression)
@subsubheading Inheritance
-@image{inheritance-295d204e3bdf08014ff0e7bd92c20da51133006d,,,[graphviz],png}
+@image{inheritance-97bbdea2dc6705aa4c4f2e14aa3b56553a47dba4,,,[graphviz],png}
@subsubheading Members
-@geindex _name (pyGHDL.dom.Object.Constant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _name}@anchor{423}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex parse() (pyGHDL.dom.Object.Constant class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant parse}@anchor{79d}
+@deffn {Method} classmethod parse (constantNode)
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{206,,Constant}, @ref{79a,,DeferredConstant}]
+
+@end deffn
+
+@geindex DefaultExpression (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant DefaultExpression}@anchor{79e}
+@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Identifiers (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Identifiers}@anchor{79f}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Parent}@anchor{7a0}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Position}@anchor{7a1}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Subtype (pyGHDL.dom.Object.Constant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Subtype}@anchor{7a2}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _position}@anchor{7a3}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _subtype (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _subtype}@anchor{7a4}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _parent}@anchor{7a5}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _subType (pyGHDL.dom.Object.Constant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _subType}@anchor{424}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex _identifiers (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _identifiers}@anchor{7a6}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
@end deffn
@geindex _defaultExpression (pyGHDL.dom.Object.Constant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _defaultExpression}@anchor{425}
-@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _defaultExpression}@anchor{7a7}
+@deffn {Attribute} _defaultExpression: ExpressionUnion
@end deffn
-@geindex parse() (pyGHDL.dom.Object.Constant class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant parse}@anchor{426}
-@deffn {Method} classmethod parse (node)
+@geindex _iirNode (pyGHDL.dom.Object.Constant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _iirNode}@anchor{7a8}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex DeferredConstant (class in pyGHDL.dom.Object)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant}@anchor{79a}
+@deffn {Class} pyGHDL.dom.Object.DeferredConstant (node, identifiers, subtype)
+
+@subsubheading Inheritance
+
+@image{inheritance-f4b4c316191cd181ca947d036b29bbb70ae920cb,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Object.DeferredConstant class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant parse}@anchor{7a9}
+@deffn {Method} classmethod parse (constantNode)
+
+@*Return type:
+@ref{79a,,DeferredConstant}
@end deffn
-@geindex DefaultExpression() (pyGHDL.dom.Object.Constant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant DefaultExpression}@anchor{427}
-@deffn {Method} property DefaultExpression
+@geindex ConstantReference (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant ConstantReference}@anchor{7aa}
+@deffn {Property} ConstantReference: pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}
@end deffn
-@geindex Name() (pyGHDL.dom.Object.Constant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Name}@anchor{428}
-@deffn {Method} property Name
+@geindex Identifiers (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Identifiers}@anchor{7ab}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
-Returns a model entity’s name.
+Returns a model entity’s list of identifiers (name).
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
-@geindex Parent() (pyGHDL.dom.Object.Constant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant Parent}@anchor{429}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Parent}@anchor{7ac}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SubType() (pyGHDL.dom.Object.Constant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant SubType}@anchor{42a}
-@deffn {Method} property SubType
+@geindex Position (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Position}@anchor{7ad}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@ref{200,,Position}
@end deffn
-@geindex _parent (pyGHDL.dom.Object.Constant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Constant _parent}@anchor{42b}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex Subtype (pyGHDL.dom.Object.DeferredConstant property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Subtype}@anchor{7ae}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
@end deffn
+
+@geindex _position (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _position}@anchor{7af}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex DeferredConstant (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant}@anchor{41f}
-@deffn {Class} pyGHDL.dom.Object.DeferredConstant (name, subType)
+@geindex _constantReference (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _constantReference}@anchor{7b0}
+@deffn {Attribute} _constantReference: pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}
+@end deffn
+@geindex _subtype (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _subtype}@anchor{7b1}
+@deffn {Attribute} _subtype: SubtypeOrSymbol
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _parent}@anchor{7b2}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifiers (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _identifiers}@anchor{7b3}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Object.DeferredConstant attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _iirNode}@anchor{7b4}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex Variable (class in pyGHDL.dom.Object)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable}@anchor{207}
+@deffn {Class} pyGHDL.dom.Object.Variable (node, identifiers, subtype, defaultExpression)
@subsubheading Inheritance
-@image{inheritance-be38f264bf4d50c5312d60ebe8d4fd04e53b2c36,,,[graphviz],png}
+@image{inheritance-24732736c5ec9c18227d52b695bf966045df12dc,,,[graphviz],png}
@subsubheading Members
-@geindex _name (pyGHDL.dom.Object.DeferredConstant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _name}@anchor{42c}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex parse() (pyGHDL.dom.Object.Variable class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable parse}@anchor{7b5}
+@deffn {Method} classmethod parse (variableNode)
+
+@*Return type:
+@ref{207,,Variable}
+
@end deffn
-@geindex _subType (pyGHDL.dom.Object.DeferredConstant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _subType}@anchor{42d}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex DefaultExpression (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable DefaultExpression}@anchor{7b6}
+@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
@end deffn
-@geindex parse() (pyGHDL.dom.Object.DeferredConstant class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant parse}@anchor{42e}
-@deffn {Method} classmethod parse (node)
+@geindex Identifiers (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Identifiers}@anchor{7b7}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
-@geindex ConstantReference() (pyGHDL.dom.Object.DeferredConstant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant ConstantReference}@anchor{42f}
-@deffn {Method} property ConstantReference
+@geindex Parent (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Parent}@anchor{7b8}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
@*Return type:
-Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Name() (pyGHDL.dom.Object.DeferredConstant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Name}@anchor{430}
-@deffn {Method} property Name
+@geindex Position (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Position}@anchor{7b9}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-Returns a model entity’s name.
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Subtype (pyGHDL.dom.Object.Variable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Subtype}@anchor{7ba}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _position}@anchor{7bb}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _subtype (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _subtype}@anchor{7bc}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _parent}@anchor{7bd}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifiers (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _identifiers}@anchor{7be}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+@geindex _defaultExpression (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _defaultExpression}@anchor{7bf}
+@deffn {Attribute} _defaultExpression: ExpressionUnion
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Object.Variable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _iirNode}@anchor{7c0}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
@end deffn
-@geindex Parent() (pyGHDL.dom.Object.DeferredConstant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant Parent}@anchor{431}
-@deffn {Method} property Parent
+@geindex SharedVariable (class in pyGHDL.dom.Object)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable}@anchor{79b}
+@deffn {Class} pyGHDL.dom.Object.SharedVariable (node, identifiers, subtype)
+
+@subsubheading Inheritance
+
+@image{inheritance-ead9eac0a4895fe05e8aa3923e94e46ea8b93e30,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Object.SharedVariable class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable parse}@anchor{7c1}
+@deffn {Method} classmethod parse (variableNode)
+
+@*Return type:
+@ref{79b,,SharedVariable}
+
+@end deffn
+
+@geindex Identifiers (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Identifiers}@anchor{7c2}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Parent}@anchor{7c3}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SubType() (pyGHDL.dom.Object.DeferredConstant property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant SubType}@anchor{432}
-@deffn {Method} property SubType
+@geindex Position (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Position}@anchor{7c4}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@ref{200,,Position}
@end deffn
-@geindex _constantReference (pyGHDL.dom.Object.DeferredConstant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _constantReference}@anchor{433}
-@deffn {Attribute} _constantReference: pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}
+@geindex Subtype (pyGHDL.dom.Object.SharedVariable property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Subtype}@anchor{7c5}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
@end deffn
-@geindex _parent (pyGHDL.dom.Object.DeferredConstant attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object DeferredConstant _parent}@anchor{434}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _position (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _position}@anchor{7c6}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
+
+@geindex _subtype (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _subtype}@anchor{7c7}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
@end deffn
-@geindex Variable (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable}@anchor{420}
-@deffn {Class} pyGHDL.dom.Object.Variable (name, subType, defaultExpression)
+@geindex _parent (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _parent}@anchor{7c8}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifiers (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _identifiers}@anchor{7c9}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Object.SharedVariable attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _iirNode}@anchor{7ca}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+@geindex Signal (class in pyGHDL.dom.Object)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal}@anchor{208}
+@deffn {Class} pyGHDL.dom.Object.Signal (node, identifiers, subtype, defaultExpression)
@subsubheading Inheritance
-@image{inheritance-c3bce7b7e5288c55a8a7cbfc81efab92c02c83b5,,,[graphviz],png}
+@image{inheritance-8b7f4518220db4b299e273e50af3153260fffefe,,,[graphviz],png}
@subsubheading Members
-@geindex _name (pyGHDL.dom.Object.Variable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _name}@anchor{435}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex parse() (pyGHDL.dom.Object.Signal class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal parse}@anchor{7cb}
+@deffn {Method} classmethod parse (signalNode)
+
+@*Return type:
+@ref{208,,Signal}
+
+@end deffn
+
+@geindex DefaultExpression (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal DefaultExpression}@anchor{7cc}
+@deffn {Property} DefaultExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
@end deffn
-@geindex _subType (pyGHDL.dom.Object.Variable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _subType}@anchor{436}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex Identifiers (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Identifiers}@anchor{7cd}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.Object.Variable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _defaultExpression}@anchor{437}
-@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Parent (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Parent}@anchor{7ce}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
@end deffn
-@geindex parse() (pyGHDL.dom.Object.Variable class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable parse}@anchor{438}
-@deffn {Method} classmethod parse (node)
+@geindex Position (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Position}@anchor{7cf}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex DefaultExpression() (pyGHDL.dom.Object.Variable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable DefaultExpression}@anchor{439}
-@deffn {Method} property DefaultExpression
+@geindex Subtype (pyGHDL.dom.Object.Signal property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Subtype}@anchor{7d0}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _position}@anchor{7d1}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _subtype (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _subtype}@anchor{7d2}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _parent}@anchor{7d3}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifiers (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _identifiers}@anchor{7d4}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+A list of identifiers.
@end deffn
-@geindex Name() (pyGHDL.dom.Object.Variable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Name}@anchor{43a}
-@deffn {Method} property Name
+@geindex _defaultExpression (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _defaultExpression}@anchor{7d5}
+@deffn {Attribute} _defaultExpression: ExpressionUnion
+@end deffn
-Returns a model entity’s name.
+@geindex _iirNode (pyGHDL.dom.Object.Signal attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _iirNode}@anchor{7d6}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex File (class in pyGHDL.dom.Object)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File}@anchor{79c}
+@deffn {Class} pyGHDL.dom.Object.File (node, identifiers, subtype)
+
+@subsubheading Inheritance
+
+@image{inheritance-b4482708c00370f6aabafff33ac35719b054a165,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Object.File class method)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File parse}@anchor{7d7}
+@deffn {Method} classmethod parse (fileNode)
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@ref{79c,,File}
+
+@end deffn
+
+@geindex Identifiers (pyGHDL.dom.Object.File property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Identifiers}@anchor{7d8}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
-@geindex Parent() (pyGHDL.dom.Object.Variable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable Parent}@anchor{43b}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Object.File property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Parent}@anchor{7d9}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SubType() (pyGHDL.dom.Object.Variable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable SubType}@anchor{43c}
-@deffn {Method} property SubType
+@geindex Position (pyGHDL.dom.Object.File property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Position}@anchor{7da}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@ref{200,,Position}
@end deffn
-@geindex _parent (pyGHDL.dom.Object.Variable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Variable _parent}@anchor{43d}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex Subtype (pyGHDL.dom.Object.File property)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File Subtype}@anchor{7db}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
@end deffn
+
+@geindex _position (pyGHDL.dom.Object.File attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _position}@anchor{7dc}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex SharedVariable (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable}@anchor{421}
-@deffn {Class} pyGHDL.dom.Object.SharedVariable (name, subType)
+@geindex _subtype (pyGHDL.dom.Object.File attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _subtype}@anchor{7dd}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Object.File attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _parent}@anchor{7de}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifiers (pyGHDL.dom.Object.File attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _identifiers}@anchor{7df}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Object.File attribute)
+@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object File _iirNode}@anchor{7e0}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom PSL,pyGHDL dom Range,pyGHDL dom Object,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom PSL doc}@anchor{7e1}@anchor{pyGHDL/pyGHDL dom PSL module-pyGHDL dom PSL}@anchor{14}@anchor{pyGHDL/pyGHDL dom PSL pyghdl-dom-psl}@anchor{7e2}
+@subsection pyGHDL.dom.PSL
+
+
+@geindex module; pyGHDL.dom.PSL
+
+This module contains all DOM classes for VHDL’s design units (@code{context},
+@code{architecture}, @code{package},
+@code{package body}, @code{context} and
+@code{configuration}.
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+@itemize -
+
+@item
+@ref{7e3,,VerificationUnit}:
+A @code{PrimaryUnit} is a base-class for all primary units.
+
+@item
+@ref{7e4,,VerificationProperty}:
+A @code{PrimaryUnit} is a base-class for all primary units.
+
+@item
+@ref{7e5,,VerificationMode}:
+A @code{PrimaryUnit} is a base-class for all primary units.
+
+@item
+@ref{7e6,,DefaultClock}:
+@code{ModelEntity} is the base class for all classes in the VHDL language model,
+@end itemize
+
+@c #-----------------------------------
+
+@geindex VerificationUnit (class in pyGHDL.dom.PSL)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit}@anchor{7e3}
+@deffn {Class} pyGHDL.dom.PSL.VerificationUnit (node, identifier)
@subsubheading Inheritance
-@image{inheritance-9aae706f9839ed0d8f07abe1bb194ddb40f9f69b,,,[graphviz],png}
+@image{inheritance-3ba69ad39d056113df31306b57d60b7bf2b7fd67,,,[graphviz],png}
@subsubheading Members
-@geindex _name (pyGHDL.dom.Object.SharedVariable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _name}@anchor{43e}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex parse() (pyGHDL.dom.PSL.VerificationUnit class method)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit parse}@anchor{7e7}
+@deffn {Method} classmethod parse (vunitNode)
@end deffn
-@geindex _subType (pyGHDL.dom.Object.SharedVariable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _subType}@anchor{43f}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex Identifier (pyGHDL.dom.PSL.VerificationUnit property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Identifier}@anchor{7e8}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
@end deffn
-@geindex parse() (pyGHDL.dom.Object.SharedVariable class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable parse}@anchor{440}
-@deffn {Method} classmethod parse (node)
+@geindex Library (pyGHDL.dom.PSL.VerificationUnit property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Library}@anchor{7e9}
+@deffn {Property} Library: @ref{391,,Library}
+
+@*Return type:
+@ref{391,,Library}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.PSL.VerificationUnit property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Parent}@anchor{7ea}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Name() (pyGHDL.dom.Object.SharedVariable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Name}@anchor{441}
-@deffn {Method} property Name
+@geindex Position (pyGHDL.dom.PSL.VerificationUnit property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit Position}@anchor{7eb}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-Returns a model entity’s name.
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _position}@anchor{7ec}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _parent}@anchor{7ed}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _identifier}@anchor{7ee}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.PSL.VerificationUnit attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationUnit _iirNode}@anchor{7ef}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex VerificationProperty (class in pyGHDL.dom.PSL)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty}@anchor{7e4}
+@deffn {Class} pyGHDL.dom.PSL.VerificationProperty (node, identifier)
+
+@subsubheading Inheritance
+
+@image{inheritance-9250f1476f82e06a2011292e1677f62fc7432333,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.PSL.VerificationProperty class method)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty parse}@anchor{7f0}
+@deffn {Method} classmethod parse (vpropNode)
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.PSL.VerificationProperty property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Identifier}@anchor{7f1}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.Object.SharedVariable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable Parent}@anchor{442}
-@deffn {Method} property Parent
+@geindex Library (pyGHDL.dom.PSL.VerificationProperty property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Library}@anchor{7f2}
+@deffn {Property} Library: @ref{391,,Library}
+
+@*Return type:
+@ref{391,,Library}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.PSL.VerificationProperty property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Parent}@anchor{7f3}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SubType() (pyGHDL.dom.Object.SharedVariable property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable SubType}@anchor{443}
-@deffn {Method} property SubType
+@geindex Position (pyGHDL.dom.PSL.VerificationProperty property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty Position}@anchor{7f4}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@ref{200,,Position}
@end deffn
-@geindex _parent (pyGHDL.dom.Object.SharedVariable attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object SharedVariable _parent}@anchor{444}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _position (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _position}@anchor{7f5}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
+
+@geindex _parent (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _parent}@anchor{7f6}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex Signal (class in pyGHDL.dom.Object)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal}@anchor{422}
-@deffn {Class} pyGHDL.dom.Object.Signal (name, subType, defaultExpression)
+@geindex _identifier (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _identifier}@anchor{7f7}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.PSL.VerificationProperty attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationProperty _iirNode}@anchor{7f8}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex VerificationMode (class in pyGHDL.dom.PSL)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode}@anchor{7e5}
+@deffn {Class} pyGHDL.dom.PSL.VerificationMode (node, identifier)
@subsubheading Inheritance
-@image{inheritance-2842555048b722682b27ba01e424a21e83045909,,,[graphviz],png}
+@image{inheritance-4fd3da11368c1432bc49f64530bd5713a3d5323a,,,[graphviz],png}
@subsubheading Members
-@geindex _name (pyGHDL.dom.Object.Signal attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _name}@anchor{445}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex parse() (pyGHDL.dom.PSL.VerificationMode class method)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode parse}@anchor{7f9}
+@deffn {Method} classmethod parse (vmodeNode)
@end deffn
-@geindex _subType (pyGHDL.dom.Object.Signal attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _subType}@anchor{446}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex Identifier (pyGHDL.dom.PSL.VerificationMode property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Identifier}@anchor{7fa}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
@end deffn
-@geindex _defaultExpression (pyGHDL.dom.Object.Signal attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _defaultExpression}@anchor{447}
-@deffn {Attribute} _defaultExpression: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Library (pyGHDL.dom.PSL.VerificationMode property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Library}@anchor{7fb}
+@deffn {Property} Library: @ref{391,,Library}
+
+@*Return type:
+@ref{391,,Library}
+
@end deffn
-@geindex parse() (pyGHDL.dom.Object.Signal class method)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal parse}@anchor{448}
-@deffn {Method} classmethod parse (node)
+@geindex Parent (pyGHDL.dom.PSL.VerificationMode property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Parent}@anchor{7fc}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex DefaultExpression() (pyGHDL.dom.Object.Signal property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal DefaultExpression}@anchor{449}
-@deffn {Method} property DefaultExpression
+@geindex Position (pyGHDL.dom.PSL.VerificationMode property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode Position}@anchor{7fd}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _position}@anchor{7fe}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+@geindex _parent (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _parent}@anchor{7ff}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _identifier}@anchor{800}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex Name() (pyGHDL.dom.Object.Signal property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Name}@anchor{44a}
-@deffn {Method} property Name
+@geindex _iirNode (pyGHDL.dom.PSL.VerificationMode attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL VerificationMode _iirNode}@anchor{801}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
-Returns a model entity’s name.
+@geindex DefaultClock (class in pyGHDL.dom.PSL)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock}@anchor{7e6}
+@deffn {Class} pyGHDL.dom.PSL.DefaultClock (node, identifier)
+
+@subsubheading Inheritance
+
+@image{inheritance-42def4283b0e718cdc38b50a312282189df5d881,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.PSL.DefaultClock class method)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock parse}@anchor{802}
+@deffn {Method} classmethod parse (defaultClockNode)
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.PSL.DefaultClock property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Identifier}@anchor{803}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.Object.Signal property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal Parent}@anchor{44b}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.PSL.DefaultClock property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Parent}@anchor{804}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SubType() (pyGHDL.dom.Object.Signal property)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal SubType}@anchor{44c}
-@deffn {Method} property SubType
+@geindex Position (pyGHDL.dom.PSL.DefaultClock property)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock Position}@anchor{805}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@ref{200,,Position}
@end deffn
-@geindex _parent (pyGHDL.dom.Object.Signal attribute)
-@anchor{pyGHDL/pyGHDL dom Object pyGHDL dom Object Signal _parent}@anchor{44d}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _position (pyGHDL.dom.PSL.DefaultClock attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _position}@anchor{806}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
+
+@geindex _identifier (pyGHDL.dom.PSL.DefaultClock attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _identifier}@anchor{807}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.PSL.DefaultClock attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _parent}@anchor{808}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@geindex _iirNode (pyGHDL.dom.PSL.DefaultClock attribute)
+@anchor{pyGHDL/pyGHDL dom PSL pyGHDL dom PSL DefaultClock _iirNode}@anchor{809}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -12218,8 +19886,8 @@ Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Sub
@c # define a hard line break for HTML
-@node pyGHDL dom Range,pyGHDL dom Subprogram,pyGHDL dom Object,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Range doc}@anchor{44e}@anchor{pyGHDL/pyGHDL dom Range module-pyGHDL dom Range}@anchor{12}@anchor{pyGHDL/pyGHDL dom Range pyghdl-dom-range}@anchor{44f}
+@node pyGHDL dom Range,pyGHDL dom Sequential,pyGHDL dom PSL,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Range doc}@anchor{80a}@anchor{pyGHDL/pyGHDL dom Range module-pyGHDL dom Range}@anchor{15}@anchor{pyGHDL/pyGHDL dom Range pyghdl-dom-range}@anchor{80b}
@subsection pyGHDL.dom.Range
@@ -12233,142 +19901,1626 @@ Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Sub
@itemize -
@item
-@ref{450,,Range}:
+@ref{21a,,Range}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
-
-@item
-@ref{451,,RangeExpression}:
-A @code{BinaryExpression} is a base-class for all binary expressions.
@end itemize
@c #-----------------------------------
@geindex Range (class in pyGHDL.dom.Range)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range}@anchor{450}
-@deffn {Class} pyGHDL.dom.Range.Range (left, right, direction)
-
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range}@anchor{21a}
+@deffn {Class} pyGHDL.dom.Range.Range (leftBound, rightBound, direction)
@subsubheading Inheritance
-@image{inheritance-49e2bf14a1be34cb433f7732b1cc30c74677c86d,,,[graphviz],png}
+@image{inheritance-084916be6fd6336577cc9ad738a273976fcaaa6e,,,[graphviz],png}
@subsubheading Members
+@geindex Direction (pyGHDL.dom.Range.Range property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Direction}@anchor{80c}
+@deffn {Property} Direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+
+@*Return type:
+Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+
+@end deffn
+
+@geindex LeftBound (pyGHDL.dom.Range.Range property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range LeftBound}@anchor{80d}
+@deffn {Property} LeftBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Range.Range property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Parent}@anchor{80e}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex RightBound (pyGHDL.dom.Range.Range property)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range RightBound}@anchor{80f}
+@deffn {Property} RightBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
@geindex _leftBound (pyGHDL.dom.Range.Range attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _leftBound}@anchor{452}
-@deffn {Attribute} _leftBound: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _leftBound}@anchor{810}
+@deffn {Attribute} _leftBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _rightBound (pyGHDL.dom.Range.Range attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _rightBound}@anchor{453}
-@deffn {Attribute} _rightBound: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _rightBound}@anchor{811}
+@deffn {Attribute} _rightBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@end deffn
@geindex _direction (pyGHDL.dom.Range.Range attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _direction}@anchor{454}
-@deffn {Attribute} _direction: pyVHDLModel.VHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Direction}
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _direction}@anchor{812}
+@deffn {Attribute} _direction: pyVHDLModel.Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Direction}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Range.Range attribute)
+@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _parent}@anchor{813}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
@end deffn
-@geindex Direction() (pyGHDL.dom.Range.Range property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Direction}@anchor{455}
-@deffn {Method} property Direction
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL dom Sequential,pyGHDL dom Subprogram,pyGHDL dom Range,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Sequential doc}@anchor{814}@anchor{pyGHDL/pyGHDL dom Sequential module-pyGHDL dom Sequential}@anchor{16}@anchor{pyGHDL/pyGHDL dom Sequential pyghdl-dom-sequential}@anchor{815}
+@subsection pyGHDL.dom.Sequential
+
+
+@geindex module; pyGHDL.dom.Sequential
+
+@c #-----------------------------------
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{816,,IfBranch}:
+A @code{Branch} is a base-class for all branches in a if statement.
+
+@item
+@ref{817,,ElsifBranch}:
+A @code{Branch} is a base-class for all branches in a if statement.
+
+@item
+@ref{818,,ElseBranch}:
+A @code{Branch} is a base-class for all branches in a if statement.
+
+@item
+@ref{819,,IfStatement}:
+A @code{CompoundStatement} is a base-class for all compound statements.
+
+@item
+@ref{81a,,IndexedChoice}:
+A @code{SequentialChoice} is a base-class for all sequential choices
+
+@item
+@ref{81b,,RangedChoice}:
+A @code{SequentialChoice} is a base-class for all sequential choices
+
+@item
+@ref{81c,,Case}:
+A @code{Case} is a base-class for all cases.
+
+@item
+@ref{81d,,OthersCase}:
+A @code{Case} is a base-class for all cases.
+
+@item
+@ref{81e,,CaseStatement}:
+A @code{CompoundStatement} is a base-class for all compound statements.
+
+@item
+@ref{81f,,ForLoopStatement}:
+A @code{LoopStatement} is a base-class for all loop statements.
+
+@item
+@ref{820,,SequentialSimpleSignalAssignment}:
+A @code{SequentialStatement} is a base-class for all sequential statements.
+
+@item
+@ref{821,,SequentialProcedureCall}:
+A @code{SequentialStatement} is a base-class for all sequential statements.
+
+@item
+@ref{822,,SequentialAssertStatement}:
+A @code{SequentialStatement} is a base-class for all sequential statements.
+
+@item
+@ref{823,,SequentialReportStatement}:
+A @code{SequentialStatement} is a base-class for all sequential statements.
+
+@item
+@ref{824,,NullStatement}:
+A @code{SequentialStatement} is a base-class for all sequential statements.
+
+@item
+@ref{825,,WaitStatement}:
+A @code{SequentialStatement} is a base-class for all sequential statements.
+@end itemize
+
+@c #-----------------------------------
+
+@geindex IfBranch (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch}@anchor{816}
+@deffn {Class} pyGHDL.dom.Sequential.IfBranch (branchNode, condition, statements=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-2be1aa65d44c9d57ccd19c7f6837c79238c28640,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.IfBranch class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch parse}@anchor{826}
+@deffn {Method} classmethod parse (branchNode, label)
@*Return type:
-Direction@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Direction}
+@ref{816,,IfBranch}
@end deffn
-@geindex LeftBound() (pyGHDL.dom.Range.Range property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range LeftBound}@anchor{456}
-@deffn {Method} property LeftBound
+@geindex Condition (pyGHDL.dom.Sequential.IfBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch Condition}@anchor{827}
+@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
@end deffn
-@geindex Parent() (pyGHDL.dom.Range.Range property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range Parent}@anchor{457}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Sequential.IfBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch Parent}@anchor{828}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex RightBound() (pyGHDL.dom.Range.Range property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range RightBound}@anchor{458}
-@deffn {Method} property RightBound
+@geindex Statements (pyGHDL.dom.Sequential.IfBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch Statements}@anchor{829}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
@end deffn
-@geindex _parent (pyGHDL.dom.Range.Range attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range Range _parent}@anchor{459}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _parent (pyGHDL.dom.Sequential.IfBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch _parent}@anchor{82a}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Sequential.IfBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch _statements}@anchor{82b}
+@deffn {Attribute} _statements: List[SequentialStatement]
+@end deffn
+
+@geindex _condition (pyGHDL.dom.Sequential.IfBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfBranch _condition}@anchor{82c}
+@deffn {Attribute} _condition: ExpressionUnion
+@end deffn
+@end deffn
+
+@geindex ElsifBranch (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch}@anchor{817}
+@deffn {Class} pyGHDL.dom.Sequential.ElsifBranch (branchNode, condition, statements=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-c08b2282cf1179440026facd8cef913033e74d13,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.ElsifBranch class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch parse}@anchor{82d}
+@deffn {Method} classmethod parse (branchNode, condition, label)
+
+@*Return type:
+@ref{817,,ElsifBranch}
+
+@end deffn
+
+@geindex Condition (pyGHDL.dom.Sequential.ElsifBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch Condition}@anchor{82e}
+@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.ElsifBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch Parent}@anchor{82f}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Sequential.ElsifBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch Statements}@anchor{830}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.ElsifBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch _parent}@anchor{831}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Sequential.ElsifBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch _statements}@anchor{832}
+@deffn {Attribute} _statements: List[SequentialStatement]
+@end deffn
+
+@geindex _condition (pyGHDL.dom.Sequential.ElsifBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElsifBranch _condition}@anchor{833}
+@deffn {Attribute} _condition: ExpressionUnion
+@end deffn
+@end deffn
+
+@geindex ElseBranch (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch}@anchor{818}
+@deffn {Class} pyGHDL.dom.Sequential.ElseBranch (branchNode, statements=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-8a178199051eb65d1d7be2fba1087471764199f6,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.ElseBranch class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch parse}@anchor{834}
+@deffn {Method} classmethod parse (branchNode, label)
+
+@*Return type:
+@ref{818,,ElseBranch}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.ElseBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch Parent}@anchor{835}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Sequential.ElseBranch property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch Statements}@anchor{836}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.ElseBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch _parent}@anchor{837}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Sequential.ElseBranch attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ElseBranch _statements}@anchor{838}
+@deffn {Attribute} _statements: List[SequentialStatement]
+@end deffn
+@end deffn
+
+@geindex IfStatement (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement}@anchor{819}
+@deffn {Class} pyGHDL.dom.Sequential.IfStatement (ifNode, ifBranch, elsifBranches=None, elseBranch=None, label=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-ad207fb4c082ac72d0a8939fd8f5c43e6f0b330d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.IfStatement class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement parse}@anchor{839}
+@deffn {Method} classmethod parse (ifNode, label)
+
+@*Return type:
+@ref{819,,IfStatement}
+
+@end deffn
+
+@geindex ElsIfBranches (pyGHDL.dom.Sequential.IfStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement ElsIfBranches}@anchor{83a}
+@deffn {Property} ElsIfBranches: List[pyVHDLModel.SyntaxModel.ElsifBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifBranch}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ElsifBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElsifBranch}]
+
+@end deffn
+
+@geindex ElseBranch (pyGHDL.dom.Sequential.IfStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement ElseBranch}@anchor{83b}
+@deffn {Property} ElseBranch: pyVHDLModel.SyntaxModel.ElseBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseBranch}
+
+@*Return type:
+ElseBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseBranch}
+
+@end deffn
+
+@geindex IfBranch (pyGHDL.dom.Sequential.IfStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement IfBranch}@anchor{83c}
+@deffn {Property} IfBranch: pyVHDLModel.SyntaxModel.IfBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfBranch}
+
+@*Return type:
+IfBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfBranch}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Sequential.IfStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Label}@anchor{83d}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.IfStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Parent}@anchor{83e}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.IfStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement Position}@anchor{83f}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.IfStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _position}@anchor{840}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _ifBranch (pyGHDL.dom.Sequential.IfStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _ifBranch}@anchor{841}
+@deffn {Attribute} _ifBranch: pyVHDLModel.SyntaxModel.IfBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.IfBranch}
+@end deffn
+
+@geindex _elsifBranches (pyGHDL.dom.Sequential.IfStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _elsifBranches}@anchor{842}
+@deffn {Attribute} _elsifBranches: List[@ref{817,,pyGHDL.dom.Sequential.ElsifBranch}]
+@end deffn
+
+@geindex _elseBranch (pyGHDL.dom.Sequential.IfStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _elseBranch}@anchor{843}
+@deffn {Attribute} _elseBranch: pyVHDLModel.SyntaxModel.ElseBranch@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ElseBranch}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.IfStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _parent}@anchor{844}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Sequential.IfStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _label}@anchor{845}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.IfStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IfStatement _iirNode}@anchor{846}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex IndexedChoice (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice}@anchor{81a}
+@deffn {Class} pyGHDL.dom.Sequential.IndexedChoice (node, expression)
+
+@subsubheading Inheritance
+
+@image{inheritance-ed62c5a9a3f3375c27889b85ba6c6b7e7beb87a8,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Expression (pyGHDL.dom.Sequential.IndexedChoice property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice Expression}@anchor{847}
+@deffn {Property} Expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.IndexedChoice property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice Parent}@anchor{848}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.IndexedChoice property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice Position}@anchor{849}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.IndexedChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _position}@anchor{84a}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Sequential.IndexedChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _expression}@anchor{84b}
+@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.IndexedChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _parent}@anchor{84c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.IndexedChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential IndexedChoice _iirNode}@anchor{84d}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex RangedChoice (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice}@anchor{81b}
+@deffn {Class} pyGHDL.dom.Sequential.RangedChoice (node, rng)
+
+@subsubheading Inheritance
+
+@image{inheritance-174fcdd88fc82348c5ada1a03de9e2f754824a86,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Parent (pyGHDL.dom.Sequential.RangedChoice property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice Parent}@anchor{84e}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.RangedChoice property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice Position}@anchor{84f}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Range (pyGHDL.dom.Sequential.RangedChoice property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice Range}@anchor{850}
+@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@*Return type:
+Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.RangedChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _position}@anchor{851}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _range (pyGHDL.dom.Sequential.RangedChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _range}@anchor{852}
+@deffn {Attribute} _range: @ref{21a,,pyGHDL.dom.Range.Range}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.RangedChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _parent}@anchor{853}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.RangedChoice attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential RangedChoice _iirNode}@anchor{854}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex Case (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case}@anchor{81c}
+@deffn {Class} pyGHDL.dom.Sequential.Case (node, choices, statements=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-989341f2129660db05539a468deaf6ababecd789,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.Case class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case parse}@anchor{855}
+@deffn {Method} classmethod parse (caseNode, choices, label)
+
+@*Return type:
+@ref{81c,,Case}
+
+@end deffn
+
+@geindex Choices (pyGHDL.dom.Sequential.Case property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Choices}@anchor{856}
+@deffn {Property} Choices: List[pyVHDLModel.SyntaxModel.SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialChoice}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialChoice}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.Case property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Parent}@anchor{857}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.Case property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Position}@anchor{858}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Sequential.Case property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case Statements}@anchor{859}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.Case attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _position}@anchor{85a}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _choices (pyGHDL.dom.Sequential.Case attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _choices}@anchor{85b}
+@deffn {Attribute} _choices: List[pyVHDLModel.SyntaxModel.SequentialChoice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialChoice}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.Case attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _parent}@anchor{85c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Sequential.Case attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _statements}@anchor{85d}
+@deffn {Attribute} _statements: List[SequentialStatement]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.Case attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential Case _iirNode}@anchor{85e}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex OthersCase (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase}@anchor{81d}
+@deffn {Class} pyGHDL.dom.Sequential.OthersCase (caseNode, statements=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-8001d33ed8be06c6fa40b553f427210b3d8db97b,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.OthersCase class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase parse}@anchor{85f}
+@deffn {Method} classmethod parse (caseNode, label=None)
+
+@*Return type:
+@ref{81d,,OthersCase}
+
+@end deffn
+
+@geindex Choices (pyGHDL.dom.Sequential.OthersCase property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Choices}@anchor{860}
+@deffn {Property} Choices: List[pyVHDLModel.SyntaxModel.Choice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Choice}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Choice@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Choice}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.OthersCase property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Parent}@anchor{861}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.OthersCase property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Position}@anchor{862}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Sequential.OthersCase property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase Statements}@anchor{863}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.OthersCase attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _position}@anchor{864}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _choices (pyGHDL.dom.Sequential.OthersCase attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _choices}@anchor{865}
+@deffn {Attribute} _choices: List
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.OthersCase attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _parent}@anchor{866}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Sequential.OthersCase attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _statements}@anchor{867}
+@deffn {Attribute} _statements: List[SequentialStatement]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.OthersCase attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential OthersCase _iirNode}@anchor{868}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex CaseStatement (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement}@anchor{81e}
+@deffn {Class} pyGHDL.dom.Sequential.CaseStatement (caseNode, label, expression, cases)
+
+@subsubheading Inheritance
+
+@image{inheritance-c0bf13d2467bf9318fc1c0ede0340c4cd8e47f85,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.CaseStatement class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement parse}@anchor{869}
+@deffn {Method} classmethod parse (caseNode, label)
+
+@*Return type:
+@ref{81e,,CaseStatement}
+
+@end deffn
+
+@geindex Cases (pyGHDL.dom.Sequential.CaseStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Cases}@anchor{86a}
+@deffn {Property} Cases: List[pyVHDLModel.SyntaxModel.SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialCase}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialCase}]
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Sequential.CaseStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Label}@anchor{86b}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.CaseStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Parent}@anchor{86c}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.CaseStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement Position}@anchor{86d}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex SelectExpression (pyGHDL.dom.Sequential.CaseStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement SelectExpression}@anchor{86e}
+@deffn {Property} SelectExpression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.CaseStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _position}@anchor{86f}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _expression (pyGHDL.dom.Sequential.CaseStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _expression}@anchor{870}
+@deffn {Attribute} _expression: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _cases (pyGHDL.dom.Sequential.CaseStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _cases}@anchor{871}
+@deffn {Attribute} _cases: List[pyVHDLModel.SyntaxModel.SequentialCase@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialCase}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.CaseStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _parent}@anchor{872}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Sequential.CaseStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _label}@anchor{873}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.CaseStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential CaseStatement _iirNode}@anchor{874}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ForLoopStatement (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement}@anchor{81f}
+@deffn {Class} pyGHDL.dom.Sequential.ForLoopStatement (loopNode, loopIndex, rng, statements=None, label=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-33285d161423c6f82412206037289897e7d360e4,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.ForLoopStatement class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement parse}@anchor{875}
+@deffn {Method} classmethod parse (loopNode, label)
+
+@*Return type:
+@ref{81f,,ForLoopStatement}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Sequential.ForLoopStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Label}@anchor{876}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex LoopIndex (pyGHDL.dom.Sequential.ForLoopStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement LoopIndex}@anchor{877}
+@deffn {Property} LoopIndex: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.ForLoopStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Parent}@anchor{878}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.ForLoopStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Position}@anchor{879}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Range (pyGHDL.dom.Sequential.ForLoopStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Range}@anchor{87a}
+@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@*Return type:
+Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Sequential.ForLoopStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement Statements}@anchor{87b}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.ForLoopStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _position}@anchor{87c}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _loopIndex (pyGHDL.dom.Sequential.ForLoopStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _loopIndex}@anchor{87d}
+@deffn {Attribute} _loopIndex: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex _range (pyGHDL.dom.Sequential.ForLoopStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _range}@anchor{87e}
+@deffn {Attribute} _range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.ForLoopStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _parent}@anchor{87f}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Sequential.ForLoopStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _label}@anchor{880}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _statements (pyGHDL.dom.Sequential.ForLoopStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _statements}@anchor{881}
+@deffn {Attribute} _statements: List[SequentialStatement]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.ForLoopStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential ForLoopStatement _iirNode}@anchor{882}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex SequentialSimpleSignalAssignment (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment}@anchor{820}
+@deffn {Class} pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment (assignmentNode, target, waveform, label=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-50a70fe51cab1892b5dca229f8783ccc2eed633d,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment parse}@anchor{883}
+@deffn {Method} classmethod parse (assignmentNode, label=None)
+
+@*Return type:
+@ref{820,,SequentialSimpleSignalAssignment}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Label}@anchor{884}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Parent}@anchor{885}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Position}@anchor{886}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Target (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Target}@anchor{887}
+@deffn {Property} Target: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex Waveform (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment Waveform}@anchor{888}
+@deffn {Property} Waveform: List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _position}@anchor{889}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _waveform (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _waveform}@anchor{88a}
+@deffn {Attribute} _waveform: List[pyVHDLModel.SyntaxModel.WaveformElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.WaveformElement}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _parent}@anchor{88b}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _label}@anchor{88c}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _target (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _target}@anchor{88d}
+@deffn {Attribute} _target: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.SequentialSimpleSignalAssignment attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialSimpleSignalAssignment _iirNode}@anchor{88e}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex SequentialProcedureCall (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall}@anchor{821}
+@deffn {Class} pyGHDL.dom.Sequential.SequentialProcedureCall (callNode, procedureName, parameterMappings, label=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-4aac85fe8950e6adeb235638b1dde1e00eec9e7c,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.SequentialProcedureCall class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall parse}@anchor{88f}
+@deffn {Method} classmethod parse (callNode, label)
+
+@*Return type:
+@ref{821,,SequentialProcedureCall}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Sequential.SequentialProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Label}@anchor{890}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex ParameterMappings (pyGHDL.dom.Sequential.SequentialProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall ParameterMappings}@anchor{891}
+@deffn {Property} ParameterMappings: List[pyVHDLModel.SyntaxModel.ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterAssociationItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterAssociationItem}]
+
@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.SequentialProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Parent}@anchor{892}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.SequentialProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Position}@anchor{893}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex RangeExpression (class in pyGHDL.dom.Range)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression}@anchor{451}
-@deffn {Class} pyGHDL.dom.Range.RangeExpression (range)
+@geindex Procedure (pyGHDL.dom.Sequential.SequentialProcedureCall property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall Procedure}@anchor{894}
+@deffn {Property} Procedure: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _position}@anchor{895}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _parent}@anchor{896}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _label}@anchor{897}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _procedure (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _procedure}@anchor{898}
+@deffn {Attribute} _procedure: @ref{354,,Name}
+@end deffn
+
+@geindex _parameterMappings (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _parameterMappings}@anchor{899}
+@deffn {Attribute} _parameterMappings: List[@ref{24f,,ParameterAssociationItem}]
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.SequentialProcedureCall attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialProcedureCall _iirNode}@anchor{89a}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex SequentialAssertStatement (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement}@anchor{822}
+@deffn {Class} pyGHDL.dom.Sequential.SequentialAssertStatement (assertNode, condition, message=None, severity=None, label=None)
@subsubheading Inheritance
-@image{inheritance-e921370acf1798836c4adeac08f3bdc257991736,,,[graphviz],png}
+@image{inheritance-edd6165f9c2f98fc59fe29420529ab4c942b921d,,,[graphviz],png}
@subsubheading Members
-@geindex LeftOperand() (pyGHDL.dom.Range.RangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression LeftOperand}@anchor{45a}
-@deffn {Method} property LeftOperand
+@geindex parse() (pyGHDL.dom.Sequential.SequentialAssertStatement class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement parse}@anchor{89b}
+@deffn {Method} classmethod parse (assertNode, label)
+
+@*Return type:
+@ref{822,,SequentialAssertStatement}
+
+@end deffn
+
+@geindex Condition (pyGHDL.dom.Sequential.SequentialAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Condition}@anchor{89c}
+@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Sequential.SequentialAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Label}@anchor{89d}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Message (pyGHDL.dom.Sequential.SequentialAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Message}@anchor{89e}
+@deffn {Property} Message: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
@end deffn
-@geindex Parent() (pyGHDL.dom.Range.RangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression Parent}@anchor{45b}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Sequential.SequentialAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Parent}@anchor{89f}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.SequentialAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Position}@anchor{8a0}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex RightOperand() (pyGHDL.dom.Range.RangeExpression property)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression RightOperand}@anchor{45c}
-@deffn {Method} property RightOperand
+@geindex Severity (pyGHDL.dom.Sequential.SequentialAssertStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement Severity}@anchor{8a1}
+@deffn {Property} Severity: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
@end deffn
-@geindex _FORMAT (pyGHDL.dom.Range.RangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression _FORMAT}@anchor{45d}
-@deffn {Attribute} _FORMAT: Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+@geindex _position (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _position}@anchor{8a2}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _leftOperand (pyGHDL.dom.Range.RangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression _leftOperand}@anchor{45e}
-@deffn {Attribute} _leftOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex _parent (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _parent}@anchor{8a3}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _rightOperand (pyGHDL.dom.Range.RangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression _rightOperand}@anchor{45f}
-@deffn {Attribute} _rightOperand: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex _label (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _label}@anchor{8a4}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
@end deffn
-@geindex _parent (pyGHDL.dom.Range.RangeExpression attribute)
-@anchor{pyGHDL/pyGHDL dom Range pyGHDL dom Range RangeExpression _parent}@anchor{460}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _condition (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _condition}@anchor{8a5}
+@deffn {Attribute} _condition: ExpressionUnion
@end deffn
+
+@geindex _message (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _message}@anchor{8a6}
+@deffn {Attribute} _message: ExpressionUnion
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@geindex _severity (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _severity}@anchor{8a7}
+@deffn {Attribute} _severity: ExpressionUnion
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.SequentialAssertStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialAssertStatement _iirNode}@anchor{8a8}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex SequentialReportStatement (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement}@anchor{823}
+@deffn {Class} pyGHDL.dom.Sequential.SequentialReportStatement (reportNode, message, severity=None, label=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-fb8aedfbed3408a80504debc28c314b5cda9deef,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.SequentialReportStatement class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement parse}@anchor{8a9}
+@deffn {Method} classmethod parse (reportNode, label)
+
+@*Return type:
+@ref{823,,SequentialReportStatement}
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Sequential.SequentialReportStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Label}@anchor{8aa}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Message (pyGHDL.dom.Sequential.SequentialReportStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Message}@anchor{8ab}
+@deffn {Property} Message: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.SequentialReportStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Parent}@anchor{8ac}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.SequentialReportStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Position}@anchor{8ad}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Severity (pyGHDL.dom.Sequential.SequentialReportStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement Severity}@anchor{8ae}
+@deffn {Property} Severity: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _position}@anchor{8af}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _parent}@anchor{8b0}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _label}@anchor{8b1}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _message (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _message}@anchor{8b2}
+@deffn {Attribute} _message: ExpressionUnion
+@end deffn
+
+@geindex _severity (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _severity}@anchor{8b3}
+@deffn {Attribute} _severity: ExpressionUnion
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.SequentialReportStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential SequentialReportStatement _iirNode}@anchor{8b4}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex NullStatement (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement}@anchor{824}
+@deffn {Class} pyGHDL.dom.Sequential.NullStatement (waitNode, label=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-ed7b52a693d7be033fae298be352f755831fb48c,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex Label (pyGHDL.dom.Sequential.NullStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Label}@anchor{8b5}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.NullStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Parent}@anchor{8b6}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.NullStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement Position}@anchor{8b7}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.NullStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _position}@anchor{8b8}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.NullStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _parent}@anchor{8b9}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Sequential.NullStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _label}@anchor{8ba}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.NullStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential NullStatement _iirNode}@anchor{8bb}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex WaitStatement (class in pyGHDL.dom.Sequential)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement}@anchor{825}
+@deffn {Class} pyGHDL.dom.Sequential.WaitStatement (waitNode, sensitivityList=None, condition=None, timeout=None, label=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-9e76f0f9d646afddf1e02779af181bf736ecc0fb,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Sequential.WaitStatement class method)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement parse}@anchor{8bc}
+@deffn {Method} classmethod parse (waitNode, label)
+
+@*Return type:
+@ref{825,,WaitStatement}
+
+@end deffn
+
+@geindex Condition (pyGHDL.dom.Sequential.WaitStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Condition}@anchor{8bd}
+@deffn {Property} Condition: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex Label (pyGHDL.dom.Sequential.WaitStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Label}@anchor{8be}
+@deffn {Property} Label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s label.
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Sequential.WaitStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Parent}@anchor{8bf}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Sequential.WaitStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Position}@anchor{8c0}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex SensitivityList (pyGHDL.dom.Sequential.WaitStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement SensitivityList}@anchor{8c1}
+@deffn {Property} SensitivityList: List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex Timeout (pyGHDL.dom.Sequential.WaitStatement property)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement Timeout}@anchor{8c2}
+@deffn {Property} Timeout: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, VariableSymbol, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SignalSymbol}, @code{Literal}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Sequential.WaitStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _position}@anchor{8c3}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _sensitivityList (pyGHDL.dom.Sequential.WaitStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _sensitivityList}@anchor{8c4}
+@deffn {Attribute} _sensitivityList: Optional[List[pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]]
+@end deffn
+
+@geindex _timeout (pyGHDL.dom.Sequential.WaitStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _timeout}@anchor{8c5}
+@deffn {Attribute} _timeout: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Sequential.WaitStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _parent}@anchor{8c6}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _label (pyGHDL.dom.Sequential.WaitStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _label}@anchor{8c7}
+@deffn {Attribute} _label: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The label of a model entity.
+@end deffn
+
+@geindex _condition (pyGHDL.dom.Sequential.WaitStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _condition}@anchor{8c8}
+@deffn {Attribute} _condition: ExpressionUnion
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Sequential.WaitStatement attribute)
+@anchor{pyGHDL/pyGHDL dom Sequential pyGHDL dom Sequential WaitStatement _iirNode}@anchor{8c9}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -12388,8 +21540,8 @@ ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.
@c # define a hard line break for HTML
-@node pyGHDL dom Subprogram,pyGHDL dom Symbol,pyGHDL dom Range,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Subprogram doc}@anchor{461}@anchor{pyGHDL/pyGHDL dom Subprogram module-pyGHDL dom Subprogram}@anchor{13}@anchor{pyGHDL/pyGHDL dom Subprogram pyghdl-dom-subprogram}@anchor{462}
+@node pyGHDL dom Subprogram,pyGHDL dom Symbol,pyGHDL dom Sequential,pyGHDL dom
+@anchor{pyGHDL/pyGHDL dom Subprogram doc}@anchor{8ca}@anchor{pyGHDL/pyGHDL dom Subprogram module-pyGHDL dom Subprogram}@anchor{17}@anchor{pyGHDL/pyGHDL dom Subprogram pyghdl-dom-subprogram}@anchor{8cb}
@subsection pyGHDL.dom.Subprogram
@@ -12403,273 +21555,323 @@ ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.
@itemize -
@item
-@ref{463,,Function}:
+@ref{8cc,,Function}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{464,,Procedure}:
+@ref{8cd,,Procedure}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@end itemize
@c #-----------------------------------
@geindex Function (class in pyGHDL.dom.Subprogram)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function}@anchor{463}
-@deffn {Class} pyGHDL.dom.Subprogram.Function (functionName, returnType, genericItems=None, parameterItems=None)
-
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function}@anchor{8cc}
+@deffn {Class} pyGHDL.dom.Subprogram.Function (node, functionName, returnType, genericItems=None, parameterItems=None)
@subsubheading Inheritance
-@image{inheritance-8050b9c237e416888513888a4a099514f8699513,,,[graphviz],png}
+@image{inheritance-9ce87281bce5cb20ee7f6c05227b8cce31904780,,,[graphviz],png}
@subsubheading Members
@geindex _genericItems (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _genericItems}@anchor{465}
-@deffn {Attribute} _genericItems: List[pyVHDLModel.VHDLModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _genericItems}@anchor{8ce}
+@deffn {Attribute} _genericItems: List['GenericInterfaceItem']
@end deffn
@geindex _parameterItems (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parameterItems}@anchor{466}
-@deffn {Attribute} _parameterItems: List[pyVHDLModel.VHDLModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ParameterInterfaceItem}]
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parameterItems}@anchor{8cf}
+@deffn {Attribute} _parameterItems: List['ParameterInterfaceItem']
@end deffn
@geindex _returnType (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _returnType}@anchor{467}
-@deffn {Attribute} _returnType: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _returnType}@anchor{8d0}
+@deffn {Attribute} _returnType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@end deffn
@geindex parse() (pyGHDL.dom.Subprogram.Function class method)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function parse}@anchor{468}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function parse}@anchor{8d1}
+@deffn {Method} classmethod parse (functionNode)
+
+@*Return type:
+@ref{8cc,,Function}
@end deffn
-@geindex BodyItems() (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function BodyItems}@anchor{469}
-@deffn {Method} property BodyItems
+@geindex DeclaredItems (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function DeclaredItems}@anchor{8d2}
+@deffn {Property} DeclaredItems: List
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SequentialStatement}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
@end deffn
-@geindex DeclaredItems() (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function DeclaredItems}@anchor{46a}
-@deffn {Method} property DeclaredItems
+@geindex GenericItems (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function GenericItems}@anchor{8d3}
+@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@end deffn
-@geindex GenericItems() (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function GenericItems}@anchor{46b}
-@deffn {Method} property GenericItems
+@geindex Identifier (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Identifier}@anchor{8d4}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex IsPure() (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function IsPure}@anchor{46c}
-@deffn {Method} property IsPure
+@geindex IsPure (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function IsPure}@anchor{8d5}
+@deffn {Property} IsPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
-@geindex Name() (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Name}@anchor{46d}
-@deffn {Method} property Name
-
-Returns a model entity’s name.
+@geindex ParameterItems (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function ParameterItems}@anchor{8d6}
+@deffn {Property} ParameterItems: List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
@end deffn
-@geindex ParameterItems() (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function ParameterItems}@anchor{46e}
-@deffn {Method} property ParameterItems
+@geindex Parent (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Parent}@anchor{8d7}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ParameterInterfaceItem}]
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Parent() (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Parent}@anchor{46f}
-@deffn {Method} property Parent
+@geindex Position (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Position}@anchor{8d8}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-Returns a reference to the parent entity.
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex ReturnType (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function ReturnType}@anchor{8d9}
+@deffn {Property} ReturnType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@end deffn
-@geindex ReturnType() (pyGHDL.dom.Subprogram.Function property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function ReturnType}@anchor{470}
-@deffn {Method} property ReturnType
+@geindex Statements (pyGHDL.dom.Subprogram.Function property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function Statements}@anchor{8da}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
@*Return type:
-SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
@end deffn
+@geindex _position (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _position}@anchor{8db}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
@geindex _declaredItems (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _declaredItems}@anchor{471}
-@deffn {Attribute} _declaredItems: List
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _declaredItems}@anchor{8dc}
+@deffn {Attribute} _declaredItems: List
@end deffn
-@geindex _bodyItems (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _bodyItems}@anchor{472}
-@deffn {Attribute} _bodyItems: List[pyVHDLModel.VHDLModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SequentialStatement}]
+@geindex _statements (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _statements}@anchor{8dd}
+@deffn {Attribute} _statements: List['SequentialStatement']
@end deffn
@geindex _isPure (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _isPure}@anchor{473}
-@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _isPure}@anchor{8de}
+@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex _parent (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parent}@anchor{474}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _parent}@anchor{8df}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _identifier}@anchor{8e0}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.Subprogram.Function attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _name}@anchor{475}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _iirNode (pyGHDL.dom.Subprogram.Function attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Function _iirNode}@anchor{8e1}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex Procedure (class in pyGHDL.dom.Subprogram)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure}@anchor{464}
-@deffn {Class} pyGHDL.dom.Subprogram.Procedure (procedureName, genericItems=None, parameterItems=None)
-
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure}@anchor{8cd}
+@deffn {Class} pyGHDL.dom.Subprogram.Procedure (node, procedureName, genericItems=None, parameterItems=None)
@subsubheading Inheritance
-@image{inheritance-6fc0b0b565c8c047d4afb7c4868ed4c1b22ff810,,,[graphviz],png}
+@image{inheritance-980aa6ce541dccd701ae402c05afcd2bafab28ea,,,[graphviz],png}
@subsubheading Members
@geindex _genericItems (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _genericItems}@anchor{476}
-@deffn {Attribute} _genericItems: List[pyVHDLModel.VHDLModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _genericItems}@anchor{8e2}
+@deffn {Attribute} _genericItems: List['GenericInterfaceItem']
@end deffn
@geindex _parameterItems (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parameterItems}@anchor{477}
-@deffn {Attribute} _parameterItems: List[pyVHDLModel.VHDLModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ParameterInterfaceItem}]
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parameterItems}@anchor{8e3}
+@deffn {Attribute} _parameterItems: List['ParameterInterfaceItem']
@end deffn
@geindex parse() (pyGHDL.dom.Subprogram.Procedure class method)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure parse}@anchor{478}
-@deffn {Method} classmethod parse (node)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure parse}@anchor{8e4}
+@deffn {Method} classmethod parse (procedureNode)
+
+@*Return type:
+@ref{8cd,,Procedure}
@end deffn
-@geindex BodyItems() (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure BodyItems}@anchor{479}
-@deffn {Method} property BodyItems
+@geindex DeclaredItems (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure DeclaredItems}@anchor{8e5}
+@deffn {Property} DeclaredItems: List
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SequentialStatement}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
@end deffn
-@geindex DeclaredItems() (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure DeclaredItems}@anchor{47a}
-@deffn {Method} property DeclaredItems
+@geindex GenericItems (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure GenericItems}@anchor{8e6}
+@deffn {Property} GenericItems: List[pyVHDLModel.SyntaxModel.GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}]
@end deffn
-@geindex GenericItems() (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure GenericItems}@anchor{47b}
-@deffn {Method} property GenericItems
+@geindex Identifier (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Identifier}@anchor{8e7}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}]
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex IsPure() (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure IsPure}@anchor{47c}
-@deffn {Method} property IsPure
+@geindex IsPure (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure IsPure}@anchor{8e8}
+@deffn {Property} IsPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
-@geindex Name() (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Name}@anchor{47d}
-@deffn {Method} property Name
-
-Returns a model entity’s name.
+@geindex ParameterItems (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure ParameterItems}@anchor{8e9}
+@deffn {Property} ParameterItems: List[pyVHDLModel.SyntaxModel.ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}]
@end deffn
-@geindex ParameterItems() (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure ParameterItems}@anchor{47e}
-@deffn {Method} property ParameterItems
+@geindex Parent (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Parent}@anchor{8ea}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ParameterInterfaceItem}]
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Parent() (pyGHDL.dom.Subprogram.Procedure property)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Parent}@anchor{47f}
-@deffn {Method} property Parent
+@geindex Position (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Position}@anchor{8eb}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-Returns a reference to the parent entity.
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Statements (pyGHDL.dom.Subprogram.Procedure property)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure Statements}@anchor{8ec}
+@deffn {Property} Statements: List[pyVHDLModel.SyntaxModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SequentialStatement}]
@end deffn
@geindex _isPure (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _isPure}@anchor{480}
-@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool} = False
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _isPure}@anchor{8ed}
+@deffn {Attribute} _isPure: bool@footnote{https://docs.python.org/3.6/library/functions.html#bool} = False
+@end deffn
+
+@geindex _position (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _position}@anchor{8ee}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
@geindex _declaredItems (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _declaredItems}@anchor{481}
-@deffn {Attribute} _declaredItems: List
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _declaredItems}@anchor{8ef}
+@deffn {Attribute} _declaredItems: List
@end deffn
-@geindex _bodyItems (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _bodyItems}@anchor{482}
-@deffn {Attribute} _bodyItems: List[pyVHDLModel.VHDLModel.SequentialStatement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SequentialStatement}]
+@geindex _statements (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _statements}@anchor{8f0}
+@deffn {Attribute} _statements: List['SequentialStatement']
@end deffn
@geindex _parent (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parent}@anchor{483}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _parent}@anchor{8f1}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _identifier}@anchor{8f2}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.Subprogram.Procedure attribute)
-@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _name}@anchor{484}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _iirNode (pyGHDL.dom.Subprogram.Procedure attribute)
+@anchor{pyGHDL/pyGHDL dom Subprogram pyGHDL dom Subprogram Procedure _iirNode}@anchor{8f3}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -12690,7 +21892,7 @@ ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.
@c # define a hard line break for HTML
@node pyGHDL dom Symbol,pyGHDL dom Type,pyGHDL dom Subprogram,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Symbol doc}@anchor{485}@anchor{pyGHDL/pyGHDL dom Symbol module-pyGHDL dom Symbol}@anchor{14}@anchor{pyGHDL/pyGHDL dom Symbol pyghdl-dom-symbol}@anchor{486}
+@anchor{pyGHDL/pyGHDL dom Symbol doc}@anchor{8f4}@anchor{pyGHDL/pyGHDL dom Symbol module-pyGHDL dom Symbol}@anchor{18}@anchor{pyGHDL/pyGHDL dom Symbol pyghdl-dom-symbol}@anchor{8f5}
@subsection pyGHDL.dom.Symbol
@@ -12704,474 +21906,627 @@ ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.
@itemize -
@item
-@ref{487,,EntitySymbol}:
+@ref{8f6,,EntitySymbol}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{488,,EnumerationLiteralSymbol}:
+@ref{8f7,,SimpleSubtypeSymbol}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{489,,SimpleSubTypeSymbol}:
+@ref{8f8,,ConstrainedScalarSubtypeSymbol}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{48a,,ConstrainedScalarSubTypeSymbol}:
+@ref{8f9,,ConstrainedCompositeSubtypeSymbol}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{48b,,ConstrainedCompositeSubTypeSymbol}:
+@ref{8fa,,SimpleObjectOrFunctionCallSymbol}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{48c,,SimpleObjectOrFunctionCallSymbol}:
-@code{ModelEntity} is the base class for all classes in the VHDL language model,
-
-@item
-@ref{48d,,IndexedObjectOrFunctionCallSymbol}:
+@ref{8fb,,IndexedObjectOrFunctionCallSymbol}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@end itemize
@c #-----------------------------------
@geindex EntitySymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol}@anchor{487}
-@deffn {Class} pyGHDL.dom.Symbol.EntitySymbol (entityName)
-
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol}@anchor{8f6}
+@deffn {Class} pyGHDL.dom.Symbol.EntitySymbol (node, entityName)
@subsubheading Inheritance
-@image{inheritance-7c1581b257eb195ddc1d476a4bff5bdcfae58f7d,,,[graphviz],png}
+@image{inheritance-14e3870f111856a8852977e010e25f4398c7451b,,,[graphviz],png}
@subsubheading Members
-@geindex Package() (pyGHDL.dom.Symbol.EntitySymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Package}@anchor{48e}
-@deffn {Method} property Package
+@geindex Entity (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Entity}@anchor{8fc}
+@deffn {Property} Entity: pyVHDLModel.SyntaxModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}
@*Return type:
-Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}
+Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Entity}
@end deffn
-@geindex Parent() (pyGHDL.dom.Symbol.EntitySymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Parent}@anchor{48f}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Parent}@anchor{8fd}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SymbolName() (pyGHDL.dom.Symbol.EntitySymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol SymbolName}@anchor{490}
-@deffn {Method} property SymbolName
+@geindex Position (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Position}@anchor{8fe}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@ref{200,,Position}
@end deffn
-@geindex _entity (pyGHDL.dom.Symbol.EntitySymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _entity}@anchor{491}
-@deffn {Attribute} _entity: pyVHDLModel.VHDLModel.Entity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Entity}
+@geindex Reference (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol Reference}@anchor{8ff}
+@deffn {Property} Reference: Any
+
+@*Return type:
+Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
+
+@end deffn
+
+@geindex SymbolName (pyGHDL.dom.Symbol.EntitySymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol SymbolName}@anchor{900}
+@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Symbol.EntitySymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _position}@anchor{901}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _reference (pyGHDL.dom.Symbol.EntitySymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _reference}@anchor{902}
+@deffn {Attribute} _reference: Any = None
@end deffn
@geindex _symbolName (pyGHDL.dom.Symbol.EntitySymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _symbolName}@anchor{492}
-@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _symbolName}@anchor{903}
+@deffn {Attribute} _symbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
@end deffn
-@geindex _parent (pyGHDL.dom.Symbol.EntitySymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _parent}@anchor{493}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _possibleReferences (pyGHDL.dom.Symbol.EntitySymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _possibleReferences}@anchor{904}
+@deffn {Attribute} _possibleReferences: pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
@end deffn
+
+@geindex _parent (pyGHDL.dom.Symbol.EntitySymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _parent}@anchor{905}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex EnumerationLiteralSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EnumerationLiteralSymbol}@anchor{488}
-@deffn {Class} pyGHDL.dom.Symbol.EnumerationLiteralSymbol (literalName)
+@geindex _iirNode (pyGHDL.dom.Symbol.EntitySymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EntitySymbol _iirNode}@anchor{906}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+@geindex SimpleSubtypeSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol}@anchor{8f7}
+@deffn {Class} pyGHDL.dom.Symbol.SimpleSubtypeSymbol (node, subtypeName)
@subsubheading Inheritance
-@image{inheritance-6c9ff0a70e7624738a8d4eb151e95d26c6530d0d,,,[graphviz],png}
+@image{inheritance-2953ed71a17dba267daee6fa3c754a0c1a01338e,,,[graphviz],png}
@subsubheading Members
-@geindex Parent() (pyGHDL.dom.Symbol.EnumerationLiteralSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EnumerationLiteralSymbol Parent}@anchor{494}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Parent}@anchor{907}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SymbolName() (pyGHDL.dom.Symbol.EnumerationLiteralSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EnumerationLiteralSymbol SymbolName}@anchor{495}
-@deffn {Method} property SymbolName
+@geindex Position (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Position}@anchor{908}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
-
-@end deffn
+@ref{200,,Position}
-@geindex _symbolName (pyGHDL.dom.Symbol.EnumerationLiteralSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EnumerationLiteralSymbol _symbolName}@anchor{496}
-@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
@end deffn
-@geindex _parent (pyGHDL.dom.Symbol.EnumerationLiteralSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol EnumerationLiteralSymbol _parent}@anchor{497}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
-@end deffn
-@end deffn
-
-@geindex SimpleSubTypeSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol}@anchor{489}
-@deffn {Class} pyGHDL.dom.Symbol.SimpleSubTypeSymbol (subTypeName)
-
-
-@subsubheading Inheritance
-
-@image{inheritance-331bb81a589edb21a0a9d36372ed7a34ca40484a,,,[graphviz],png}
-
-@subsubheading Members
+@geindex Reference (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Reference}@anchor{909}
+@deffn {Property} Reference: Any
+@*Return type:
+Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
-@geindex Parent() (pyGHDL.dom.Symbol.SimpleSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol Parent}@anchor{498}
-@deffn {Method} property Parent
+@end deffn
-Returns a reference to the parent entity.
+@geindex Subtype (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol Subtype}@anchor{90a}
+@deffn {Property} Subtype: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@end deffn
-@geindex SubType() (pyGHDL.dom.Symbol.SimpleSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol SubType}@anchor{499}
-@deffn {Method} property SubType
+@geindex SymbolName (pyGHDL.dom.Symbol.SimpleSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol SymbolName}@anchor{90b}
+@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
@*Return type:
-SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
@end deffn
-@geindex SymbolName() (pyGHDL.dom.Symbol.SimpleSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol SymbolName}@anchor{49a}
-@deffn {Method} property SymbolName
+@geindex _position (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _position}@anchor{90c}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
-@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@geindex _reference (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _reference}@anchor{90d}
+@deffn {Attribute} _reference: Any = None
+@end deffn
+@geindex _symbolName (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _symbolName}@anchor{90e}
+@deffn {Attribute} _symbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
@end deffn
-@geindex _subType (pyGHDL.dom.Symbol.SimpleSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol _subType}@anchor{49b}
-@deffn {Attribute} _subType: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@geindex _possibleReferences (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _possibleReferences}@anchor{90f}
+@deffn {Attribute} _possibleReferences: pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
@end deffn
-@geindex _symbolName (pyGHDL.dom.Symbol.SimpleSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol _symbolName}@anchor{49c}
-@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@geindex _parent (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _parent}@anchor{910}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _parent (pyGHDL.dom.Symbol.SimpleSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubTypeSymbol _parent}@anchor{49d}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _iirNode (pyGHDL.dom.Symbol.SimpleSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleSubtypeSymbol _iirNode}@anchor{911}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
-@geindex ConstrainedScalarSubTypeSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol}@anchor{48a}
-@deffn {Class} pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol (subTypeName, range=None)
-
+@geindex ConstrainedScalarSubtypeSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol}@anchor{8f8}
+@deffn {Class} pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol (node, subtypeName, rng=None)
@subsubheading Inheritance
-@image{inheritance-468462a23832544997e00255afc3afc0917ce9e7,,,[graphviz],png}
+@image{inheritance-0e718d7f791eda519239e31a42e7bb5720d99045,,,[graphviz],png}
@subsubheading Members
-@geindex parse() (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol class method)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol parse}@anchor{49e}
-@deffn {Method} classmethod parse (node)
-
+@geindex parse() (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol class method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol parse}@anchor{912}
+@deffn {Method} classmethod parse (node)
@end deffn
-@geindex Parent() (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol Parent}@anchor{49f}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Parent}@anchor{913}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Position}@anchor{914}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Range (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Range}@anchor{915}
+@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
+
+@*Return type:
+Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
@end deffn
-@geindex Range() (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol Range}@anchor{4a0}
-@deffn {Method} property Range
+@geindex Reference (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Reference}@anchor{916}
+@deffn {Property} Reference: Any
@*Return type:
-Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
@end deffn
-@geindex SubType() (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol SubType}@anchor{4a1}
-@deffn {Method} property SubType
+@geindex Subtype (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol Subtype}@anchor{917}
+@deffn {Property} Subtype: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@*Return type:
-SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@end deffn
-@geindex SymbolName() (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol SymbolName}@anchor{4a2}
-@deffn {Method} property SymbolName
+@geindex SymbolName (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol SymbolName}@anchor{918}
+@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
@end deffn
-@geindex _range (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol _range}@anchor{4a3}
-@deffn {Attribute} _range: pyVHDLModel.VHDLModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+@geindex _position (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _position}@anchor{919}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _subType (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol _subType}@anchor{4a4}
-@deffn {Attribute} _subType: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@geindex _reference (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _reference}@anchor{91a}
+@deffn {Attribute} _reference: Any = None
@end deffn
-@geindex _symbolName (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol _symbolName}@anchor{4a5}
-@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@geindex _range (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _range}@anchor{91b}
+@deffn {Attribute} _range: @ref{21a,,Range}
@end deffn
-@geindex _parent (pyGHDL.dom.Symbol.ConstrainedScalarSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubTypeSymbol _parent}@anchor{4a6}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _symbolName (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _symbolName}@anchor{91c}
+@deffn {Attribute} _symbolName: @ref{354,,Name}
@end deffn
+
+@geindex _possibleReferences (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _possibleReferences}@anchor{91d}
+@deffn {Attribute} _possibleReferences: PossibleReference
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _parent}@anchor{91e}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex ConstrainedCompositeSubTypeSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol}@anchor{48b}
-@deffn {Class} pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol (subTypeName, constraints=None)
+@geindex _iirNode (pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedScalarSubtypeSymbol _iirNode}@anchor{91f}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+@geindex ConstrainedCompositeSubtypeSymbol (class in pyGHDL.dom.Symbol)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol}@anchor{8f9}
+@deffn {Class} pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol (node, subtypeName, constraints=None)
@subsubheading Inheritance
-@image{inheritance-9018c253e49ed34545ebb88319b61ae41319b3b5,,,[graphviz],png}
+@image{inheritance-347c5f71d1d2a44b3b73d3ec6b1dbe05dcf3ab9e,,,[graphviz],png}
@subsubheading Members
-@geindex parse() (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol class method)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol parse}@anchor{4a7}
-@deffn {Method} classmethod parse (node)
-
+@geindex parse() (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol class method)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol parse}@anchor{920}
+@deffn {Method} classmethod parse (node)
@end deffn
-@geindex Constraints() (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol Constraints}@anchor{4a8}
-@deffn {Method} property Constraints
+@geindex Constraints (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Constraints}@anchor{921}
+@deffn {Property} Constraints: List[Union[pyVHDLModel.SyntaxModel.RangeExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeExpression}, pyVHDLModel.SyntaxModel.RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeAttribute}, pyVHDLModel.SyntaxModel.RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeSubtype}]]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[RangeExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeExpression}, RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeAttribute}, RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeSubtype}]]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[RangeExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeExpression}, RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeAttribute}, RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeSubtype}]]
@end deffn
-@geindex Parent() (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol Parent}@anchor{4a9}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Parent}@anchor{922}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Position}@anchor{923}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Reference (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Reference}@anchor{924}
+@deffn {Property} Reference: Any
+
+@*Return type:
+Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
@end deffn
-@geindex SubType() (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol SubType}@anchor{4aa}
-@deffn {Method} property SubType
+@geindex Subtype (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol Subtype}@anchor{925}
+@deffn {Property} Subtype: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@*Return type:
-SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@end deffn
-@geindex SymbolName() (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol SymbolName}@anchor{4ab}
-@deffn {Method} property SymbolName
+@geindex SymbolName (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol SymbolName}@anchor{926}
+@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _position}@anchor{927}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _reference (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _reference}@anchor{928}
+@deffn {Attribute} _reference: Any = None
+@end deffn
+@geindex _constraints (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _constraints}@anchor{929}
+@deffn {Attribute} _constraints: List[Union[RangeExpression, RangeAttribute, RangeSubtype]]
@end deffn
-@geindex _constraints (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol _constraints}@anchor{4ac}
-@deffn {Attribute} _constraints: List[Union[pyVHDLModel.VHDLModel.RangeExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeExpression}, pyVHDLModel.VHDLModel.RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeAttribute}, pyVHDLModel.VHDLModel.RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeSubtype}]]
+@geindex _symbolName (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _symbolName}@anchor{92a}
+@deffn {Attribute} _symbolName: @ref{354,,Name}
@end deffn
-@geindex _subType (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol _subType}@anchor{4ad}
-@deffn {Attribute} _subType: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@geindex _possibleReferences (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _possibleReferences}@anchor{92b}
+@deffn {Attribute} _possibleReferences: PossibleReference
@end deffn
-@geindex _symbolName (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol _symbolName}@anchor{4ae}
-@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@geindex _parent (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _parent}@anchor{92c}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _parent (pyGHDL.dom.Symbol.ConstrainedCompositeSubTypeSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubTypeSymbol _parent}@anchor{4af}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _iirNode (pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol ConstrainedCompositeSubtypeSymbol _iirNode}@anchor{92d}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex SimpleObjectOrFunctionCallSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol}@anchor{48c}
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol}@anchor{8fa}
@deffn {Class} pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol (objectName)
-
@subsubheading Inheritance
-@image{inheritance-e46f8a506234a4312c31bb105b09c07aef799fea,,,[graphviz],png}
+@image{inheritance-fd513336ec65b9b3968ac2bb31f267f78488c4f1,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol class method)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol parse}@anchor{4b0}
-@deffn {Method} classmethod parse (node)
-
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol parse}@anchor{92e}
+@deffn {Method} classmethod parse (node)
@end deffn
-@geindex Object() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Object}@anchor{4b1}
-@deffn {Method} property Object
+@geindex ObjectOrFunction (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol ObjectOrFunction}@anchor{92f}
+@deffn {Property} ObjectOrFunction: Union[pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, pyVHDLModel.SyntaxModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, pyVHDLModel.SyntaxModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}, pyVHDLModel.SyntaxModel.EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}]
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}, EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
@end deffn
-@geindex Parent() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Parent}@anchor{4b2}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Parent}@anchor{930}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Position}@anchor{931}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Reference (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol Reference}@anchor{932}
+@deffn {Property} Reference: Any
+
+@*Return type:
+Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
@end deffn
-@geindex SymbolName() (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol SymbolName}@anchor{4b3}
-@deffn {Method} property SymbolName
+@geindex SymbolName (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol SymbolName}@anchor{933}
+@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
@end deffn
-@geindex _object (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _object}@anchor{4b4}
-@deffn {Attribute} _object: Union[pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}]
+@geindex _position (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _position}@anchor{934}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _reference (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _reference}@anchor{935}
+@deffn {Attribute} _reference: Any = None
@end deffn
@geindex _symbolName (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _symbolName}@anchor{4b5}
-@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _symbolName}@anchor{936}
+@deffn {Attribute} _symbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _possibleReferences (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _possibleReferences}@anchor{937}
+@deffn {Attribute} _possibleReferences: pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
@end deffn
@geindex _parent (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _parent}@anchor{4b6}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _parent}@anchor{938}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol SimpleObjectOrFunctionCallSymbol _iirNode}@anchor{939}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex IndexedObjectOrFunctionCallSymbol (class in pyGHDL.dom.Symbol)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol}@anchor{48d}
-@deffn {Class} pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol (name, associations)
-
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol}@anchor{8fb}
+@deffn {Class} pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol (node, name)
@subsubheading Inheritance
-@image{inheritance-54687a07890b7922b08da18e1579262dcd9dd87b,,,[graphviz],png}
+@image{inheritance-0c62c19b6c948ba3f2963815f387e2b0f70fcbfa,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol class method)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol parse}@anchor{4b7}
-@deffn {Method} classmethod parse (node)
-
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol parse}@anchor{93a}
+@deffn {Method} classmethod parse (node)
@end deffn
-@geindex Object() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Object}@anchor{4b8}
-@deffn {Method} property Object
+@geindex ObjectOrFunction (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol ObjectOrFunction}@anchor{93b}
+@deffn {Property} ObjectOrFunction: Union[pyVHDLModel.SyntaxModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, pyVHDLModel.SyntaxModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, pyVHDLModel.SyntaxModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}]
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Constant}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Signal}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Variable}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]
@end deffn
-@geindex Parent() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Parent}@anchor{4b9}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Parent}@anchor{93c}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Position}@anchor{93d}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Reference (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol Reference}@anchor{93e}
+@deffn {Property} Reference: Any
+
+@*Return type:
+Any@footnote{https://docs.python.org/3.6/library/typing.html#typing.Any}
@end deffn
-@geindex SymbolName() (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol SymbolName}@anchor{4ba}
-@deffn {Method} property SymbolName
+@geindex SymbolName (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol property)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol SymbolName}@anchor{93f}
+@deffn {Property} SymbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
@*Return type:
-Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+@geindex _position (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _position}@anchor{940}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _object (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _object}@anchor{4bb}
-@deffn {Attribute} _object: Union[pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}]
+@geindex _reference (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _reference}@anchor{941}
+@deffn {Attribute} _reference: Any = None
@end deffn
@geindex _symbolName (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _symbolName}@anchor{4bc}
-@deffn {Attribute} _symbolName: pyVHDLModel.VHDLModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Name}
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _symbolName}@anchor{942}
+@deffn {Attribute} _symbolName: pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+@end deffn
+
+@geindex _possibleReferences (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _possibleReferences}@anchor{943}
+@deffn {Attribute} _possibleReferences: pyVHDLModel.PossibleReference@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.PossibleReference}
@end deffn
@geindex _parent (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
-@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _parent}@anchor{4bd}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _parent}@anchor{944}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol attribute)
+@anchor{pyGHDL/pyGHDL dom Symbol pyGHDL dom Symbol IndexedObjectOrFunctionCallSymbol _iirNode}@anchor{945}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -13192,7 +22547,7 @@ Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLMod
@c # define a hard line break for HTML
@node pyGHDL dom Type,pyGHDL dom _Translate,pyGHDL dom Symbol,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom Type doc}@anchor{4be}@anchor{pyGHDL/pyGHDL dom Type module-pyGHDL dom Type}@anchor{15}@anchor{pyGHDL/pyGHDL dom Type pyghdl-dom-type}@anchor{4bf}
+@anchor{pyGHDL/pyGHDL dom Type doc}@anchor{946}@anchor{pyGHDL/pyGHDL dom Type module-pyGHDL dom Type}@anchor{19}@anchor{pyGHDL/pyGHDL dom Type pyghdl-dom-type}@anchor{947}
@subsection pyGHDL.dom.Type
@@ -13206,564 +22561,1201 @@ Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLMod
@itemize -
@item
-@ref{4c0,,IntegerType}:
-A @code{RangedScalarType} is a base-class for all scalar types with a range.
+@ref{948,,IncompleteType}:
+@code{BaseType} is the base class of all type entities in this model.
@item
-@ref{4c1,,EnumeratedType}:
+@ref{949,,EnumeratedType}:
A @code{ScalarType} is a base-class for all scalar types.
@item
-@ref{4c2,,ArrayType}:
+@ref{94a,,IntegerType}:
+A @code{RangedScalarType} is a base-class for all scalar types with a range.
+
+@item
+@ref{94b,,PhysicalType}:
+A @code{RangedScalarType} is a base-class for all scalar types with a range.
+
+@item
+@ref{94c,,ArrayType}:
A @code{CompositeType} is a base-class for all composite types.
@item
-@ref{4c3,,RecordTypeElement}:
+@ref{94d,,RecordTypeElement}:
@code{ModelEntity} is the base class for all classes in the VHDL language model,
@item
-@ref{4c4,,RecordType}:
+@ref{94e,,RecordType}:
A @code{CompositeType} is a base-class for all composite types.
@item
-@ref{4c5,,AccessType}:
+@ref{94f,,ProtectedType}:
+@code{BaseType} is the base class of all type entities in this model.
+
+@item
+@ref{950,,ProtectedTypeBody}:
@code{BaseType} is the base class of all type entities in this model.
@item
-@ref{4c6,,SubType}:
+@ref{951,,AccessType}:
+@code{BaseType} is the base class of all type entities in this model.
+
+@item
+@ref{952,,FileType}:
+@code{BaseType} is the base class of all type entities in this model.
+
+@item
+@ref{239,,Subtype}:
@code{BaseType} is the base class of all type entities in this model.
@end itemize
@c #-----------------------------------
-@geindex IntegerType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType}@anchor{4c0}
-@deffn {Class} pyGHDL.dom.Type.IntegerType (typeName, range)
-
+@geindex IncompleteType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType}@anchor{948}
+@deffn {Class} pyGHDL.dom.Type.IncompleteType (node, identifier)
@subsubheading Inheritance
-@image{inheritance-c6d2823636b80691a9cb1beecbc8abf268482e57,,,[graphviz],png}
+@image{inheritance-500e9dc48467a7eebccdf7e2e787048ef162e4ab,,,[graphviz],png}
@subsubheading Members
-@geindex _leftBound (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _leftBound}@anchor{4c7}
-@deffn {Attribute} _leftBound: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex parse() (pyGHDL.dom.Type.IncompleteType class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType parse}@anchor{953}
+@deffn {Method} classmethod parse (node)
+
+@*Return type:
+@ref{948,,IncompleteType}
+
@end deffn
-@geindex _rightBound (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _rightBound}@anchor{4c8}
-@deffn {Attribute} _rightBound: Union[pyVHDLModel.VHDLModel.BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, pyVHDLModel.VHDLModel.QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, pyVHDLModel.VHDLModel.FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, pyVHDLModel.VHDLModel.TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, pyVHDLModel.VHDLModel.Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, pyVHDLModel.VHDLModel.ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, pyVHDLModel.VHDLModel.Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, pyVHDLModel.VHDLModel.VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, pyVHDLModel.VHDLModel.Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, pyVHDLModel.VHDLModel.SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, pyVHDLModel.VHDLModel.Literal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Literal}]
+@geindex Identifier (pyGHDL.dom.Type.IncompleteType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Identifier}@anchor{954}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
@end deffn
-@geindex LeftBound() (pyGHDL.dom.Type.IntegerType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType LeftBound}@anchor{4c9}
-@deffn {Method} property LeftBound
+@geindex Parent (pyGHDL.dom.Type.IncompleteType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Parent}@anchor{955}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex Name() (pyGHDL.dom.Type.IntegerType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Name}@anchor{4ca}
-@deffn {Method} property Name
+@geindex Position (pyGHDL.dom.Type.IncompleteType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType Position}@anchor{956}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
-Returns a model entity’s name.
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Type.IncompleteType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _position}@anchor{957}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.IncompleteType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _parent}@anchor{958}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Type.IncompleteType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _identifier}@anchor{959}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Type.IncompleteType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IncompleteType _iirNode}@anchor{95a}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex EnumeratedType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType}@anchor{949}
+@deffn {Class} pyGHDL.dom.Type.EnumeratedType (node, identifier, literals)
+
+@subsubheading Inheritance
+
+@image{inheritance-5799cdeebf1a9043c20f02ade195298a974c69ba,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Type.EnumeratedType class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType parse}@anchor{95b}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+
+@*Return type:
+@ref{949,,EnumeratedType}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Identifier}@anchor{95c}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.Type.IntegerType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Parent}@anchor{4cb}
-@deffn {Method} property Parent
+@geindex Literals (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Literals}@anchor{95d}
+@deffn {Property} Literals: List[pyVHDLModel.SyntaxModel.EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.EnumerationLiteral}]
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Parent}@anchor{95e}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex RightBound() (pyGHDL.dom.Type.IntegerType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType RightBound}@anchor{4cc}
-@deffn {Method} property RightBound
+@geindex Position (pyGHDL.dom.Type.EnumeratedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Position}@anchor{95f}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+@ref{200,,Position}
@end deffn
-@geindex _parent (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _parent}@anchor{4cd}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _position (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _position}@anchor{960}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
-@geindex _name (pyGHDL.dom.Type.IntegerType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _name}@anchor{4ce}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _literals (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _literals}@anchor{961}
+@deffn {Attribute} _literals: List[@ref{6ae,,pyGHDL.dom.Literal.EnumerationLiteral}]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _parent}@anchor{962}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
+
+@geindex _identifier (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _identifier}@anchor{963}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex EnumeratedType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType}@anchor{4c1}
-@deffn {Class} pyGHDL.dom.Type.EnumeratedType (name, literals)
+@geindex _iirNode (pyGHDL.dom.Type.EnumeratedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _iirNode}@anchor{964}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+@geindex IntegerType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType}@anchor{94a}
+@deffn {Class} pyGHDL.dom.Type.IntegerType (node, typeName, rng)
@subsubheading Inheritance
-@image{inheritance-b0b92e99a6ded95176d2d0d269bc6178a4225f34,,,[graphviz],png}
+@image{inheritance-639507d32ef8554f6ab70c6bff9ef0df04a6c3b2,,,[graphviz],png}
@subsubheading Members
-@geindex parse() (pyGHDL.dom.Type.EnumeratedType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType parse}@anchor{4cf}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+@geindex Identifier (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Identifier}@anchor{965}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Parent}@anchor{966}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Position}@anchor{967}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Range (pyGHDL.dom.Type.IntegerType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType Range}@anchor{968}
+@deffn {Property} Range: Union[pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}, pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
@*Return type:
-@ref{4c1,,EnumeratedType}
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}, Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+@geindex _position (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _position}@anchor{969}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex Literals() (pyGHDL.dom.Type.EnumeratedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Literals}@anchor{4d0}
-@deffn {Method} property Literals
+@geindex _range (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _range}@anchor{96a}
+@deffn {Attribute} _range: Union[@ref{21a,,Range}, pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+@end deffn
+
+@geindex _leftBound (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _leftBound}@anchor{96b}
+@deffn {Attribute} _leftBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _rightBound (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _rightBound}@anchor{96c}
+@deffn {Attribute} _rightBound: Union[BaseExpression, @ref{203,,QualifiedExpression}, @ref{204,,FunctionCall}, @ref{205,,TypeConversion}, @ref{206,,Constant}, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, @ref{208,,Signal}, SignalSymbol, Literal]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _parent}@anchor{96d}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _identifier}@anchor{96e}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Type.IntegerType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type IntegerType _iirNode}@anchor{96f}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex PhysicalType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType}@anchor{94b}
+@deffn {Class} pyGHDL.dom.Type.PhysicalType (node, typeName, rng, primaryUnit, units)
+
+@subsubheading Inheritance
+
+@image{inheritance-926b116ec4ead21805fb5432391cd82ec0bba76c,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Type.PhysicalType class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType parse}@anchor{970}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EnumerationLiteral}]
+@ref{94b,,PhysicalType}
@end deffn
-@geindex Name() (pyGHDL.dom.Type.EnumeratedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Name}@anchor{4d1}
-@deffn {Method} property Name
+@geindex Identifier (pyGHDL.dom.Type.PhysicalType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Identifier}@anchor{971}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s name.
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.Type.EnumeratedType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType Parent}@anchor{4d2}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Type.PhysicalType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Parent}@anchor{972}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex _literals (pyGHDL.dom.Type.EnumeratedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _literals}@anchor{4d3}
-@deffn {Attribute} _literals: List[pyVHDLModel.VHDLModel.EnumerationLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.EnumerationLiteral}]
+@geindex Position (pyGHDL.dom.Type.PhysicalType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Position}@anchor{973}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex _parent (pyGHDL.dom.Type.EnumeratedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _parent}@anchor{4d4}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex PrimaryUnit (pyGHDL.dom.Type.PhysicalType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType PrimaryUnit}@anchor{974}
+@deffn {Property} PrimaryUnit: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Range (pyGHDL.dom.Type.PhysicalType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType Range}@anchor{975}
+@deffn {Property} Range: Union[pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}, pyVHDLModel.SyntaxModel.Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}, Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}]
+
+@end deffn
+
+@geindex SecondaryUnits (pyGHDL.dom.Type.PhysicalType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType SecondaryUnits}@anchor{976}
+@deffn {Property} SecondaryUnits: List[Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, pyVHDLModel.SyntaxModel.PhysicalIntegerLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PhysicalIntegerLiteral}]]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Tuple@footnote{https://docs.python.org/3.6/library/typing.html#typing.Tuple}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, PhysicalIntegerLiteral@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PhysicalIntegerLiteral}]]
+
@end deffn
-@geindex _name (pyGHDL.dom.Type.EnumeratedType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type EnumeratedType _name}@anchor{4d5}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _position (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _position}@anchor{977}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
+
+@geindex _primaryUnit (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _primaryUnit}@anchor{978}
+@deffn {Attribute} _primaryUnit: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex ArrayType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType}@anchor{4c2}
-@deffn {Class} pyGHDL.dom.Type.ArrayType (name, indices, elementSubType)
+@geindex _secondaryUnits (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _secondaryUnits}@anchor{979}
+@deffn {Attribute} _secondaryUnits: List[Tuple[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}, @ref{6b1,,PhysicalIntegerLiteral}]]
+@end deffn
+
+@geindex _range (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _range}@anchor{97a}
+@deffn {Attribute} _range: Union['Range', @ref{354,,Name}]
+@end deffn
+
+@geindex _leftBound (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _leftBound}@anchor{97b}
+@deffn {Attribute} _leftBound: ExpressionUnion
+@end deffn
+
+@geindex _rightBound (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _rightBound}@anchor{97c}
+@deffn {Attribute} _rightBound: ExpressionUnion
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _parent}@anchor{97d}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+@geindex _identifier (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _identifier}@anchor{97e}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Type.PhysicalType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type PhysicalType _iirNode}@anchor{97f}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex ArrayType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType}@anchor{94c}
+@deffn {Class} pyGHDL.dom.Type.ArrayType (node, identifier, indices, elementSubtype)
@subsubheading Inheritance
-@image{inheritance-4bd16b4e6a85200b87b8abb3f6d02af5ba4cf9d4,,,[graphviz],png}
+@image{inheritance-8232d93bbe0e961c8d6169ed6025ca49aaa5f52d,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Type.ArrayType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType parse}@anchor{4d6}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
-
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType parse}@anchor{980}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
@*Return type:
-@ref{4c2,,ArrayType}
+@ref{94c,,ArrayType}
@end deffn
-@geindex Dimensions() (pyGHDL.dom.Type.ArrayType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Dimensions}@anchor{4d7}
-@deffn {Method} property Dimensions
+@geindex Dimensions (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Dimensions}@anchor{981}
+@deffn {Property} Dimensions: List[pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}]
@end deffn
-@geindex ElementType() (pyGHDL.dom.Type.ArrayType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType ElementType}@anchor{4d8}
-@deffn {Method} property ElementType
+@geindex ElementType (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType ElementType}@anchor{982}
+@deffn {Property} ElementType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@*Return type:
-SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@end deffn
-@geindex Name() (pyGHDL.dom.Type.ArrayType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Name}@anchor{4d9}
-@deffn {Method} property Name
+@geindex Identifier (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Identifier}@anchor{983}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s name.
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.Type.ArrayType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Parent}@anchor{4da}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Parent}@anchor{984}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
+@geindex Position (pyGHDL.dom.Type.ArrayType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType Position}@anchor{985}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Type.ArrayType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _position}@anchor{986}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
@geindex _dimensions (pyGHDL.dom.Type.ArrayType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _dimensions}@anchor{4db}
-@deffn {Attribute} _dimensions: List[pyVHDLModel.VHDLModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}]
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _dimensions}@anchor{987}
+@deffn {Attribute} _dimensions: List[@ref{21a,,pyGHDL.dom.Range.Range}]
@end deffn
@geindex _elementType (pyGHDL.dom.Type.ArrayType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _elementType}@anchor{4dc}
-@deffn {Attribute} _elementType: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _elementType}@anchor{988}
+@deffn {Attribute} _elementType: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@end deffn
@geindex _parent (pyGHDL.dom.Type.ArrayType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _parent}@anchor{4dd}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _parent}@anchor{989}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
@end deffn
-@geindex _name (pyGHDL.dom.Type.ArrayType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _name}@anchor{4de}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _identifier (pyGHDL.dom.Type.ArrayType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _identifier}@anchor{98a}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Type.ArrayType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ArrayType _iirNode}@anchor{98b}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
@end deffn
@end deffn
@geindex RecordTypeElement (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement}@anchor{4c3}
-@deffn {Class} pyGHDL.dom.Type.RecordTypeElement (name, subType)
-
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement}@anchor{94d}
+@deffn {Class} pyGHDL.dom.Type.RecordTypeElement (node, identifiers, subtype)
@subsubheading Inheritance
-@image{inheritance-fd5be61192ef25960b3e3acbab729b26b33a08f7,,,[graphviz],png}
+@image{inheritance-ac2d3cb1a43ecc6034ab849b1c67fc042f76f002,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Type.RecordTypeElement class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement parse}@anchor{4df}
-@deffn {Method} classmethod parse (elementDeclarationNode)
-
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement parse}@anchor{98c}
+@deffn {Method} classmethod parse (elementDeclarationNode)
@*Return type:
-@ref{4c3,,RecordTypeElement}
+@ref{94d,,RecordTypeElement}
@end deffn
-@geindex Name() (pyGHDL.dom.Type.RecordTypeElement property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Name}@anchor{4e0}
-@deffn {Method} property Name
+@geindex Identifiers (pyGHDL.dom.Type.RecordTypeElement property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Identifiers}@anchor{98d}
+@deffn {Property} Identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+Returns a model entity’s list of identifiers (name).
@*Return type:
-str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
-@geindex Parent() (pyGHDL.dom.Type.RecordTypeElement property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Parent}@anchor{4e1}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Type.RecordTypeElement property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Parent}@anchor{98e}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
@end deffn
-@geindex SubType() (pyGHDL.dom.Type.RecordTypeElement property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement SubType}@anchor{4e2}
-@deffn {Method} property SubType
+@geindex Position (pyGHDL.dom.Type.RecordTypeElement property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Position}@anchor{98f}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@ref{200,,Position}
@end deffn
-@geindex _name (pyGHDL.dom.Type.RecordTypeElement attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _name}@anchor{4e3}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex Subtype (pyGHDL.dom.Type.RecordTypeElement property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement Subtype}@anchor{990}
+@deffn {Property} Subtype: Union[pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, pyVHDLModel.SyntaxModel.SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Type.RecordTypeElement attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _position}@anchor{991}
+@deffn {Attribute} _position: @ref{200,,Position} = None
@end deffn
-@geindex _subType (pyGHDL.dom.Type.RecordTypeElement attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _subType}@anchor{4e4}
-@deffn {Attribute} _subType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex _subtype (pyGHDL.dom.Type.RecordTypeElement attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _subtype}@anchor{992}
+@deffn {Attribute} _subtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
@end deffn
@geindex _parent (pyGHDL.dom.Type.RecordTypeElement attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _parent}@anchor{4e5}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _parent}@anchor{993}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifiers (pyGHDL.dom.Type.RecordTypeElement attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _identifiers}@anchor{994}
+@deffn {Attribute} _identifiers: List[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+A list of identifiers.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Type.RecordTypeElement attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordTypeElement _iirNode}@anchor{995}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
@geindex RecordType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType}@anchor{4c4}
-@deffn {Class} pyGHDL.dom.Type.RecordType (name, elements=None)
-
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType}@anchor{94e}
+@deffn {Class} pyGHDL.dom.Type.RecordType (node, identifier, elements=None)
@subsubheading Inheritance
-@image{inheritance-9f27347b11f137a93a2ed60efcc02574e8f2810b,,,[graphviz],png}
+@image{inheritance-b1317c58e6d5daa9c653acbe19fca9ca91929a39,,,[graphviz],png}
@subsubheading Members
@geindex parse() (pyGHDL.dom.Type.RecordType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType parse}@anchor{4e6}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
-
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType parse}@anchor{996}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
@*Return type:
-@ref{4c4,,RecordType}
+@ref{94e,,RecordType}
@end deffn
-@geindex Elements() (pyGHDL.dom.Type.RecordType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Elements}@anchor{4e7}
-@deffn {Method} property Elements
+@geindex Elements (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Elements}@anchor{997}
+@deffn {Property} Elements: List[pyVHDLModel.SyntaxModel.RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RecordTypeElement}]
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RecordTypeElement}]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RecordTypeElement}]
@end deffn
-@geindex Name() (pyGHDL.dom.Type.RecordType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Name}@anchor{4e8}
-@deffn {Method} property Name
+@geindex Identifier (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Identifier}@anchor{998}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s name.
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.Type.RecordType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Parent}@anchor{4e9}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Parent}@anchor{999}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Type.RecordType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType Position}@anchor{99a}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+@geindex _position (pyGHDL.dom.Type.RecordType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _position}@anchor{99b}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
@geindex _elements (pyGHDL.dom.Type.RecordType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _elements}@anchor{4ea}
-@deffn {Attribute} _elements: List[pyVHDLModel.VHDLModel.RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RecordTypeElement}]
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _elements}@anchor{99c}
+@deffn {Attribute} _elements: List[pyVHDLModel.SyntaxModel.RecordTypeElement@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RecordTypeElement}]
@end deffn
@geindex _parent (pyGHDL.dom.Type.RecordType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _parent}@anchor{4eb}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _parent}@anchor{99d}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Type.RecordType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _identifier}@anchor{99e}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.Type.RecordType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _name}@anchor{4ec}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _iirNode (pyGHDL.dom.Type.RecordType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type RecordType _iirNode}@anchor{99f}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
@end deffn
@end deffn
-@geindex AccessType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType}@anchor{4c5}
-@deffn {Class} pyGHDL.dom.Type.AccessType (name, designatedSubType)
+@geindex ProtectedType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType}@anchor{94f}
+@deffn {Class} pyGHDL.dom.Type.ProtectedType (node, identifier, methods=None)
+
+@subsubheading Inheritance
+
+@image{inheritance-ccf8357a58775a283ad0d7bb00583f925af4beb8,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Type.ProtectedType class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType parse}@anchor{9a0}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+
+@*Return type:
+@ref{94f,,ProtectedType}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Type.ProtectedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Identifier}@anchor{9a1}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Methods (pyGHDL.dom.Type.ProtectedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Methods}@anchor{9a2}
+@deffn {Property} Methods: List[Union[pyVHDLModel.SyntaxModel.Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure}, pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
+
+@end deffn
+@geindex Parent (pyGHDL.dom.Type.ProtectedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Parent}@anchor{9a3}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Type.ProtectedType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType Position}@anchor{9a4}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Type.ProtectedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _position}@anchor{9a5}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _methods (pyGHDL.dom.Type.ProtectedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _methods}@anchor{9a6}
+@deffn {Attribute} _methods: List[Union[@ref{8cd,,pyGHDL.dom.Subprogram.Procedure}, @ref{8cc,,pyGHDL.dom.Subprogram.Function}]]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.ProtectedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _parent}@anchor{9a7}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Type.ProtectedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _identifier}@anchor{9a8}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Type.ProtectedType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedType _iirNode}@anchor{9a9}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex ProtectedTypeBody (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody}@anchor{950}
+@deffn {Class} pyGHDL.dom.Type.ProtectedTypeBody (node, identifier, declaredItems=None)
@subsubheading Inheritance
-@image{inheritance-7ea6aa8db1543c63bde53a88e7a808648ab57465,,,[graphviz],png}
+@image{inheritance-f3e81eb11d5f798282d3a566fee4f9ae5236ea98,,,[graphviz],png}
@subsubheading Members
-@geindex parse() (pyGHDL.dom.Type.AccessType class method)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType parse}@anchor{4ed}
-@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+@geindex parse() (pyGHDL.dom.Type.ProtectedTypeBody class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody parse}@anchor{9aa}
+@deffn {Method} classmethod parse (protectedBodyNode)
+
+@*Return type:
+@ref{950,,ProtectedTypeBody}
+
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Type.ProtectedTypeBody property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Identifier}@anchor{9ab}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Methods (pyGHDL.dom.Type.ProtectedTypeBody property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Methods}@anchor{9ac}
+@deffn {Property} Methods: List[Union[pyVHDLModel.SyntaxModel.Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure}, pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
@*Return type:
-@ref{4c5,,AccessType}
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[Procedure@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Procedure}, Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}]]
@end deffn
-@geindex DesignatedSubtype() (pyGHDL.dom.Type.AccessType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType DesignatedSubtype}@anchor{4ee}
-@deffn {Method} property DesignatedSubtype
+@geindex Parent (pyGHDL.dom.Type.ProtectedTypeBody property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Parent}@anchor{9ad}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Type.ProtectedTypeBody property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody Position}@anchor{9ae}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
@end deffn
-@geindex Name() (pyGHDL.dom.Type.AccessType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Name}@anchor{4ef}
-@deffn {Method} property Name
+@geindex _position (pyGHDL.dom.Type.ProtectedTypeBody attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _position}@anchor{9af}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
-Returns a model entity’s name.
+@geindex _methods (pyGHDL.dom.Type.ProtectedTypeBody attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _methods}@anchor{9b0}
+@deffn {Attribute} _methods: List[Union[@ref{8cd,,pyGHDL.dom.Subprogram.Procedure}, @ref{8cc,,pyGHDL.dom.Subprogram.Function}]]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.ProtectedTypeBody attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _parent}@anchor{9b1}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Type.ProtectedTypeBody attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _identifier}@anchor{9b2}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Type.ProtectedTypeBody attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type ProtectedTypeBody _iirNode}@anchor{9b3}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+@end deffn
+
+@geindex AccessType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType}@anchor{951}
+@deffn {Class} pyGHDL.dom.Type.AccessType (node, identifier, designatedSubtype)
+
+@subsubheading Inheritance
+
+@image{inheritance-064dab3157b8c532d5e3fb6281972d1070af0a7c,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex parse() (pyGHDL.dom.Type.AccessType class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType parse}@anchor{9b4}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+
+@*Return type:
+@ref{951,,AccessType}
+
+@end deffn
+
+@geindex DesignatedSubtype (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType DesignatedSubtype}@anchor{9b5}
+@deffn {Property} DesignatedSubtype
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Identifier}@anchor{9b6}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.Type.AccessType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Parent}@anchor{4f0}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Parent}@anchor{9b7}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Type.AccessType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType Position}@anchor{9b8}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex _designatedSubType (pyGHDL.dom.Type.AccessType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _designatedSubType}@anchor{4f1}
-@deffn {Attribute} _designatedSubType: Union[pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}, pyVHDLModel.VHDLModel.SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+@geindex _position (pyGHDL.dom.Type.AccessType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _position}@anchor{9b9}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _designatedSubtype (pyGHDL.dom.Type.AccessType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _designatedSubtype}@anchor{9ba}
+@deffn {Attribute} _designatedSubtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
@end deffn
@geindex _parent (pyGHDL.dom.Type.AccessType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _parent}@anchor{4f2}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _parent}@anchor{9bb}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Type.AccessType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _identifier}@anchor{9bc}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
@end deffn
-@geindex _name (pyGHDL.dom.Type.AccessType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _name}@anchor{4f3}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _iirNode (pyGHDL.dom.Type.AccessType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type AccessType _iirNode}@anchor{9bd}
+@deffn {Attribute} _iirNode: Iir
@end deffn
@end deffn
-@geindex SubType (class in pyGHDL.dom.Type)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType}@anchor{4c6}
-@deffn {Class} pyGHDL.dom.Type.SubType (subtypeName)
+@geindex FileType (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType}@anchor{952}
+@deffn {Class} pyGHDL.dom.Type.FileType (node, identifier, designatedSubtype)
+
+@subsubheading Inheritance
+
+@image{inheritance-cf3cbfc65b4030604d73f5f6daa60a9925e7aecf,,,[graphviz],png}
+
+@subsubheading Members
+
+@geindex parse() (pyGHDL.dom.Type.FileType class method)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType parse}@anchor{9be}
+@deffn {Method} classmethod parse (typeName, typeDefinitionNode)
+
+@*Return type:
+@ref{952,,FileType}
+
+@end deffn
+
+@geindex DesignatedSubtype (pyGHDL.dom.Type.FileType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType DesignatedSubtype}@anchor{9bf}
+@deffn {Property} DesignatedSubtype
+@end deffn
+
+@geindex Identifier (pyGHDL.dom.Type.FileType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Identifier}@anchor{9c0}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+Returns a model entity’s identifier (name).
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex Parent (pyGHDL.dom.Type.FileType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Parent}@anchor{9c1}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+Returns a reference to the parent entity.
+
+@*Return type:
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Type.FileType property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType Position}@anchor{9c2}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex _position (pyGHDL.dom.Type.FileType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _position}@anchor{9c3}
+@deffn {Attribute} _position: @ref{200,,Position} = None
+@end deffn
+
+@geindex _designatedSubtype (pyGHDL.dom.Type.FileType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _designatedSubtype}@anchor{9c4}
+@deffn {Attribute} _designatedSubtype: Union[@ref{239,,Subtype}, SubtypeSymbol]
+@end deffn
+
+@geindex _parent (pyGHDL.dom.Type.FileType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _parent}@anchor{9c5}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Type.FileType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _identifier}@anchor{9c6}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Type.FileType attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type FileType _iirNode}@anchor{9c7}
+@deffn {Attribute} _iirNode: Iir
+@end deffn
+@end deffn
+
+@geindex Subtype (class in pyGHDL.dom.Type)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype}@anchor{239}
+@deffn {Class} pyGHDL.dom.Type.Subtype (node, subtypeName)
@subsubheading Inheritance
-@image{inheritance-55bc46baad1f58bb4243906950d3d2e2e99aefa0,,,[graphviz],png}
+@image{inheritance-361dfe6b75c94531a9bfa267ac29d3677c36af32,,,[graphviz],png}
@subsubheading Members
-@geindex BaseType() (pyGHDL.dom.Type.SubType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType BaseType}@anchor{4f4}
-@deffn {Method} property BaseType
+@geindex BaseType (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype BaseType}@anchor{9c8}
+@deffn {Property} BaseType: pyVHDLModel.SyntaxModel.BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
@*Return type:
-<property object at 0x000002b5562de3b0>
+BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
@end deffn
-@geindex Name() (pyGHDL.dom.Type.SubType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType Name}@anchor{4f5}
-@deffn {Method} property Name
+@geindex Identifier (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Identifier}@anchor{9c9}
+@deffn {Property} Identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
-Returns a model entity’s name.
+Returns a model entity’s identifier (name).
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex Parent() (pyGHDL.dom.Type.SubType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType Parent}@anchor{4f6}
-@deffn {Method} property Parent
+@geindex Parent (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Parent}@anchor{9ca}
+@deffn {Property} Parent: pyVHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
Returns a reference to the parent entity.
@*Return type:
-ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.ModelEntity}
+
+@end deffn
+
+@geindex Position (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Position}@anchor{9cb}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
@end deffn
-@geindex Range() (pyGHDL.dom.Type.SubType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType Range}@anchor{4f7}
-@deffn {Method} property Range
+@geindex Range (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Range}@anchor{9cc}
+@deffn {Property} Range: pyVHDLModel.SyntaxModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
@*Return type:
-Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Range}
@end deffn
-@geindex ResolutionFunction() (pyGHDL.dom.Type.SubType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType ResolutionFunction}@anchor{4f8}
-@deffn {Method} property ResolutionFunction
+@geindex ResolutionFunction (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype ResolutionFunction}@anchor{9cd}
+@deffn {Property} ResolutionFunction: pyVHDLModel.SyntaxModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}
@*Return type:
-Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}
+Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Function}
@end deffn
-@geindex Type() (pyGHDL.dom.Type.SubType property)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType Type}@anchor{4f9}
-@deffn {Method} property Type
+@geindex Type (pyGHDL.dom.Type.Subtype property)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype Type}@anchor{9ce}
+@deffn {Property} Type: pyVHDLModel.SyntaxModel.Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@*Return type:
-SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+Subtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Subtype}
@end deffn
-@geindex _type (pyGHDL.dom.Type.SubType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _type}@anchor{4fa}
-@deffn {Attribute} _type: pyVHDLModel.VHDLModel.SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubType}
+@geindex _position (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _position}@anchor{9cf}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
@end deffn
-@geindex _baseType (pyGHDL.dom.Type.SubType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _baseType}@anchor{4fb}
-@deffn {Attribute} _baseType: pyVHDLModel.VHDLModel.Type@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Type}
+@geindex _type (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _type}@anchor{9d0}
+@deffn {Attribute} _type: @ref{239,,pyGHDL.dom.Type.Subtype}
@end deffn
-@geindex _range (pyGHDL.dom.Type.SubType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _range}@anchor{4fc}
-@deffn {Attribute} _range: pyVHDLModel.VHDLModel.Range@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Range}
+@geindex _baseType (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _baseType}@anchor{9d1}
+@deffn {Attribute} _baseType: pyVHDLModel.SyntaxModel.BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
@end deffn
-@geindex _resolutionFunction (pyGHDL.dom.Type.SubType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _resolutionFunction}@anchor{4fd}
-@deffn {Attribute} _resolutionFunction: pyVHDLModel.VHDLModel.Function@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Function}
+@geindex _range (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _range}@anchor{9d2}
+@deffn {Attribute} _range: @ref{21a,,pyGHDL.dom.Range.Range}
@end deffn
-@geindex _parent (pyGHDL.dom.Type.SubType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _parent}@anchor{4fe}
-@deffn {Attribute} _parent: pyVHDLModel.VHDLModel.ModelEntity@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ModelEntity}
+@geindex _resolutionFunction (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _resolutionFunction}@anchor{9d3}
+@deffn {Attribute} _resolutionFunction: @ref{8cc,,pyGHDL.dom.Subprogram.Function}
@end deffn
-@geindex _name (pyGHDL.dom.Type.SubType attribute)
-@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type SubType _name}@anchor{4ff}
-@deffn {Attribute} _name: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+@geindex _parent (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _parent}@anchor{9d4}
+@deffn {Attribute} _parent: ModelEntity
+
+Reference to a parent entity in the model.
+@end deffn
+
+@geindex _identifier (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _identifier}@anchor{9d5}
+@deffn {Attribute} _identifier: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+The identifier of a model entity.
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.Type.Subtype attribute)
+@anchor{pyGHDL/pyGHDL dom Type pyGHDL dom Type Subtype _iirNode}@anchor{9d6}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -13784,7 +23776,7 @@ SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDL
@c # define a hard line break for HTML
@node pyGHDL dom _Translate,pyGHDL dom _Utils,pyGHDL dom Type,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom _Translate doc}@anchor{500}@anchor{pyGHDL/pyGHDL dom _Translate module-pyGHDL dom _Translate}@anchor{5}@anchor{pyGHDL/pyGHDL dom _Translate pyghdl-dom-translate}@anchor{501}
+@anchor{pyGHDL/pyGHDL dom _Translate doc}@anchor{9d7}@anchor{pyGHDL/pyGHDL dom _Translate module-pyGHDL dom _Translate}@anchor{5}@anchor{pyGHDL/pyGHDL dom _Translate pyghdl-dom-translate}@anchor{9d8}
@subsection pyGHDL.dom._Translate
@@ -13798,55 +23790,63 @@ SubType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDL
@itemize -
@item
-@ref{502,,GetArrayConstraintsFromSubtypeIndication()}:
+@ref{9d9,,GetNameFromNode()}:
+Undocumented.
+
+@item
+@ref{9da,,GetArrayConstraintsFromSubtypeIndication()}:
+Undocumented.
+
+@item
+@ref{9db,,GetTypeFromNode()}:
Undocumented.
@item
-@ref{503,,GetTypeFromNode()}:
+@ref{9dc,,GetAnonymousTypeFromNode()}:
Undocumented.
@item
-@ref{504,,GetSubTypeIndicationFromNode()}:
+@ref{9dd,,GetSubtypeIndicationFromNode()}:
Undocumented.
@item
-@ref{505,,GetSubTypeIndicationFromIndicationNode()}:
+@ref{9de,,GetSubtypeIndicationFromIndicationNode()}:
Undocumented.
@item
-@ref{506,,GetSimpleTypeFromNode()}:
+@ref{9df,,GetSimpleTypeFromNode()}:
Undocumented.
@item
-@ref{507,,GetScalarConstrainedSubTypeFromNode()}:
+@ref{9e0,,GetScalarConstrainedSubtypeFromNode()}:
Undocumented.
@item
-@ref{508,,GetCompositeConstrainedSubTypeFromNode()}:
+@ref{9e1,,GetCompositeConstrainedSubtypeFromNode()}:
Undocumented.
@item
-@ref{509,,GetSubTypeFromNode()}:
+@ref{9e2,,GetSubtypeFromNode()}:
Undocumented.
@item
-@ref{50a,,GetRangeFromNode()}:
+@ref{9e3,,GetRangeFromNode()}:
Undocumented.
@item
-@ref{50b,,GetExpressionFromNode()}:
+@ref{9e4,,GetExpressionFromNode()}:
Undocumented.
@item
-@ref{50c,,GetGenericsFromChainedNodes()}:
+@ref{9e5,,GetGenericsFromChainedNodes()}:
Undocumented.
@item
-@ref{50d,,GetPortsFromChainedNodes()}:
+@ref{9e6,,GetPortsFromChainedNodes()}:
Undocumented.
@item
-@ref{50e,,GetParameterFromChainedNodes()}:
+@ref{9e7,,GetParameterFromChainedNodes()}:
Undocumented.
@end itemize
@@ -13854,137 +23854,142 @@ Undocumented.
@strong{Functions}
-@geindex GetArrayConstraintsFromSubtypeIndication() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetArrayConstraintsFromSubtypeIndication}@anchor{502}
-@deffn {Function} pyGHDL.dom._Translate.GetArrayConstraintsFromSubtypeIndication (subTypeIndication)
+@geindex GetNameFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetNameFromNode}@anchor{9d9}
+@deffn {Function} pyGHDL.dom._Translate.GetNameFromNode (node)
+
+@*Return type:
+Name@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.Name}
+
+@end deffn
+@geindex GetArrayConstraintsFromSubtypeIndication() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetArrayConstraintsFromSubtypeIndication}@anchor{9da}
+@deffn {Function} pyGHDL.dom._Translate.GetArrayConstraintsFromSubtypeIndication (subtypeIndication)
@*Return type:
-List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{451,,RangeExpression}, RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeAttribute}, RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.RangeSubtype}]]
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@code{RangeExpression}, RangeAttribute@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeAttribute}, RangeSubtype@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.RangeSubtype}]]
@end deffn
@geindex GetTypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetTypeFromNode}@anchor{503}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetTypeFromNode}@anchor{9db}
@deffn {Function} pyGHDL.dom._Translate.GetTypeFromNode (node)
-
@*Return type:
-BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseType}
+BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
@end deffn
-@geindex GetSubTypeIndicationFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubTypeIndicationFromNode}@anchor{504}
-@deffn {Function} pyGHDL.dom._Translate.GetSubTypeIndicationFromNode (node, entity, name)
-
+@geindex GetAnonymousTypeFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetAnonymousTypeFromNode}@anchor{9dc}
+@deffn {Function} pyGHDL.dom._Translate.GetAnonymousTypeFromNode (node)
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{4c6,,SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+BaseType@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.BaseType}
@end deffn
-@geindex GetSubTypeIndicationFromIndicationNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubTypeIndicationFromIndicationNode}@anchor{505}
-@deffn {Function} pyGHDL.dom._Translate.GetSubTypeIndicationFromIndicationNode (subTypeIndicationNode, entity, name)
+@geindex GetSubtypeIndicationFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeIndicationFromNode}@anchor{9dd}
+@deffn {Function} pyGHDL.dom._Translate.GetSubtypeIndicationFromNode (node, entity, name)
+
+@*Return type:
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{239,,Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
+
+@end deffn
+@geindex GetSubtypeIndicationFromIndicationNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeIndicationFromIndicationNode}@anchor{9de}
+@deffn {Function} pyGHDL.dom._Translate.GetSubtypeIndicationFromIndicationNode (subtypeIndicationNode, entity, name)
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{4c6,,SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{239,,Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
@end deffn
@geindex GetSimpleTypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSimpleTypeFromNode}@anchor{506}
-@deffn {Function} pyGHDL.dom._Translate.GetSimpleTypeFromNode (subTypeIndicationNode)
-
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSimpleTypeFromNode}@anchor{9df}
+@deffn {Function} pyGHDL.dom._Translate.GetSimpleTypeFromNode (subtypeIndicationNode)
@*Return type:
-@ref{489,,SimpleSubTypeSymbol}
+@ref{8f7,,SimpleSubtypeSymbol}
@end deffn
-@geindex GetScalarConstrainedSubTypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetScalarConstrainedSubTypeFromNode}@anchor{507}
-@deffn {Function} pyGHDL.dom._Translate.GetScalarConstrainedSubTypeFromNode (subTypeIndicationNode)
-
+@geindex GetScalarConstrainedSubtypeFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetScalarConstrainedSubtypeFromNode}@anchor{9e0}
+@deffn {Function} pyGHDL.dom._Translate.GetScalarConstrainedSubtypeFromNode (subtypeIndicationNode)
@*Return type:
-@ref{48a,,ConstrainedScalarSubTypeSymbol}
+@ref{8f8,,ConstrainedScalarSubtypeSymbol}
@end deffn
-@geindex GetCompositeConstrainedSubTypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetCompositeConstrainedSubTypeFromNode}@anchor{508}
-@deffn {Function} pyGHDL.dom._Translate.GetCompositeConstrainedSubTypeFromNode (subTypeIndicationNode)
-
+@geindex GetCompositeConstrainedSubtypeFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetCompositeConstrainedSubtypeFromNode}@anchor{9e1}
+@deffn {Function} pyGHDL.dom._Translate.GetCompositeConstrainedSubtypeFromNode (subtypeIndicationNode)
@*Return type:
-@ref{48b,,ConstrainedCompositeSubTypeSymbol}
+@ref{8f9,,ConstrainedCompositeSubtypeSymbol}
@end deffn
-@geindex GetSubTypeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubTypeFromNode}@anchor{509}
-@deffn {Function} pyGHDL.dom._Translate.GetSubTypeFromNode (node)
-
+@geindex GetSubtypeFromNode() (in module pyGHDL.dom._Translate)
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetSubtypeFromNode}@anchor{9e2}
+@deffn {Function} pyGHDL.dom._Translate.GetSubtypeFromNode (subtypeNode)
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{4c6,,SubType}, SubTypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SubTypeSymbol}]
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[@ref{239,,Subtype}, SubtypeSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.SubtypeSymbol}]
@end deffn
@geindex GetRangeFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetRangeFromNode}@anchor{50a}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetRangeFromNode}@anchor{9e3}
@deffn {Function} pyGHDL.dom._Translate.GetRangeFromNode (node)
-
@*Return type:
-@ref{450,,Range}
+@ref{21a,,Range}
@end deffn
@geindex GetExpressionFromNode() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetExpressionFromNode}@anchor{50b}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetExpressionFromNode}@anchor{9e4}
@deffn {Function} pyGHDL.dom._Translate.GetExpressionFromNode (node)
-
@*Return type:
-Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.BaseExpression}, QualifiedExpression@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.QualifiedExpression}, FunctionCall@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.FunctionCall}, TypeConversion@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.TypeConversion}, Constant@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Constant}, ConstantSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ConstantSymbol}, Variable@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Variable}, VariableSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.VariableSymbol}, Signal@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Signal}, SignalSymbol@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.SignalSymbol}, @code{Literal}]
+Union@footnote{https://docs.python.org/3.6/library/typing.html#typing.Union}[BaseExpression, @ref{203,,QualifiedExpression}, FunctionCall, TypeConversion, Constant, ConstantSymbol, @ref{207,,Variable}, VariableSymbol, Signal, SignalSymbol, Literal]
@end deffn
@geindex GetGenericsFromChainedNodes() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetGenericsFromChainedNodes}@anchor{50c}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetGenericsFromChainedNodes}@anchor{9e5}
@deffn {Function} pyGHDL.dom._Translate.GetGenericsFromChainedNodes (nodeChain)
-
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.GenericInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[GenericInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.GenericInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
@end deffn
@geindex GetPortsFromChainedNodes() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetPortsFromChainedNodes}@anchor{50d}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetPortsFromChainedNodes}@anchor{9e6}
@deffn {Function} pyGHDL.dom._Translate.GetPortsFromChainedNodes (nodeChain)
-
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.PortInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[PortInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.PortInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
@end deffn
@geindex GetParameterFromChainedNodes() (in module pyGHDL.dom._Translate)
-@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetParameterFromChainedNodes}@anchor{50e}
+@anchor{pyGHDL/pyGHDL dom _Translate pyGHDL dom _Translate GetParameterFromChainedNodes}@anchor{9e7}
@deffn {Function} pyGHDL.dom._Translate.GetParameterFromChainedNodes (nodeChain)
-
@*Return type:
-Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.ParameterInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
+Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Generator}[ParameterInterfaceItem@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.SyntaxModel.html#pyVHDLModel.SyntaxModel.ParameterInterfaceItem}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}, None@footnote{https://docs.python.org/3.6/library/constants.html#None}]
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -14005,7 +24010,7 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@c # define a hard line break for HTML
@node pyGHDL dom _Utils,pyGHDL dom formatting,pyGHDL dom _Translate,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom _Utils doc}@anchor{50f}@anchor{pyGHDL/pyGHDL dom _Utils module-pyGHDL dom _Utils}@anchor{6}@anchor{pyGHDL/pyGHDL dom _Utils pyghdl-dom-utils}@anchor{510}
+@anchor{pyGHDL/pyGHDL dom _Utils doc}@anchor{9e8}@anchor{pyGHDL/pyGHDL dom _Utils module-pyGHDL dom _Utils}@anchor{6}@anchor{pyGHDL/pyGHDL dom _Utils pyghdl-dom-utils}@anchor{9e9}
@subsection pyGHDL.dom._Utils
@@ -14019,28 +24024,20 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@itemize -
@item
-@ref{511,,CheckForErrors()}:
+@ref{9ea,,CheckForErrors()}:
Undocumented.
@item
-@ref{512,,GetIirKindOfNode()}:
+@ref{9eb,,GetIirKindOfNode()}:
Return the kind of a node in the IIR tree.
@item
-@ref{513,,GetNameOfNode()}:
+@ref{9ec,,GetNameOfNode()}:
Return the python string from node @code{node} identifier.
@item
-@ref{514,,GetSelectedName()}:
-Undocumented.
-
-@item
-@ref{515,,GetModeOfNode()}:
+@ref{9ed,,GetModeOfNode()}:
Return the mode of a @code{node}.
-
-@item
-@ref{516,,GetPositionOfNode()}:
-Return the source code position of a IIR node.
@end itemize
@c #-----------------------------------
@@ -14048,32 +24045,29 @@ Return the source code position of a IIR node.
@strong{Functions}
@geindex CheckForErrors() (in module pyGHDL.dom._Utils)
-@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils CheckForErrors}@anchor{511}
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils CheckForErrors}@anchor{9ea}
@deffn {Function} pyGHDL.dom._Utils.CheckForErrors ()
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex GetIirKindOfNode() (in module pyGHDL.dom._Utils)
-@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetIirKindOfNode}@anchor{512}
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetIirKindOfNode}@anchor{9eb}
@deffn {Function} pyGHDL.dom._Utils.GetIirKindOfNode (node)
-
Return the kind of a node in the IIR tree.
@*Return type:
-@ref{517,,Iir_Kind}
+@ref{9ee,,Iir_Kind}
@end deffn
@geindex GetNameOfNode() (in module pyGHDL.dom._Utils)
-@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetNameOfNode}@anchor{513}
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetNameOfNode}@anchor{9ec}
@deffn {Function} pyGHDL.dom._Utils.GetNameOfNode (node)
-
Return the python string from node @code{node} identifier.
@*Return type:
@@ -14081,37 +24075,18 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
-@geindex GetSelectedName() (in module pyGHDL.dom._Utils)
-@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetSelectedName}@anchor{514}
-@deffn {Function} pyGHDL.dom._Utils.GetSelectedName (node)
-
-@end deffn
-
@geindex GetModeOfNode() (in module pyGHDL.dom._Utils)
-@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetModeOfNode}@anchor{515}
+@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetModeOfNode}@anchor{9ed}
@deffn {Function} pyGHDL.dom._Utils.GetModeOfNode (node)
-
Return the mode of a @code{node}.
@*Return type:
-Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.VHDLModel.html#pyVHDLModel.VHDLModel.Mode}
-
-@end deffn
-
-@geindex GetPositionOfNode() (in module pyGHDL.dom._Utils)
-@anchor{pyGHDL/pyGHDL dom _Utils pyGHDL dom _Utils GetPositionOfNode}@anchor{516}
-@deffn {Function} pyGHDL.dom._Utils.GetPositionOfNode (node)
-
-
-Return the source code position of a IIR node.
-
-@*Return type:
-@ref{3e6,,Position}
+Mode@footnote{https://vhdl.github.io/pyVHDLModel/pyVHDLModel/pyVHDLModel.html#pyVHDLModel.Mode}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -14132,7 +24107,7 @@ Return the source code position of a IIR node.
@c # define a hard line break for HTML
@node pyGHDL dom formatting,,pyGHDL dom _Utils,pyGHDL dom
-@anchor{pyGHDL/pyGHDL dom formatting doc}@anchor{518}@anchor{pyGHDL/pyGHDL dom formatting module-pyGHDL dom formatting}@anchor{b}@anchor{pyGHDL/pyGHDL dom formatting pyghdl-dom-formatting}@anchor{519}
+@anchor{pyGHDL/pyGHDL dom formatting doc}@anchor{9ef}@anchor{pyGHDL/pyGHDL dom formatting module-pyGHDL dom formatting}@anchor{c}@anchor{pyGHDL/pyGHDL dom formatting pyghdl-dom-formatting}@anchor{9f0}
@subsection pyGHDL.dom.formatting
@@ -14142,7 +24117,7 @@ Return the source code position of a IIR node.
@strong{Submodules}
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -14168,7 +24143,7 @@ Return the source code position of a IIR node.
@end menu
@node pyGHDL dom formatting prettyprint,,,pyGHDL dom formatting
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint doc}@anchor{51a}@anchor{pyGHDL/pyGHDL dom formatting prettyprint module-pyGHDL dom formatting prettyprint}@anchor{c}@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyghdl-dom-formatting-prettyprint}@anchor{51b}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint doc}@anchor{9f1}@anchor{pyGHDL/pyGHDL dom formatting prettyprint module-pyGHDL dom formatting prettyprint}@anchor{d}@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyghdl-dom-formatting-prettyprint}@anchor{9f2}
@subsubsection pyGHDL.dom.formatting.prettyprint
@@ -14182,7 +24157,7 @@ Return the source code position of a IIR node.
@itemize -
@item
-@ref{51c,,PrettyPrintException}:
+@ref{9f3,,PrettyPrintException}:
Common base class for all non-exit exceptions.
@end itemize
@@ -14192,17 +24167,16 @@ Common base class for all non-exit exceptions.
@itemize -
@item
-@ref{51d,,PrettyPrint}:
+@ref{9f4,,PrettyPrint}:
Undocumented.
@end itemize
@c #-----------------------------------
@geindex PrettyPrintException
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException}@anchor{51c}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException}@anchor{9f3}
@deffn {Exception} pyGHDL.dom.formatting.prettyprint.PrettyPrintException
-
@subsubheading Inheritance
@image{inheritance-9a5cd1c232edda8ea3e4e442688f2af6c346be8c,,,[graphviz],png}
@@ -14211,12 +24185,21 @@ Undocumented.
@geindex args (pyGHDL.dom.formatting.prettyprint.PrettyPrintException attribute)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException args}@anchor{51e}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException args}@anchor{9f5}
@deffn {Attribute} args
@end deffn
+@geindex message (pyGHDL.dom.formatting.prettyprint.PrettyPrintException property)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException message}@anchor{9f6}
+@deffn {Property} message: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
@geindex with_traceback() (pyGHDL.dom.formatting.prettyprint.PrettyPrintException method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException with_traceback}@anchor{51f}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrintException with_traceback}@anchor{9f7}
@deffn {Method} with_traceback ()
Exception.with_traceback(tb) –
@@ -14227,10 +24210,9 @@ set self.__traceback__ to tb and return self.
@c #-----------------------------------
@geindex PrettyPrint (class in pyGHDL.dom.formatting.prettyprint)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint}@anchor{51d}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint}@anchor{9f4}
@deffn {Class} pyGHDL.dom.formatting.prettyprint.PrettyPrint
-
@subsubheading Inheritance
@image{inheritance-93e3ff65b7a5afee480d69c25c7c7561bd482534,,,[graphviz],png}
@@ -14239,69 +24221,71 @@ set self.__traceback__ to tb and return self.
@geindex formatDesign() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDesign}@anchor{520}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDesign}@anchor{9f8}
@deffn {Method} formatDesign (design, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatLibrary() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatLibrary}@anchor{521}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatLibrary}@anchor{9f9}
@deffn {Method} formatLibrary (library, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatDocument() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDocument}@anchor{522}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDocument}@anchor{9fa}
@deffn {Method} formatDocument (document, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatEntity() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatEntity}@anchor{523}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatEntity}@anchor{9fb}
@deffn {Method} formatEntity (entity, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatArchitecture() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatArchitecture}@anchor{524}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatArchitecture}@anchor{9fc}
@deffn {Method} formatArchitecture (architecture, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatComponent() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatComponent}@anchor{525}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatComponent}@anchor{9fd}
@deffn {Method} formatComponent (component, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatPackage() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackage}@anchor{526}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackage}@anchor{9fe}
@deffn {Method} formatPackage (package, level=0)
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatPackageInstance() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackageInstance}@anchor{9ff}
+@deffn {Method} formatPackageInstance (package, level=0)
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@@ -14309,59 +24293,62 @@ List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@f
@end deffn
@geindex formatPackageBody() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackageBody}@anchor{527}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPackageBody}@anchor{a00}
@deffn {Method} formatPackageBody (packageBody, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatConfiguration() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatConfiguration}@anchor{528}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatConfiguration}@anchor{a01}
@deffn {Method} formatConfiguration (configuration, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatContext() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatContext}@anchor{529}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatContext}@anchor{a02}
@deffn {Method} formatContext (context, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatGeneric() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGeneric}@anchor{52a}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGeneric}@anchor{a03}
@deffn {Method} formatGeneric (generic, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatPort() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPort}@anchor{52b}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPort}@anchor{a04}
@deffn {Method} formatPort (port, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatGenericConstant() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGenericConstant}@anchor{52c}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGenericConstant}@anchor{a05}
@deffn {Method} formatGenericConstant (generic, level=0)
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
+
+@geindex formatGenericType() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatGenericType}@anchor{a06}
+@deffn {Method} formatGenericType (generic, level=0)
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@@ -14369,39 +24356,35 @@ List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@f
@end deffn
@geindex formatPortSignal() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPortSignal}@anchor{52d}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatPortSignal}@anchor{a07}
@deffn {Method} formatPortSignal (port, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatDeclaredItems() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDeclaredItems}@anchor{52e}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatDeclaredItems}@anchor{a08}
@deffn {Method} formatDeclaredItems (item, level=0)
-
@*Return type:
List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
@end deffn
@geindex formatType() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatType}@anchor{52f}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatType}@anchor{a09}
@deffn {Method} formatType (item)
-
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex formatSubtypeIndication() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatSubtypeIndication}@anchor{530}
-@deffn {Method} formatSubtypeIndication (subTypeIndication, entity, name)
-
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatSubtypeIndication}@anchor{a0a}
+@deffn {Method} formatSubtypeIndication (subtypeIndication, entity, name)
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@@ -14409,21 +24392,189 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex formatInitialValue() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
-@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatInitialValue}@anchor{531}
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatInitialValue}@anchor{a0b}
@deffn {Method} formatInitialValue (item)
-
@*Return type:
str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
+
+@geindex formatHierarchy() (pyGHDL.dom.formatting.prettyprint.PrettyPrint method)
+@anchor{pyGHDL/pyGHDL dom formatting prettyprint pyGHDL dom formatting prettyprint PrettyPrint formatHierarchy}@anchor{a0c}
+@deffn {Method} formatHierarchy (statement, level=0)
+
+@*Return type:
+List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}]
+
+@end deffn
@end deffn
@c #-----------------------------------
@c #-----------------------------------
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@strong{Exceptions}
+
+
+@itemize -
+
+@item
+@ref{a0d,,DOMException}:
+Common base class for all non-exit exceptions.
+@end itemize
+
+@strong{Classes}
+
+
+@itemize -
+
+@item
+@ref{200,,Position}:
+Represents the source code position of a IIR node in a source file.
+
+@item
+@ref{a0e,,DOMMixin}:
+Undocumented.
+@end itemize
+
+@c #-----------------------------------
+
+@geindex DOMException
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException}@anchor{a0d}
+@deffn {Exception} pyGHDL.dom.DOMException
+
+@subsubheading Inheritance
+
+@image{inheritance-93f424b1ba474a6f928b2018f2248080e43f5ba3,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex args (pyGHDL.dom.DOMException attribute)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException args}@anchor{a0f}
+@deffn {Attribute} args
+@end deffn
+
+@geindex message (pyGHDL.dom.DOMException property)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException message}@anchor{a10}
+@deffn {Property} message: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
+@geindex with_traceback() (pyGHDL.dom.DOMException method)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMException with_traceback}@anchor{a11}
+@deffn {Method} with_traceback ()
+
+Exception.with_traceback(tb) –
+set self.__traceback__ to tb and return self.
+@end deffn
+@end deffn
+
+@c #-----------------------------------
+
+@geindex Position (class in pyGHDL.dom)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position}@anchor{200}
+@deffn {Class} pyGHDL.dom.Position (filename, line, column)
+
+Represents the source code position of a IIR node in a source file.
+
+@subsubheading Inheritance
+
+@image{inheritance-4b5afd35d9df916605d322656e081f559d4a5272,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _filename (pyGHDL.dom.Position attribute)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position _filename}@anchor{a12}
+@deffn {Attribute} _filename: pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
+@end deffn
+
+@geindex _line (pyGHDL.dom.Position attribute)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position _line}@anchor{a13}
+@deffn {Attribute} _line: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@end deffn
+
+@geindex _column (pyGHDL.dom.Position attribute)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position _column}@anchor{a14}
+@deffn {Attribute} _column: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+@end deffn
+
+@geindex parse() (pyGHDL.dom.Position class method)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position parse}@anchor{a15}
+@deffn {Method} classmethod parse (node)
+
+Return the source code position of a IIR node.
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+
+@geindex Filename (pyGHDL.dom.Position property)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position Filename}@anchor{a16}
+@deffn {Property} Filename: pathlib.Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
+
+@*Return type:
+Path@footnote{https://docs.python.org/3.6/library/pathlib.html#pathlib.Path}
+
+@end deffn
+
+@geindex Line (pyGHDL.dom.Position property)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position Line}@anchor{a17}
+@deffn {Property} Line: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+
+@geindex Column (pyGHDL.dom.Position property)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom Position Column}@anchor{a18}
+@deffn {Property} Column: int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+@end deffn
+@end deffn
+
+@geindex DOMMixin (class in pyGHDL.dom)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin}@anchor{a0e}
+@deffn {Class} pyGHDL.dom.DOMMixin (node)
+
+@subsubheading Inheritance
+
+@image{inheritance-8cf43656846524342e366fbfbd5977a2f0c24e01,,,[graphviz],png}
+
+@subsubheading Members
+
+
+@geindex _position (pyGHDL.dom.DOMMixin attribute)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin _position}@anchor{a19}
+@deffn {Attribute} _position: @ref{200,,pyGHDL.dom.Position} = None
+@end deffn
+
+@geindex _iirNode (pyGHDL.dom.DOMMixin attribute)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin _iirNode}@anchor{a1a}
+@deffn {Attribute} _iirNode: pyGHDL.libghdl._types.Iir
+@end deffn
+
+@geindex Position (pyGHDL.dom.DOMMixin property)
+@anchor{pyGHDL/pyGHDL dom pyGHDL dom DOMMixin Position}@anchor{a1b}
+@deffn {Property} Position: @ref{200,,pyGHDL.dom.Position}
+
+@*Return type:
+@ref{200,,Position}
+
+@end deffn
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -14444,7 +24595,7 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@c # define a hard line break for HTML
@node pyGHDL libghdl,pyGHDL lsp,pyGHDL dom,pyGHDL
-@anchor{pyGHDL/pyGHDL libghdl doc}@anchor{532}@anchor{pyGHDL/pyGHDL libghdl module-pyGHDL libghdl}@anchor{16}@anchor{pyGHDL/pyGHDL libghdl pyghdl-libghdl}@anchor{533}
+@anchor{pyGHDL/pyGHDL libghdl doc}@anchor{a1c}@anchor{pyGHDL/pyGHDL libghdl module-pyGHDL libghdl}@anchor{1a}@anchor{pyGHDL/pyGHDL libghdl pyghdl-libghdl}@anchor{a1d}
@section pyGHDL.libghdl
@@ -14454,7 +24605,7 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@strong{Submodules}
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -14486,14 +24637,14 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
* pyGHDL.libghdl.libraries: pyGHDL libghdl libraries.
* pyGHDL.libghdl.name_table: pyGHDL libghdl name_table.
* pyGHDL.libghdl.std_names: pyGHDL libghdl std_names.
+* pyGHDL.libghdl.str_table: pyGHDL libghdl str_table.
* pyGHDL.libghdl.utils: pyGHDL libghdl utils.
-* pyGHDL.libghdl.version: pyGHDL libghdl version.
* pyGHDL.libghdl.vhdl: pyGHDL libghdl vhdl.
@end menu
@node pyGHDL libghdl _decorator,pyGHDL libghdl _types,,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl _decorator doc}@anchor{534}@anchor{pyGHDL/pyGHDL libghdl _decorator module-pyGHDL libghdl _decorator}@anchor{17}@anchor{pyGHDL/pyGHDL libghdl _decorator pyghdl-libghdl-decorator}@anchor{535}
+@anchor{pyGHDL/pyGHDL libghdl _decorator doc}@anchor{a1e}@anchor{pyGHDL/pyGHDL libghdl _decorator module-pyGHDL libghdl _decorator}@anchor{1b}@anchor{pyGHDL/pyGHDL libghdl _decorator pyghdl-libghdl-decorator}@anchor{a1f}
@subsection pyGHDL.libghdl._decorator
@@ -14507,7 +24658,7 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@itemize -
@item
-@ref{536,,EnumLookupTable()}:
+@ref{a20,,EnumLookupTable()}:
Decorator to precalculate a enum lookup table (LUT) for enum position to
@end itemize
@@ -14516,10 +24667,9 @@ Decorator to precalculate a enum lookup table (LUT) for enum position to
@strong{Functions}
@geindex EnumLookupTable() (in module pyGHDL.libghdl._decorator)
-@anchor{pyGHDL/pyGHDL libghdl _decorator pyGHDL libghdl _decorator EnumLookupTable}@anchor{536}
+@anchor{pyGHDL/pyGHDL libghdl _decorator pyGHDL libghdl _decorator EnumLookupTable}@anchor{a20}
@deffn {Function} pyGHDL.libghdl._decorator.EnumLookupTable (cls)
-
Decorator to precalculate a enum lookup table (LUT) for enum position to
enum literal name.
@@ -14532,7 +24682,7 @@ Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callabl
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -14553,7 +24703,7 @@ Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callabl
@c # define a hard line break for HTML
@node pyGHDL libghdl _types,pyGHDL libghdl errorout,pyGHDL libghdl _decorator,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl _types doc}@anchor{537}@anchor{pyGHDL/pyGHDL libghdl _types module-pyGHDL libghdl _types}@anchor{18}@anchor{pyGHDL/pyGHDL libghdl _types pyghdl-libghdl-types}@anchor{538}
+@anchor{pyGHDL/pyGHDL libghdl _types doc}@anchor{a21}@anchor{pyGHDL/pyGHDL libghdl _types module-pyGHDL libghdl _types}@anchor{1c}@anchor{pyGHDL/pyGHDL libghdl _types pyghdl-libghdl-types}@anchor{a22}
@subsection pyGHDL.libghdl._types
@@ -14567,22 +24717,22 @@ Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callabl
@itemize -
@item
-@ref{539,,ErrorIndex}
+@ref{a23,,ErrorIndex}
@item
-@ref{53a,,MessageIdWarnings}
+@ref{a24,,MessageIdWarnings}
@item
-@ref{53b,,NameId}
+@ref{a25,,NameId}
@item
-@ref{53c,,SourceFileEntry}
+@ref{a26,,SourceFileEntry}
@item
-@ref{53d,,Iir}
+@ref{a27,,Iir}
@item
-@ref{53e,,IirKind}
+@ref{a28,,IirKind}
@end itemize
@strong{Classes}
@@ -14591,411 +24741,86 @@ Callable@footnote{https://docs.python.org/3.6/library/typing.html#typing.Callabl
@itemize -
@item
-@ref{53f,,TriStateType}:
+@ref{a29,,TriStateType}:
An enumeration.
@item
-@ref{540,,DirectionType}:
+@ref{a2a,,DirectionType}:
An enumeration.
@end itemize
@geindex ErrorIndex (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types ErrorIndex}@anchor{539}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types ErrorIndex}@anchor{a23}
@deffn {Data} pyGHDL.libghdl._types.ErrorIndex
-Type variable.
-
-Usage:
-
-@example
-T = TypeVar('T') # Can be anything
-A = TypeVar('A', str, bytes) # Must be str or bytes
-@end example
-
-Type variables exist primarily for the benefit of static type
-checkers. They serve as the parameters for generic types as well
-as for generic function definitions. See class Generic for more
-information on generic types. Generic functions work as follows:
-
-@quotation
-
-
-@table @asis
-
-@item def repeat(x: T, n: int) -> List[T]:
-
-‘’’Return a list containing n references to x.’’’
-return [x]*n
-
-@item def longest(x: A, y: A) -> A:
-
-‘’’Return the longest of two strings.’’’
-return x if len(x) >= len(y) else y
-@end table
-@end quotation
-
-The latter example’s signature is essentially the overloading
-of (str, str) -> str and (bytes, bytes) -> bytes. Also note
-that if the arguments are instances of some subclass of str,
-the return type is still plain str.
-
-At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
-
-Type variables defined with covariant=True or contravariant=True
-can be used to declare covariant or contravariant generic types.
-See PEP 484 for more details. By default generic types are invariant
-in all type variables.
-
-Type variables can be introspected. e.g.:
-
-@quotation
-
-T.__name__ == ‘T’
-T.__constraints__ == ()
-T.__covariant__ == False
-T.__contravariant__ = False
-A.__constraints__ == (str, bytes)
-@end quotation
-
-Note that only type variables defined in global scope can be pickled.
-
@example
~ErrorIndex
@end example
+
+alias of TypeVar(‘ErrorIndex’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex MessageIdWarnings (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types MessageIdWarnings}@anchor{53a}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types MessageIdWarnings}@anchor{a24}
@deffn {Data} pyGHDL.libghdl._types.MessageIdWarnings
-Type variable.
-
-Usage:
-
-@example
-T = TypeVar('T') # Can be anything
-A = TypeVar('A', str, bytes) # Must be str or bytes
-@end example
-
-Type variables exist primarily for the benefit of static type
-checkers. They serve as the parameters for generic types as well
-as for generic function definitions. See class Generic for more
-information on generic types. Generic functions work as follows:
-
-@quotation
-
-
-@table @asis
-
-@item def repeat(x: T, n: int) -> List[T]:
-
-‘’’Return a list containing n references to x.’’’
-return [x]*n
-
-@item def longest(x: A, y: A) -> A:
-
-‘’’Return the longest of two strings.’’’
-return x if len(x) >= len(y) else y
-@end table
-@end quotation
-
-The latter example’s signature is essentially the overloading
-of (str, str) -> str and (bytes, bytes) -> bytes. Also note
-that if the arguments are instances of some subclass of str,
-the return type is still plain str.
-
-At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
-
-Type variables defined with covariant=True or contravariant=True
-can be used to declare covariant or contravariant generic types.
-See PEP 484 for more details. By default generic types are invariant
-in all type variables.
-
-Type variables can be introspected. e.g.:
-
-@quotation
-
-T.__name__ == ‘T’
-T.__constraints__ == ()
-T.__covariant__ == False
-T.__contravariant__ = False
-A.__constraints__ == (str, bytes)
-@end quotation
-
-Note that only type variables defined in global scope can be pickled.
-
@example
~MessageIdWarnings
@end example
+
+alias of TypeVar(‘MessageIdWarnings’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex NameId (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types NameId}@anchor{53b}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types NameId}@anchor{a25}
@deffn {Data} pyGHDL.libghdl._types.NameId
-Type variable.
-
-Usage:
-
-@example
-T = TypeVar('T') # Can be anything
-A = TypeVar('A', str, bytes) # Must be str or bytes
-@end example
-
-Type variables exist primarily for the benefit of static type
-checkers. They serve as the parameters for generic types as well
-as for generic function definitions. See class Generic for more
-information on generic types. Generic functions work as follows:
-
-@quotation
-
-
-@table @asis
-
-@item def repeat(x: T, n: int) -> List[T]:
-
-‘’’Return a list containing n references to x.’’’
-return [x]*n
-
-@item def longest(x: A, y: A) -> A:
-
-‘’’Return the longest of two strings.’’’
-return x if len(x) >= len(y) else y
-@end table
-@end quotation
-
-The latter example’s signature is essentially the overloading
-of (str, str) -> str and (bytes, bytes) -> bytes. Also note
-that if the arguments are instances of some subclass of str,
-the return type is still plain str.
-
-At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
-
-Type variables defined with covariant=True or contravariant=True
-can be used to declare covariant or contravariant generic types.
-See PEP 484 for more details. By default generic types are invariant
-in all type variables.
-
-Type variables can be introspected. e.g.:
-
-@quotation
-
-T.__name__ == ‘T’
-T.__constraints__ == ()
-T.__covariant__ == False
-T.__contravariant__ = False
-A.__constraints__ == (str, bytes)
-@end quotation
-
-Note that only type variables defined in global scope can be pickled.
-
@example
~NameId
@end example
+
+alias of TypeVar(‘NameId’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex SourceFileEntry (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types SourceFileEntry}@anchor{53c}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types SourceFileEntry}@anchor{a26}
@deffn {Data} pyGHDL.libghdl._types.SourceFileEntry
-Type variable.
-
-Usage:
-
-@example
-T = TypeVar('T') # Can be anything
-A = TypeVar('A', str, bytes) # Must be str or bytes
-@end example
-
-Type variables exist primarily for the benefit of static type
-checkers. They serve as the parameters for generic types as well
-as for generic function definitions. See class Generic for more
-information on generic types. Generic functions work as follows:
-
-@quotation
-
-
-@table @asis
-
-@item def repeat(x: T, n: int) -> List[T]:
-
-‘’’Return a list containing n references to x.’’’
-return [x]*n
-
-@item def longest(x: A, y: A) -> A:
-
-‘’’Return the longest of two strings.’’’
-return x if len(x) >= len(y) else y
-@end table
-@end quotation
-
-The latter example’s signature is essentially the overloading
-of (str, str) -> str and (bytes, bytes) -> bytes. Also note
-that if the arguments are instances of some subclass of str,
-the return type is still plain str.
-
-At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
-
-Type variables defined with covariant=True or contravariant=True
-can be used to declare covariant or contravariant generic types.
-See PEP 484 for more details. By default generic types are invariant
-in all type variables.
-
-Type variables can be introspected. e.g.:
-
-@quotation
-
-T.__name__ == ‘T’
-T.__constraints__ == ()
-T.__covariant__ == False
-T.__contravariant__ = False
-A.__constraints__ == (str, bytes)
-@end quotation
-
-Note that only type variables defined in global scope can be pickled.
-
@example
~SourceFileEntry
@end example
+
+alias of TypeVar(‘SourceFileEntry’, bound=ctypes.c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@end deffn
@geindex Iir (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types Iir}@anchor{53d}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types Iir}@anchor{a27}
@deffn {Data} pyGHDL.libghdl._types.Iir
-Type variable.
-
-Usage:
-
-@example
-T = TypeVar('T') # Can be anything
-A = TypeVar('A', str, bytes) # Must be str or bytes
-@end example
-
-Type variables exist primarily for the benefit of static type
-checkers. They serve as the parameters for generic types as well
-as for generic function definitions. See class Generic for more
-information on generic types. Generic functions work as follows:
-
-@quotation
-
-
-@table @asis
-
-@item def repeat(x: T, n: int) -> List[T]:
-
-‘’’Return a list containing n references to x.’’’
-return [x]*n
-
-@item def longest(x: A, y: A) -> A:
-
-‘’’Return the longest of two strings.’’’
-return x if len(x) >= len(y) else y
-@end table
-@end quotation
-
-The latter example’s signature is essentially the overloading
-of (str, str) -> str and (bytes, bytes) -> bytes. Also note
-that if the arguments are instances of some subclass of str,
-the return type is still plain str.
-
-At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
-
-Type variables defined with covariant=True or contravariant=True
-can be used to declare covariant or contravariant generic types.
-See PEP 484 for more details. By default generic types are invariant
-in all type variables.
-
-Type variables can be introspected. e.g.:
-
-@quotation
-
-T.__name__ == ‘T’
-T.__constraints__ == ()
-T.__covariant__ == False
-T.__contravariant__ = False
-A.__constraints__ == (str, bytes)
-@end quotation
-
-Note that only type variables defined in global scope can be pickled.
-
@example
~Iir
@end example
+
+alias of TypeVar(‘Iir’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex IirKind (in module pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types IirKind}@anchor{53e}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types IirKind}@anchor{a28}
@deffn {Data} pyGHDL.libghdl._types.IirKind
-Type variable.
-
-Usage:
-
-@example
-T = TypeVar('T') # Can be anything
-A = TypeVar('A', str, bytes) # Must be str or bytes
-@end example
-
-Type variables exist primarily for the benefit of static type
-checkers. They serve as the parameters for generic types as well
-as for generic function definitions. See class Generic for more
-information on generic types. Generic functions work as follows:
-
-@quotation
-
-
-@table @asis
-
-@item def repeat(x: T, n: int) -> List[T]:
-
-‘’’Return a list containing n references to x.’’’
-return [x]*n
-
-@item def longest(x: A, y: A) -> A:
-
-‘’’Return the longest of two strings.’’’
-return x if len(x) >= len(y) else y
-@end table
-@end quotation
-
-The latter example’s signature is essentially the overloading
-of (str, str) -> str and (bytes, bytes) -> bytes. Also note
-that if the arguments are instances of some subclass of str,
-the return type is still plain str.
-
-At runtime, isinstance(x, T) and issubclass(C, T) will raise TypeError.
-
-Type variables defined with covariant=True or contravariant=True
-can be used to declare covariant or contravariant generic types.
-See PEP 484 for more details. By default generic types are invariant
-in all type variables.
-
-Type variables can be introspected. e.g.:
-
-@quotation
-
-T.__name__ == ‘T’
-T.__constraints__ == ()
-T.__covariant__ == False
-T.__contravariant__ = False
-A.__constraints__ == (str, bytes)
-@end quotation
-
-Note that only type variables defined in global scope can be pickled.
-
@example
~IirKind
@end example
+
+alias of TypeVar(‘IirKind’, bound=ctypes.c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@c #-----------------------------------
@geindex TriStateType (class in pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType}@anchor{53f}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType}@anchor{a29}
@deffn {Class} pyGHDL.libghdl._types.TriStateType (value)
-
An enumeration.
@subsubheading Inheritance
@@ -15006,26 +24831,25 @@ An enumeration.
@geindex Unknown (pyGHDL.libghdl._types.TriStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType Unknown}@anchor{541}
-@deffn {Attribute} Unknown = 0
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType Unknown}@anchor{a2b}
+@deffn {Attribute} Unknown = 0
@end deffn
@geindex TFalse (pyGHDL.libghdl._types.TriStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType TFalse}@anchor{542}
-@deffn {Attribute} TFalse = 1
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType TFalse}@anchor{a2c}
+@deffn {Attribute} TFalse = 1
@end deffn
@geindex TTrue (pyGHDL.libghdl._types.TriStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType TTrue}@anchor{543}
-@deffn {Attribute} TTrue = 2
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types TriStateType TTrue}@anchor{a2d}
+@deffn {Attribute} TTrue = 2
@end deffn
@end deffn
@geindex DirectionType (class in pyGHDL.libghdl._types)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType}@anchor{540}
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType}@anchor{a2a}
@deffn {Class} pyGHDL.libghdl._types.DirectionType (value)
-
An enumeration.
@subsubheading Inheritance
@@ -15036,17 +24860,17 @@ An enumeration.
@geindex To (pyGHDL.libghdl._types.DirectionType attribute)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType To}@anchor{544}
-@deffn {Attribute} To = 0
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType To}@anchor{a2e}
+@deffn {Attribute} To = 0
@end deffn
@geindex Downto (pyGHDL.libghdl._types.DirectionType attribute)
-@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType Downto}@anchor{545}
-@deffn {Attribute} Downto = 1
+@anchor{pyGHDL/pyGHDL libghdl _types pyGHDL libghdl _types DirectionType Downto}@anchor{a2f}
+@deffn {Attribute} Downto = 1
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -15067,7 +24891,7 @@ An enumeration.
@c # define a hard line break for HTML
@node pyGHDL libghdl errorout,pyGHDL libghdl errorout_console,pyGHDL libghdl _types,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl errorout doc}@anchor{546}@anchor{pyGHDL/pyGHDL libghdl errorout module-pyGHDL libghdl errorout}@anchor{19}@anchor{pyGHDL/pyGHDL libghdl errorout pyghdl-libghdl-errorout}@anchor{547}
+@anchor{pyGHDL/pyGHDL libghdl errorout doc}@anchor{a30}@anchor{pyGHDL/pyGHDL libghdl errorout module-pyGHDL libghdl errorout}@anchor{1d}@anchor{pyGHDL/pyGHDL libghdl errorout pyghdl-libghdl-errorout}@anchor{a31}
@subsection pyGHDL.libghdl.errorout
@@ -15081,7 +24905,7 @@ An enumeration.
@itemize -
@item
-@ref{548,,Msgid}:
+@ref{a32,,Msgid}:
An enumeration.
@end itemize
@@ -15091,16 +24915,15 @@ An enumeration.
@itemize -
@item
-@ref{549,,Enable_Warning()}:
+@ref{a33,,Enable_Warning()}:
@end itemize
@c #-----------------------------------
@geindex Msgid (class in pyGHDL.libghdl.errorout)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid}@anchor{548}
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid}@anchor{a32}
@deffn {Class} pyGHDL.libghdl.errorout.Msgid (value)
-
An enumeration.
@subsubheading Inheritance
@@ -15111,168 +24934,173 @@ An enumeration.
@geindex Msgid_Note (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Note}@anchor{54a}
-@deffn {Attribute} Msgid_Note = 0
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Note}@anchor{a34}
+@deffn {Attribute} Msgid_Note = 0
@end deffn
@geindex Warnid_Library (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Library}@anchor{54b}
-@deffn {Attribute} Warnid_Library = 1
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Library}@anchor{a35}
+@deffn {Attribute} Warnid_Library = 1
@end deffn
@geindex Warnid_Deprecated_Option (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Deprecated_Option}@anchor{54c}
-@deffn {Attribute} Warnid_Deprecated_Option = 2
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Deprecated_Option}@anchor{a36}
+@deffn {Attribute} Warnid_Deprecated_Option = 2
@end deffn
@geindex Warnid_Unexpected_Option (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Unexpected_Option}@anchor{54d}
-@deffn {Attribute} Warnid_Unexpected_Option = 3
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Unexpected_Option}@anchor{a37}
+@deffn {Attribute} Warnid_Unexpected_Option = 3
@end deffn
@geindex Warnid_Missing_Xref (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Missing_Xref}@anchor{54e}
-@deffn {Attribute} Warnid_Missing_Xref = 4
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Missing_Xref}@anchor{a38}
+@deffn {Attribute} Warnid_Missing_Xref = 4
@end deffn
@geindex Warnid_Default_Binding (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Default_Binding}@anchor{54f}
-@deffn {Attribute} Warnid_Default_Binding = 5
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Default_Binding}@anchor{a39}
+@deffn {Attribute} Warnid_Default_Binding = 5
@end deffn
@geindex Warnid_Binding (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Binding}@anchor{550}
-@deffn {Attribute} Warnid_Binding = 6
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Binding}@anchor{a3a}
+@deffn {Attribute} Warnid_Binding = 6
@end deffn
@geindex Warnid_Port (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Port}@anchor{551}
-@deffn {Attribute} Warnid_Port = 7
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Port}@anchor{a3b}
+@deffn {Attribute} Warnid_Port = 7
@end deffn
@geindex Warnid_Reserved_Word (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Reserved_Word}@anchor{552}
-@deffn {Attribute} Warnid_Reserved_Word = 8
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Reserved_Word}@anchor{a3c}
+@deffn {Attribute} Warnid_Reserved_Word = 8
@end deffn
@geindex Warnid_Pragma (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Pragma}@anchor{553}
-@deffn {Attribute} Warnid_Pragma = 9
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Pragma}@anchor{a3d}
+@deffn {Attribute} Warnid_Pragma = 9
@end deffn
@geindex Warnid_Nested_Comment (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Nested_Comment}@anchor{554}
-@deffn {Attribute} Warnid_Nested_Comment = 10
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Nested_Comment}@anchor{a3e}
+@deffn {Attribute} Warnid_Nested_Comment = 10
@end deffn
@geindex Warnid_Directive (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Directive}@anchor{555}
-@deffn {Attribute} Warnid_Directive = 11
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Directive}@anchor{a3f}
+@deffn {Attribute} Warnid_Directive = 11
@end deffn
@geindex Warnid_Parenthesis (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Parenthesis}@anchor{556}
-@deffn {Attribute} Warnid_Parenthesis = 12
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Parenthesis}@anchor{a40}
+@deffn {Attribute} Warnid_Parenthesis = 12
@end deffn
@geindex Warnid_Vital_Generic (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Vital_Generic}@anchor{557}
-@deffn {Attribute} Warnid_Vital_Generic = 13
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Vital_Generic}@anchor{a41}
+@deffn {Attribute} Warnid_Vital_Generic = 13
@end deffn
@geindex Warnid_Delayed_Checks (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Delayed_Checks}@anchor{558}
-@deffn {Attribute} Warnid_Delayed_Checks = 14
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Delayed_Checks}@anchor{a42}
+@deffn {Attribute} Warnid_Delayed_Checks = 14
@end deffn
@geindex Warnid_Body (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Body}@anchor{559}
-@deffn {Attribute} Warnid_Body = 15
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Body}@anchor{a43}
+@deffn {Attribute} Warnid_Body = 15
@end deffn
@geindex Warnid_Specs (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Specs}@anchor{55a}
-@deffn {Attribute} Warnid_Specs = 16
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Specs}@anchor{a44}
+@deffn {Attribute} Warnid_Specs = 16
@end deffn
@geindex Warnid_Universal (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Universal}@anchor{55b}
-@deffn {Attribute} Warnid_Universal = 17
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Universal}@anchor{a45}
+@deffn {Attribute} Warnid_Universal = 17
@end deffn
@geindex Warnid_Port_Bounds (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Port_Bounds}@anchor{55c}
-@deffn {Attribute} Warnid_Port_Bounds = 18
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Port_Bounds}@anchor{a46}
+@deffn {Attribute} Warnid_Port_Bounds = 18
@end deffn
@geindex Warnid_Runtime_Error (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Runtime_Error}@anchor{55d}
-@deffn {Attribute} Warnid_Runtime_Error = 19
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Runtime_Error}@anchor{a47}
+@deffn {Attribute} Warnid_Runtime_Error = 19
@end deffn
@geindex Warnid_Delta_Cycle (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Delta_Cycle}@anchor{55e}
-@deffn {Attribute} Warnid_Delta_Cycle = 20
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Delta_Cycle}@anchor{a48}
+@deffn {Attribute} Warnid_Delta_Cycle = 20
@end deffn
@geindex Warnid_No_Wait (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_No_Wait}@anchor{55f}
-@deffn {Attribute} Warnid_No_Wait = 21
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_No_Wait}@anchor{a49}
+@deffn {Attribute} Warnid_No_Wait = 21
@end deffn
@geindex Warnid_Shared (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Shared}@anchor{560}
-@deffn {Attribute} Warnid_Shared = 22
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Shared}@anchor{a4a}
+@deffn {Attribute} Warnid_Shared = 22
@end deffn
@geindex Warnid_Hide (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Hide}@anchor{561}
-@deffn {Attribute} Warnid_Hide = 23
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Hide}@anchor{a4b}
+@deffn {Attribute} Warnid_Hide = 23
@end deffn
@geindex Warnid_Unused (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Unused}@anchor{562}
-@deffn {Attribute} Warnid_Unused = 24
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Unused}@anchor{a4c}
+@deffn {Attribute} Warnid_Unused = 24
@end deffn
@geindex Warnid_Others (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Others}@anchor{563}
-@deffn {Attribute} Warnid_Others = 25
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Others}@anchor{a4d}
+@deffn {Attribute} Warnid_Others = 25
@end deffn
@geindex Warnid_Pure (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Pure}@anchor{564}
-@deffn {Attribute} Warnid_Pure = 26
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Pure}@anchor{a4e}
+@deffn {Attribute} Warnid_Pure = 26
@end deffn
@geindex Warnid_Analyze_Assert (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Analyze_Assert}@anchor{565}
-@deffn {Attribute} Warnid_Analyze_Assert = 27
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Analyze_Assert}@anchor{a4f}
+@deffn {Attribute} Warnid_Analyze_Assert = 27
@end deffn
@geindex Warnid_Attribute (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Attribute}@anchor{566}
-@deffn {Attribute} Warnid_Attribute = 28
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Attribute}@anchor{a50}
+@deffn {Attribute} Warnid_Attribute = 28
+@end deffn
+
+@geindex Warnid_Useless (pyGHDL.libghdl.errorout.Msgid attribute)
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Useless}@anchor{a51}
+@deffn {Attribute} Warnid_Useless = 29
@end deffn
@geindex Warnid_Static (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Static}@anchor{567}
-@deffn {Attribute} Warnid_Static = 29
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Warnid_Static}@anchor{a52}
+@deffn {Attribute} Warnid_Static = 30
@end deffn
@geindex Msgid_Warning (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Warning}@anchor{568}
-@deffn {Attribute} Msgid_Warning = 30
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Warning}@anchor{a53}
+@deffn {Attribute} Msgid_Warning = 31
@end deffn
@geindex Msgid_Error (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Error}@anchor{569}
-@deffn {Attribute} Msgid_Error = 31
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Error}@anchor{a54}
+@deffn {Attribute} Msgid_Error = 32
@end deffn
@geindex Msgid_Fatal (pyGHDL.libghdl.errorout.Msgid attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Fatal}@anchor{56a}
-@deffn {Attribute} Msgid_Fatal = 32
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Msgid Msgid_Fatal}@anchor{a55}
+@deffn {Attribute} Msgid_Fatal = 33
@end deffn
@end deffn
@@ -15281,16 +25109,15 @@ An enumeration.
@strong{Functions}
@geindex Enable_Warning() (in module pyGHDL.libghdl.errorout)
-@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Enable_Warning}@anchor{549}
+@anchor{pyGHDL/pyGHDL libghdl errorout pyGHDL libghdl errorout Enable_Warning}@anchor{a33}
@deffn {Function} pyGHDL.libghdl.errorout.Enable_Warning (Id, Enable)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -15311,7 +25138,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL libghdl errorout_console,pyGHDL libghdl errorout_memory,pyGHDL libghdl errorout,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl errorout_console doc}@anchor{56b}@anchor{pyGHDL/pyGHDL libghdl errorout_console module-pyGHDL libghdl errorout_console}@anchor{1a}@anchor{pyGHDL/pyGHDL libghdl errorout_console pyghdl-libghdl-errorout-console}@anchor{56c}
+@anchor{pyGHDL/pyGHDL libghdl errorout_console doc}@anchor{a56}@anchor{pyGHDL/pyGHDL libghdl errorout_console module-pyGHDL libghdl errorout_console}@anchor{1e}@anchor{pyGHDL/pyGHDL libghdl errorout_console pyghdl-libghdl-errorout-console}@anchor{a57}
@subsection pyGHDL.libghdl.errorout_console
@@ -15325,7 +25152,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{56d,,Install_Handler()}:
+@ref{a58,,Install_Handler()}:
Install the handlers for reporting errors.
@end itemize
@@ -15334,10 +25161,9 @@ Install the handlers for reporting errors.
@strong{Functions}
@geindex Install_Handler() (in module pyGHDL.libghdl.errorout_console)
-@anchor{pyGHDL/pyGHDL libghdl errorout_console pyGHDL libghdl errorout_console Install_Handler}@anchor{56d}
+@anchor{pyGHDL/pyGHDL libghdl errorout_console pyGHDL libghdl errorout_console Install_Handler}@anchor{a58}
@deffn {Function} pyGHDL.libghdl.errorout_console.Install_Handler ()
-
Install the handlers for reporting errors.
@*Return type:
@@ -15345,7 +25171,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -15366,7 +25192,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL libghdl errorout_memory,pyGHDL libghdl files_map,pyGHDL libghdl errorout_console,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory doc}@anchor{56e}@anchor{pyGHDL/pyGHDL libghdl errorout_memory module-pyGHDL libghdl errorout_memory}@anchor{1b}@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyghdl-libghdl-errorout-memory}@anchor{56f}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory doc}@anchor{a59}@anchor{pyGHDL/pyGHDL libghdl errorout_memory module-pyGHDL libghdl errorout_memory}@anchor{1f}@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyghdl-libghdl-errorout-memory}@anchor{a5a}
@subsection pyGHDL.libghdl.errorout_memory
@@ -15380,7 +25206,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{570,,Error_Message}:
+@ref{a5b,,Error_Message}:
Id : Msgid_Type
@end itemize
@@ -15390,34 +25216,33 @@ Id : Msgid_Type
@itemize -
@item
-@ref{571,,Install_Handler()}:
+@ref{a5c,,Install_Handler()}:
Install the handlers for reporting errors.
@item
-@ref{572,,Get_Nbr_Messages()}:
+@ref{a5d,,Get_Nbr_Messages()}:
Get number of error messages available.
@item
-@ref{573,,Get_Error_Record()}:
-Get error messages by index @code{Idy} as structure @ref{570,,Error_Message}.
+@ref{a5e,,Get_Error_Record()}:
+Get error messages by index @code{Idy} as structure @ref{a5b,,Error_Message}.
@item
-@ref{574,,Get_Error_Message()}:
+@ref{a5f,,Get_Error_Message()}:
Get error messages by index @code{Idx} as string.
@item
-@ref{575,,Clear_Errors()}:
+@ref{a60,,Clear_Errors()}:
Remove all error messages.
@end itemize
@c #-----------------------------------
@geindex Error_Message (class in pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message}@anchor{570}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message}@anchor{a5b}
@deffn {Class} pyGHDL.libghdl.errorout_memory.Error_Message
-
@table @asis
@item Id : Msgid_Type
@@ -15454,68 +25279,68 @@ use 0 when unknown.
@geindex _fields_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _fields_}@anchor{576}
-@deffn {Attribute} _fields_ = [('id', <class 'ctypes.c_byte'>), ('group', <class 'ctypes.c_byte'>), ('file', <class 'ctypes.c_long'>), ('line', <class 'ctypes.c_long'>), ('offset', <class 'ctypes.c_long'>), ('length', <class 'ctypes.c_long'>)]
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _fields_}@anchor{a61}
+@deffn {Attribute} _fields_ = [('id', <class 'ctypes.c_byte'>), ('group', <class 'ctypes.c_byte'>), ('file', <class 'ctypes.c_int'>), ('line', <class 'ctypes.c_int'>), ('offset', <class 'ctypes.c_int'>), ('length', <class 'ctypes.c_int'>)]
@end deffn
@geindex _b_base_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_base_}@anchor{577}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_base_}@anchor{a62}
@deffn {Attribute} _b_base_
the base object
@end deffn
@geindex _b_needsfree_ (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_needsfree_}@anchor{578}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _b_needsfree_}@anchor{a63}
@deffn {Attribute} _b_needsfree_
whether the object owns the memory or not
@end deffn
@geindex _objects (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _objects}@anchor{579}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message _objects}@anchor{a64}
@deffn {Attribute} _objects
internal objects tree (NEVER CHANGE THIS OBJECT!)
@end deffn
@geindex file (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message file}@anchor{57a}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message file}@anchor{a65}
@deffn {Attribute} file
Structure/Union member
@end deffn
@geindex group (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message group}@anchor{57b}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message group}@anchor{a66}
@deffn {Attribute} group
Structure/Union member
@end deffn
@geindex id (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message id}@anchor{57c}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message id}@anchor{a67}
@deffn {Attribute} id
Structure/Union member
@end deffn
@geindex length (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message length}@anchor{57d}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message length}@anchor{a68}
@deffn {Attribute} length
Structure/Union member
@end deffn
@geindex line (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message line}@anchor{57e}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message line}@anchor{a69}
@deffn {Attribute} line
Structure/Union member
@end deffn
@geindex offset (pyGHDL.libghdl.errorout_memory.Error_Message attribute)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message offset}@anchor{57f}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Error_Message offset}@anchor{a6a}
@deffn {Attribute} offset
Structure/Union member
@@ -15527,10 +25352,9 @@ Structure/Union member
@strong{Functions}
@geindex Install_Handler() (in module pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Install_Handler}@anchor{571}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Install_Handler}@anchor{a5c}
@deffn {Function} pyGHDL.libghdl.errorout_memory.Install_Handler ()
-
Install the handlers for reporting errors.
@*Return type:
@@ -15539,14 +25363,13 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Nbr_Messages() (in module pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Nbr_Messages}@anchor{572}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Nbr_Messages}@anchor{a5d}
@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Nbr_Messages ()
-
Get number of error messages available.
@*Return type:
-~ErrorIndex
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -15555,18 +25378,17 @@ Number of messages available.
@end deffn
@geindex Get_Error_Record() (in module pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Record}@anchor{573}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Record}@anchor{a5e}
@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Error_Record (Idx)
-
-Get error messages by index @code{Idy} as structure @ref{570,,Error_Message}.
+Get error messages by index @code{Idy} as structure @ref{a5b,,Error_Message}.
@*Parameters:
-@code{Idx} (@code{~ErrorIndex}) – Index from 1 to @code{Nbr_Messages} See @ref{572,,Get_Nbr_Messages()}.
+@code{Idx} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Index from 1 to @code{Nbr_Messages} See @ref{a5d,,Get_Nbr_Messages()}.
@*Return type:
-@ref{570,,Error_Message}
+@ref{a5b,,Error_Message}
@*Returns:
@@ -15575,14 +25397,13 @@ Type: @code{Error_Message}
@end deffn
@geindex Get_Error_Message() (in module pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Message}@anchor{574}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Get_Error_Message}@anchor{a5f}
@deffn {Function} pyGHDL.libghdl.errorout_memory.Get_Error_Message (Idx)
-
Get error messages by index @code{Idx} as string.
@*Parameters:
-@code{Idx} (@code{~ErrorIndex}) – Index from 1 to @code{Nbr_Messages} See @ref{572,,Get_Nbr_Messages()}.
+@code{Idx} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{ErrorIndex}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Index from 1 to @code{Nbr_Messages} See @ref{a5d,,Get_Nbr_Messages()}.
@*Return type:
@@ -15595,10 +25416,9 @@ Error message.
@end deffn
@geindex Clear_Errors() (in module pyGHDL.libghdl.errorout_memory)
-@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Clear_Errors}@anchor{575}
+@anchor{pyGHDL/pyGHDL libghdl errorout_memory pyGHDL libghdl errorout_memory Clear_Errors}@anchor{a60}
@deffn {Function} pyGHDL.libghdl.errorout_memory.Clear_Errors ()
-
Remove all error messages.
@*Return type:
@@ -15606,7 +25426,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -15627,7 +25447,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL libghdl files_map,pyGHDL libghdl files_map_editor,pyGHDL libghdl errorout_memory,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl files_map doc}@anchor{580}@anchor{pyGHDL/pyGHDL libghdl files_map module-pyGHDL libghdl files_map}@anchor{1c}@anchor{pyGHDL/pyGHDL libghdl files_map pyghdl-libghdl-files-map}@anchor{581}
+@anchor{pyGHDL/pyGHDL libghdl files_map doc}@anchor{a6b}@anchor{pyGHDL/pyGHDL libghdl files_map module-pyGHDL libghdl files_map}@anchor{20}@anchor{pyGHDL/pyGHDL libghdl files_map pyghdl-libghdl-files-map}@anchor{a6c}
@subsection pyGHDL.libghdl.files_map
@@ -15641,13 +25461,13 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{582,,EOT}
+@ref{a6d,,EOT}
@item
-@ref{583,,No_Source_File_Entry}
+@ref{a6e,,No_Source_File_Entry}
@item
-@ref{584,,No_Location}
+@ref{a6f,,No_Location}
@end itemize
@strong{Functions}
@@ -15656,76 +25476,80 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{585,,Location_To_File()}:
+@ref{a70,,Location_To_File()}:
Convert @code{Location} to a source file.
@item
-@ref{586,,Location_File_To_Pos()}:
+@ref{a71,,Location_File_To_Pos()}:
Convert @code{Location} and @code{File} to a position (offset) into the source file.
@item
-@ref{587,,Location_File_To_Line()}:
+@ref{a72,,Location_File_To_Line()}:
Convert @code{Location} and @code{File} to a line number.
@item
-@ref{588,,Location_File_Line_To_Offset()}:
+@ref{a73,,Location_File_Line_To_Offset()}:
Get the offset in @code{Line} of @code{Location}.
@item
-@ref{589,,Location_File_Line_To_Col()}:
+@ref{a74,,Location_File_Line_To_Col()}:
Get logical column (with HT expanded) from @code{Location}, @code{File} and
@item
-@ref{58a,,File_To_Location()}:
+@ref{a75,,File_To_Location()}:
Convert a @code{File} into a location.
@item
-@ref{58b,,File_Pos_To_Location()}:
+@ref{a76,,File_Pos_To_Location()}:
Convert a @code{File} and an offset @code{Pos} in the file into a location.
@item
-@ref{58c,,File_Line_To_Position()}:
+@ref{a77,,File_Line_To_Position()}:
Convert a @code{File} and @code{Line} into a position.
@item
-@ref{58d,,Get_File_Name()}:
+@ref{a78,,Get_File_Name()}:
Return the name of the file.
@item
-@ref{58e,,Get_Directory_Name()}:
+@ref{a79,,Get_Directory_Name()}:
Return the directory of the file.
@item
-@ref{58f,,Get_File_Buffer()}:
+@ref{a7a,,Get_File_Buffer()}:
Return a buffer (access to the contents of the file) for a file entry.
@item
-@ref{590,,Get_File_Length()}:
+@ref{a7b,,Get_File_Length()}:
Get the position of the first EOT character.
@item
-@ref{591,,Set_File_Length()}:
+@ref{a7c,,Set_File_Length()}:
Set the length of the file (which is less than the size of the file buffer).
@item
-@ref{592,,Reserve_Source_File()}:
+@ref{a7d,,Get_Buffer_Length()}:
+Get the length of the buffer, including the gap and the two EOT.
+
+@item
+@ref{a7e,,Reserve_Source_File()}:
Reserve an entry, but do not read any file.
@item
-@ref{593,,Discard_Source_File()}:
+@ref{a7f,,Discard_Source_File()}:
Mark @code{File} as unavailable: clear the name and directory.
@item
-@ref{594,,Free_Source_File()}:
+@ref{a80,,Free_Source_File()}:
Free resources used by @code{File}, but keep the entry.
@item
-@ref{595,,Get_Last_Source_File_Entry()}:
+@ref{a81,,Get_Last_Source_File_Entry()}:
Returns the entry of the last known file.
@end itemize
@geindex EOT (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map EOT}@anchor{582}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map EOT}@anchor{a6d}
@deffn {Data} pyGHDL.libghdl.files_map.EOT
bytes(iterable_of_ints) -> bytes
@@ -15762,7 +25586,7 @@ b'\x04'
@end deffn
@geindex No_Source_File_Entry (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Source_File_Entry}@anchor{583}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Source_File_Entry}@anchor{a6e}
@deffn {Data} pyGHDL.libghdl.files_map.No_Source_File_Entry
int([x]) -> integer
@@ -15786,7 +25610,7 @@ Base 0 means to interpret the base from the string as an integer literal.
@end deffn
@geindex No_Location (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Location}@anchor{584}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map No_Location}@anchor{a6f}
@deffn {Data} pyGHDL.libghdl.files_map.No_Location
int([x]) -> integer
@@ -15814,18 +25638,17 @@ Base 0 means to interpret the base from the string as an integer literal.
@strong{Functions}
@geindex Location_To_File() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_To_File}@anchor{585}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_To_File}@anchor{a70}
@deffn {Function} pyGHDL.libghdl.files_map.Location_To_File (Location)
-
Convert @code{Location} to a source file.
@*Parameters:
-@code{Location} (@code{~LocationType}) – Location
+@code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
@*Return type:
-~SourceFileEntry
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@*Returns:
@@ -15834,10 +25657,9 @@ Source file. Return @code{No_Source_File_Entry} if location is incorrect.
@end deffn
@geindex Location_File_To_Pos() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Pos}@anchor{586}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Pos}@anchor{a71}
@deffn {Function} pyGHDL.libghdl.files_map.Location_File_To_Pos (Location, File)
-
Convert @code{Location} and @code{File} to a position (offset) into the source file.
@*Parameters:
@@ -15845,10 +25667,10 @@ Convert @code{Location} and @code{File} to a position (offset) into the source f
@itemize *
@item
-@code{Location} (@code{~LocationType}) – Location
+@code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
@item
-@code{File} (@code{~SourceFileEntry}) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
@end itemize
@@ -15862,10 +25684,9 @@ Offset
@end deffn
@geindex Location_File_To_Line() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Line}@anchor{587}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_To_Line}@anchor{a72}
@deffn {Function} pyGHDL.libghdl.files_map.Location_File_To_Line (Location, File)
-
Convert @code{Location} and @code{File} to a line number.
@*Parameters:
@@ -15873,10 +25694,10 @@ Convert @code{Location} and @code{File} to a line number.
@itemize *
@item
-@code{Location} (@code{~LocationType}) – Location
+@code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
@item
-@code{File} (@code{~SourceFileEntry}) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
@end itemize
@@ -15890,10 +25711,9 @@ Line number
@end deffn
@geindex Location_File_Line_To_Offset() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Offset}@anchor{588}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Offset}@anchor{a73}
@deffn {Function} pyGHDL.libghdl.files_map.Location_File_Line_To_Offset (Location, File, Line)
-
Get the offset in @code{Line} of @code{Location}.
@*Parameters:
@@ -15901,10 +25721,10 @@ Get the offset in @code{Line} of @code{Location}.
@itemize *
@item
-@code{Location} (@code{~LocationType}) – Location
+@code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
@item
-@code{File} (@code{~SourceFileEntry}) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
@item
@code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
@@ -15921,10 +25741,9 @@ Offset
@end deffn
@geindex Location_File_Line_To_Col() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Col}@anchor{589}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Location_File_Line_To_Col}@anchor{a74}
@deffn {Function} pyGHDL.libghdl.files_map.Location_File_Line_To_Col (Location, File, Line)
-
Get logical column (with HT expanded) from @code{Location}, @code{File} and
@code{Line}.
@@ -15933,10 +25752,10 @@ Get logical column (with HT expanded) from @code{Location}, @code{File} and
@itemize *
@item
-@code{Location} (@code{~LocationType}) – Location
+@code{Location} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Location
@item
-@code{File} (@code{~SourceFileEntry}) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
@item
@code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
@@ -15953,18 +25772,17 @@ logical column (horizontal tabs are expanded)
@end deffn
@geindex File_To_Location() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_To_Location}@anchor{58a}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_To_Location}@anchor{a75}
@deffn {Function} pyGHDL.libghdl.files_map.File_To_Location (File)
-
Convert a @code{File} into a location.
@*Parameters:
-@code{File} (@code{~SourceFileEntry}) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
@*Return type:
-~LocationType
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@*Returns:
@@ -15973,10 +25791,9 @@ Location.
@end deffn
@geindex File_Pos_To_Location() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Pos_To_Location}@anchor{58b}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Pos_To_Location}@anchor{a76}
@deffn {Function} pyGHDL.libghdl.files_map.File_Pos_To_Location (File, Pos)
-
Convert a @code{File} and an offset @code{Pos} in the file into a location.
@*Parameters:
@@ -15984,7 +25801,7 @@ Convert a @code{File} and an offset @code{Pos} in the file into a location.
@itemize *
@item
-@code{File} (@code{~SourceFileEntry}) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
@item
@code{Pos} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Offset in the file
@@ -15992,7 +25809,7 @@ Convert a @code{File} and an offset @code{Pos} in the file into a location.
@*Return type:
-~LocationType
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@*Returns:
@@ -16001,10 +25818,9 @@ Location.
@end deffn
@geindex File_Line_To_Position() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Line_To_Position}@anchor{58c}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map File_Line_To_Position}@anchor{a77}
@deffn {Function} pyGHDL.libghdl.files_map.File_Line_To_Position (File, Line)
-
Convert a @code{File} and @code{Line} into a position.
@*Parameters:
@@ -16012,7 +25828,7 @@ Convert a @code{File} and @code{Line} into a position.
@itemize *
@item
-@code{File} (@code{~SourceFileEntry}) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
@item
@code{Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Line number
@@ -16029,18 +25845,17 @@ Return @code{Source_Ptr_Bad} in case of error (@code{Line} out of bounds).
@end deffn
@geindex Get_File_Name() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Name}@anchor{58d}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Name}@anchor{a78}
@deffn {Function} pyGHDL.libghdl.files_map.Get_File_Name (File)
-
Return the name of the file.
@*Parameters:
-@code{File} (@code{~SourceFileEntry}) – Source file to get the filename from.
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to get the filename from.
@*Return type:
-~NameId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -16049,18 +25864,17 @@ NameId for the filename.
@end deffn
@geindex Get_Directory_Name() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Directory_Name}@anchor{58e}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Directory_Name}@anchor{a79}
@deffn {Function} pyGHDL.libghdl.files_map.Get_Directory_Name (File)
-
Return the directory of the file.
@*Parameters:
-@code{File} (@code{~SourceFileEntry}) – Source file to get the directory name from.
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to get the directory name from.
@*Return type:
-~NameId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -16069,14 +25883,13 @@ NameId for the directory.
@end deffn
@geindex Get_File_Buffer() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Buffer}@anchor{58f}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Buffer}@anchor{a7a}
@deffn {Function} pyGHDL.libghdl.files_map.Get_File_Buffer (File)
-
Return a buffer (access to the contents of the file) for a file entry.
@*Parameters:
-@code{File} (@code{~SourceFileEntry}) – Source file to get the buffer from.
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to get the buffer from.
@*Return type:
@@ -16089,14 +25902,13 @@ Type: @code{File_Buffer_Ptr}
@end deffn
@geindex Get_File_Length() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Length}@anchor{590}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_File_Length}@anchor{a7b}
@deffn {Function} pyGHDL.libghdl.files_map.Get_File_Length (File)
-
Get the position of the first EOT character.
@*Parameters:
-@code{File} (@code{~SourceFileEntry}) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
@*Return type:
@@ -16109,10 +25921,9 @@ Type: @code{Source_Ptr}
@end deffn
@geindex Set_File_Length() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Set_File_Length}@anchor{591}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Set_File_Length}@anchor{a7c}
@deffn {Function} pyGHDL.libghdl.files_map.Set_File_Length (File, Length)
-
Set the length of the file (which is less than the size of the file buffer).
Set also append two EOT at the end of the file.
@@ -16122,7 +25933,7 @@ Set also append two EOT at the end of the file.
@itemize *
@item
-@code{File} (@code{~SourceFileEntry}) – Source file
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
@item
@code{Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Length for the file. Type: @code{Source_Ptr}
@@ -16134,11 +25945,29 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
+@geindex Get_Buffer_Length() (in module pyGHDL.libghdl.files_map)
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Buffer_Length}@anchor{a7d}
+@deffn {Function} pyGHDL.libghdl.files_map.Get_Buffer_Length (File)
+
+Get the length of the buffer, including the gap and the two EOT.
+
+@*Parameters:
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file
+
+
+@*Return type:
+int@footnote{https://docs.python.org/3.6/library/functions.html#int}
+
+
+@*Returns:
+Type: @code{Source_Ptr}
+
+@end deffn
+
@geindex Reserve_Source_File() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Reserve_Source_File}@anchor{592}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Reserve_Source_File}@anchor{a7e}
@deffn {Function} pyGHDL.libghdl.files_map.Reserve_Source_File (Directory, Name, Length)
-
Reserve an entry, but do not read any file.
The length should includes the two terminal EOT.
@@ -16148,10 +25977,10 @@ The length should includes the two terminal EOT.
@itemize *
@item
-@code{Directory} (@code{~NameId}) – Directory name
+@code{Directory} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Directory name
@item
-@code{Name} (@code{~NameId}) – File name
+@code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – File name
@item
@code{Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Length to reserve. Type: @code{Source_Ptr}
@@ -16159,7 +25988,7 @@ The length should includes the two terminal EOT.
@*Return type:
-~SourceFileEntry
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@*Returns:
@@ -16168,10 +25997,9 @@ SourceFile
@end deffn
@geindex Discard_Source_File() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Discard_Source_File}@anchor{593}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Discard_Source_File}@anchor{a7f}
@deffn {Function} pyGHDL.libghdl.files_map.Discard_Source_File (File)
-
Mark @code{File} as unavailable: clear the name and directory.
@cartouche
@@ -16181,7 +26009,7 @@ This is needed before creating a new source file with the same name.
@end cartouche
@*Parameters:
-@code{File} (@code{~SourceFileEntry}) – Source file to discard.
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to discard.
@*Return type:
@@ -16190,10 +26018,9 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Free_Source_File() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Free_Source_File}@anchor{594}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Free_Source_File}@anchor{a80}
@deffn {Function} pyGHDL.libghdl.files_map.Free_Source_File (File)
-
Free resources used by @code{File}, but keep the entry.
@cartouche
@@ -16203,7 +26030,7 @@ It could be recycled for files that could fit - not implemented.
@end cartouche
@*Parameters:
-@code{File} (@code{~SourceFileEntry}) – Source file to free.
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – Source file to free.
@*Return type:
@@ -16212,10 +26039,9 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Last_Source_File_Entry() (in module pyGHDL.libghdl.files_map)
-@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Last_Source_File_Entry}@anchor{595}
+@anchor{pyGHDL/pyGHDL libghdl files_map pyGHDL libghdl files_map Get_Last_Source_File_Entry}@anchor{a81}
@deffn {Function} pyGHDL.libghdl.files_map.Get_Last_Source_File_Entry ()
-
Returns the entry of the last known file.
@cartouche
@@ -16225,7 +26051,7 @@ This allows creating a table of @code{SourceFileEntry}.
@end cartouche
@*Return type:
-~SourceFileEntry
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@*Returns:
@@ -16233,7 +26059,7 @@ Last SourceFileEntry. Type: @code{SourceFileEntry}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -16254,7 +26080,7 @@ Last SourceFileEntry. Type: @code{SourceFileEntry}
@c # define a hard line break for HTML
@node pyGHDL libghdl files_map_editor,pyGHDL libghdl flags,pyGHDL libghdl files_map,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl files_map_editor doc}@anchor{596}@anchor{pyGHDL/pyGHDL libghdl files_map_editor module-pyGHDL libghdl files_map_editor}@anchor{1d}@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyghdl-libghdl-files-map-editor}@anchor{597}
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor doc}@anchor{a82}@anchor{pyGHDL/pyGHDL libghdl files_map_editor module-pyGHDL libghdl files_map_editor}@anchor{21}@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyghdl-libghdl-files-map-editor}@anchor{a83}
@subsection pyGHDL.libghdl.files_map_editor
@@ -16268,19 +26094,19 @@ Last SourceFileEntry. Type: @code{SourceFileEntry}
@itemize -
@item
-@ref{598,,Replace_Text()}:
+@ref{a84,,Replace_Text()}:
Replace [START; END) by TEXT.
@item
-@ref{599,,Fill_Text()}:
+@ref{a85,,Fill_Text()}:
Replace the content of @code{File} with TEXT.
@item
-@ref{59a,,Check_Buffer_Content()}:
+@ref{a86,,Check_Buffer_Content()}:
Check that content of @code{File} is STR[1 .. STR_LEN].
@item
-@ref{59b,,Copy_Source_File()}:
+@ref{a87,,Copy_Source_File()}:
Copy content of @code{Src} to @code{Dest}.
@end itemize
@@ -16289,10 +26115,9 @@ Copy content of @code{Src} to @code{Dest}.
@strong{Functions}
@geindex Replace_Text() (in module pyGHDL.libghdl.files_map_editor)
-@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Replace_Text}@anchor{598}
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Replace_Text}@anchor{a84}
@deffn {Function} pyGHDL.libghdl.files_map_editor.Replace_Text (File, Start_Line, Start_Offset, End_Line, End_Offset, Text)
-
Replace [START; END) by TEXT.
@*Parameters:
@@ -16300,7 +26125,7 @@ Replace [START; END) by TEXT.
@itemize *
@item
-@code{File} (@code{~SourceFileEntry}) – File where to replace a text section.
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File where to replace a text section.
@item
@code{Start_Line} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – undocumented
@@ -16329,10 +26154,9 @@ Return True in case of success, False in case of failure (the gap is too small).
@end deffn
@geindex Fill_Text() (in module pyGHDL.libghdl.files_map_editor)
-@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Fill_Text}@anchor{599}
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Fill_Text}@anchor{a85}
@deffn {Function} pyGHDL.libghdl.files_map_editor.Fill_Text (File, Text_Pointer, Text_Length)
-
Replace the content of @code{File} with TEXT.
@cartouche
@@ -16346,7 +26170,7 @@ Replace @code{Text_Pointer} and @code{Text_Length} with Python string
@itemize *
@item
-@code{File} (@code{~SourceFileEntry}) – File where to replace the content.
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File where to replace the content.
@item
@code{Text_Pointer} – Type: @code{File_Buffer_Ptr}
@@ -16362,10 +26186,9 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Check_Buffer_Content() (in module pyGHDL.libghdl.files_map_editor)
-@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Check_Buffer_Content}@anchor{59a}
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Check_Buffer_Content}@anchor{a86}
@deffn {Function} pyGHDL.libghdl.files_map_editor.Check_Buffer_Content (File, String_Pointer, String_Length)
-
Check that content of @code{File} is STR[1 .. STR_LEN].
@cartouche
@@ -16379,13 +26202,13 @@ Replace @code{String_Pointer} and @code{String_Length} with Python string
@itemize *
@item
-@code{File} (@code{~SourceFileEntry}) – File to check the content.
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File to check the content.
@item
-@code{String_Pointer} – Type: @code{File_Buffer_Ptr}
+@code{String_Pointer} (c_char_p@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_char_p}) – Type: @code{File_Buffer_Ptr}
@item
-@code{String_Length} (int@footnote{https://docs.python.org/3.6/library/functions.html#int}) – Type: @code{Source_Ptr}
+@code{String_Length} (c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint}) – Type: @code{Source_Ptr}
@end itemize
@@ -16395,10 +26218,9 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Copy_Source_File() (in module pyGHDL.libghdl.files_map_editor)
-@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Copy_Source_File}@anchor{59b}
+@anchor{pyGHDL/pyGHDL libghdl files_map_editor pyGHDL libghdl files_map_editor Copy_Source_File}@anchor{a87}
@deffn {Function} pyGHDL.libghdl.files_map_editor.Copy_Source_File (Dest, Src)
-
Copy content of @code{Src} to @code{Dest}.
@cartouche
@@ -16414,7 +26236,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -16435,7 +26257,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL libghdl flags,pyGHDL libghdl libraries,pyGHDL libghdl files_map_editor,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl flags doc}@anchor{59c}@anchor{pyGHDL/pyGHDL libghdl flags module-pyGHDL libghdl flags}@anchor{1e}@anchor{pyGHDL/pyGHDL libghdl flags pyghdl-libghdl-flags}@anchor{59d}
+@anchor{pyGHDL/pyGHDL libghdl flags doc}@anchor{a88}@anchor{pyGHDL/pyGHDL libghdl flags module-pyGHDL libghdl flags}@anchor{22}@anchor{pyGHDL/pyGHDL libghdl flags pyghdl-libghdl-flags}@anchor{a89}
@subsection pyGHDL.libghdl.flags
@@ -16449,20 +26271,20 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{59e,,Flag_Elocations}
+@ref{a8a,,Flag_Elocations}
@item
-@ref{59f,,Verbose}
+@ref{a8b,,Verbose}
@item
-@ref{5a0,,Flag_Elaborate_With_Outdated}
+@ref{a8c,,Flag_Elaborate_With_Outdated}
@item
-@ref{5a1,,Flag_Force_Analysis}
+@ref{a8d,,Flag_Force_Analysis}
@end itemize
@geindex Flag_Elocations (in module pyGHDL.libghdl.flags)
-@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elocations}@anchor{59e}
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elocations}@anchor{a8a}
@deffn {Data} pyGHDL.libghdl.flags.Flag_Elocations
@example
@@ -16471,7 +26293,7 @@ c_bool(False)
@end deffn
@geindex Verbose (in module pyGHDL.libghdl.flags)
-@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Verbose}@anchor{59f}
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Verbose}@anchor{a8b}
@deffn {Data} pyGHDL.libghdl.flags.Verbose
@example
@@ -16480,7 +26302,7 @@ c_bool(False)
@end deffn
@geindex Flag_Elaborate_With_Outdated (in module pyGHDL.libghdl.flags)
-@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elaborate_With_Outdated}@anchor{5a0}
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Elaborate_With_Outdated}@anchor{a8c}
@deffn {Data} pyGHDL.libghdl.flags.Flag_Elaborate_With_Outdated
@example
@@ -16489,7 +26311,7 @@ c_bool(False)
@end deffn
@geindex Flag_Force_Analysis (in module pyGHDL.libghdl.flags)
-@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Force_Analysis}@anchor{5a1}
+@anchor{pyGHDL/pyGHDL libghdl flags pyGHDL libghdl flags Flag_Force_Analysis}@anchor{a8d}
@deffn {Data} pyGHDL.libghdl.flags.Flag_Force_Analysis
@example
@@ -16497,7 +26319,7 @@ c_bool(False)
@end example
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -16518,7 +26340,7 @@ c_bool(False)
@c # define a hard line break for HTML
@node pyGHDL libghdl libraries,pyGHDL libghdl name_table,pyGHDL libghdl flags,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl libraries doc}@anchor{5a2}@anchor{pyGHDL/pyGHDL libghdl libraries module-pyGHDL libghdl libraries}@anchor{1f}@anchor{pyGHDL/pyGHDL libghdl libraries pyghdl-libghdl-libraries}@anchor{5a3}
+@anchor{pyGHDL/pyGHDL libghdl libraries doc}@anchor{a8e}@anchor{pyGHDL/pyGHDL libghdl libraries module-pyGHDL libghdl libraries}@anchor{23}@anchor{pyGHDL/pyGHDL libghdl libraries pyghdl-libghdl-libraries}@anchor{a8f}
@subsection pyGHDL.libghdl.libraries
@@ -16532,10 +26354,10 @@ c_bool(False)
@itemize -
@item
-@ref{5a4,,Library_Location}
+@ref{a90,,Library_Location}
@item
-@ref{5a5,,Work_Library}
+@ref{a91,,Work_Library}
@end itemize
@strong{Functions}
@@ -16544,44 +26366,44 @@ c_bool(False)
@itemize -
@item
-@ref{5a6,,Get_Libraries_Chain()}:
+@ref{a92,,Get_Libraries_Chain()}:
Get the chain of libraries. Can be used only to read (it mustn’t be modified).
@item
-@ref{5a7,,Add_Design_Unit_Into_Library()}:
+@ref{a93,,Add_Design_Unit_Into_Library()}:
Add or replace an design unit in the work library. DECL must not have a chain
@item
-@ref{5a8,,Purge_Design_File()}:
+@ref{a94,,Purge_Design_File()}:
Remove the same file as @code{Design_File} from work library and all of its units.
@item
-@ref{5a9,,Find_Entity_For_Component()}:
+@ref{a95,,Find_Entity_For_Component()}:
Find an entity whose name is @code{Name} in any library.
@item
-@ref{5aa,,Get_Library_No_Create()}:
+@ref{a96,,Get_Library_No_Create()}:
Get the library named @code{Ident}.
@item
-@ref{5ab,,Find_Primary_Unit()}:
+@ref{a97,,Find_Primary_Unit()}:
Just return the design_unit for @code{Name}, or @code{NULL} if not found.
@end itemize
@geindex Library_Location (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Library_Location}@anchor{5a4}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Library_Location}@anchor{a90}
@deffn {Data} pyGHDL.libghdl.libraries.Library_Location
A location for library declarations (such as library WORK). Use @code{.value} to
access this variable inside libghdl.
@example
-c_long(1)
+c_int(1)
@end example
@end deffn
@geindex Work_Library (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Work_Library}@anchor{5a5}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Work_Library}@anchor{a91}
@deffn {Data} pyGHDL.libghdl.libraries.Work_Library
Library declaration for the work library. Note: the identifier of the work_library
@@ -16589,7 +26411,7 @@ is @code{work_library_name}, which may be different from ‘WORK’. Use @code{.
access this variable inside libghdl.
@example
-c_long(0)
+c_int(0)
@end example
@end deffn
@@ -16598,14 +26420,13 @@ c_long(0)
@strong{Functions}
@geindex Get_Libraries_Chain() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Libraries_Chain}@anchor{5a6}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Libraries_Chain}@anchor{a92}
@deffn {Function} pyGHDL.libghdl.libraries.Get_Libraries_Chain ()
-
Get the chain of libraries. Can be used only to read (it mustn’t be modified).
@*Return type:
-~Iir_Library_Declaration
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -16614,10 +26435,9 @@ undocumented
@end deffn
@geindex Add_Design_Unit_Into_Library() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Add_Design_Unit_Into_Library}@anchor{5a7}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Add_Design_Unit_Into_Library}@anchor{a93}
@deffn {Function} pyGHDL.libghdl.libraries.Add_Design_Unit_Into_Library (Unit, Keep_Obsolete)
-
Add or replace an design unit in the work library. DECL must not have a chain
(because it may be modified).
@@ -16630,7 +26450,7 @@ Units are always appended to the design_file. Therefore, the order is kept.
@itemize *
@item
-@code{Unit} (@code{~Iir_Design_Unit}) – undocumented
+@code{Unit} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – undocumented
@item
@code{Keep_Obsolete} (bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}) –
@@ -16650,14 +26470,13 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Purge_Design_File() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Purge_Design_File}@anchor{5a8}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Purge_Design_File}@anchor{a94}
@deffn {Function} pyGHDL.libghdl.libraries.Purge_Design_File (Design_File)
-
Remove the same file as @code{Design_File} from work library and all of its units.
@*Parameters:
-@code{Design_File} (@code{~Iir_Design_File}) – undocumented
+@code{Design_File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_File}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – undocumented
@*Return type:
@@ -16666,20 +26485,19 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Find_Entity_For_Component() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Entity_For_Component}@anchor{5a9}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Entity_For_Component}@anchor{a95}
@deffn {Function} pyGHDL.libghdl.libraries.Find_Entity_For_Component (Name)
-
Find an entity whose name is @code{Name} in any library.
If there is no such entity, return @code{Null_Iir}.
If there are several entities, return @code{Null_Iir};
@*Parameters:
-@code{Name} (@code{~NameId}) – Entity name to search for.
+@code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Entity name to search for.
@*Return type:
-~Iir_Design_Unit
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -16688,18 +26506,17 @@ undocumented
@end deffn
@geindex Get_Library_No_Create() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Library_No_Create}@anchor{5aa}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Get_Library_No_Create}@anchor{a96}
@deffn {Function} pyGHDL.libghdl.libraries.Get_Library_No_Create (Ident)
-
Get the library named @code{Ident}.
@*Parameters:
-@code{Ident} (@code{~NameId}) – Library to look for.
+@code{Ident} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Library to look for.
@*Return type:
-~Iir_Library_Declaration
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -16708,10 +26525,9 @@ Return @code{Null_Iir} if it doesn’t exist.
@end deffn
@geindex Find_Primary_Unit() (in module pyGHDL.libghdl.libraries)
-@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Primary_Unit}@anchor{5ab}
+@anchor{pyGHDL/pyGHDL libghdl libraries pyGHDL libghdl libraries Find_Primary_Unit}@anchor{a97}
@deffn {Function} pyGHDL.libghdl.libraries.Find_Primary_Unit (Library, Name)
-
Just return the design_unit for @code{Name}, or @code{NULL} if not found.
@*Parameters:
@@ -16719,15 +26535,15 @@ Just return the design_unit for @code{Name}, or @code{NULL} if not found.
@itemize *
@item
-@code{Library} (@code{~Iir_Library_Declaration}) – Library to look in.
+@code{Library} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Library_Declaration}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Library to look in.
@item
-@code{Name} (@code{~NameId}) – Primary unit to search for.
+@code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Primary unit to search for.
@end itemize
@*Return type:
-~Iir_Design_Unit
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -16735,7 +26551,7 @@ undocumented
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -16756,7 +26572,7 @@ undocumented
@c # define a hard line break for HTML
@node pyGHDL libghdl name_table,pyGHDL libghdl std_names,pyGHDL libghdl libraries,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl name_table doc}@anchor{5ac}@anchor{pyGHDL/pyGHDL libghdl name_table module-pyGHDL libghdl name_table}@anchor{20}@anchor{pyGHDL/pyGHDL libghdl name_table pyghdl-libghdl-name-table}@anchor{5ad}
+@anchor{pyGHDL/pyGHDL libghdl name_table doc}@anchor{a98}@anchor{pyGHDL/pyGHDL libghdl name_table module-pyGHDL libghdl name_table}@anchor{24}@anchor{pyGHDL/pyGHDL libghdl name_table pyghdl-libghdl-name-table}@anchor{a99}
@subsection pyGHDL.libghdl.name_table
@@ -16770,7 +26586,7 @@ undocumented
@itemize -
@item
-@ref{5ae,,Null_Identifier}
+@ref{a9a,,Null_Identifier}
@end itemize
@strong{Functions}
@@ -16779,24 +26595,24 @@ undocumented
@itemize -
@item
-@ref{5af,,Get_Name_Length()}:
+@ref{a9b,,Get_Name_Length()}:
Get the length of an identifier denoted by a @code{NameId}.
@item
-@ref{5b0,,Get_Name_Ptr()}:
+@ref{a9c,,Get_Name_Ptr()}:
Get the string corresponding to identifier ID. The address is valid until
@item
-@ref{5b1,,Get_Character()}:
+@ref{a9d,,Get_Character()}:
Get the string corresponding to character identifier ID.
@item
-@ref{5b2,,Get_Identifier()}:
+@ref{a9e,,Get_Identifier()}:
Get or create an entry in the name table.
@end itemize
@geindex Null_Identifier (in module pyGHDL.libghdl.name_table)
-@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Null_Identifier}@anchor{5ae}
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Null_Identifier}@anchor{a9a}
@deffn {Data} pyGHDL.libghdl.name_table.Null_Identifier
int([x]) -> integer
@@ -16824,14 +26640,13 @@ Base 0 means to interpret the base from the string as an integer literal.
@strong{Functions}
@geindex Get_Name_Length() (in module pyGHDL.libghdl.name_table)
-@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Length}@anchor{5af}
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Length}@anchor{a9b}
@deffn {Function} pyGHDL.libghdl.name_table.Get_Name_Length (Id)
-
Get the length of an identifier denoted by a @code{NameId}.
@*Parameters:
-@code{Id} (@code{~NameId}) – NameId for the identifier to query.
+@code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
@*Return type:
@@ -16844,16 +26659,15 @@ Length of the identifier.
@end deffn
@geindex Get_Name_Ptr() (in module pyGHDL.libghdl.name_table)
-@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Ptr}@anchor{5b0}
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Name_Ptr}@anchor{a9c}
@deffn {Function} pyGHDL.libghdl.name_table.Get_Name_Ptr (Id)
-
Get the string corresponding to identifier ID. The address is valid until
the next call to Get_Identifier (which may reallocate the string table).
The string is NUL-terminated (this is done by get_identifier).
@*Parameters:
-@code{Id} (@code{~NameId}) – NameId for the identifier to query.
+@code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
@*Return type:
@@ -16866,10 +26680,9 @@ Identifier as string.
@end deffn
@geindex Get_Character() (in module pyGHDL.libghdl.name_table)
-@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Character}@anchor{5b1}
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Character}@anchor{a9d}
@deffn {Function} pyGHDL.libghdl.name_table.Get_Character (Id)
-
Get the string corresponding to character identifier ID.
@cartouche
@@ -16879,7 +26692,7 @@ This is used for character literals and enumeration literals.
@end cartouche
@*Parameters:
-@code{Id} (@code{~NameId}) – NameId for the identifier to query.
+@code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – NameId for the identifier to query.
@*Return type:
@@ -16892,10 +26705,9 @@ Get the character of the identifier.
@end deffn
@geindex Get_Identifier() (in module pyGHDL.libghdl.name_table)
-@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Identifier}@anchor{5b2}
+@anchor{pyGHDL/pyGHDL libghdl name_table pyGHDL libghdl name_table Get_Identifier}@anchor{a9e}
@deffn {Function} pyGHDL.libghdl.name_table.Get_Identifier (string)
-
Get or create an entry in the name table.
@cartouche
@@ -16918,7 +26730,7 @@ backslashes are simplified.
@*Return type:
-~NameId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -16926,7 +26738,7 @@ Id in name table.
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -16946,8 +26758,8 @@ Id in name table.
@c # define a hard line break for HTML
-@node pyGHDL libghdl std_names,pyGHDL libghdl utils,pyGHDL libghdl name_table,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl std_names doc}@anchor{5b3}@anchor{pyGHDL/pyGHDL libghdl std_names module-pyGHDL libghdl std_names}@anchor{21}@anchor{pyGHDL/pyGHDL libghdl std_names pyghdl-libghdl-std-names}@anchor{5b4}
+@node pyGHDL libghdl std_names,pyGHDL libghdl str_table,pyGHDL libghdl name_table,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl std_names doc}@anchor{a9f}@anchor{pyGHDL/pyGHDL libghdl std_names module-pyGHDL libghdl std_names}@anchor{25}@anchor{pyGHDL/pyGHDL libghdl std_names pyghdl-libghdl-std-names}@anchor{aa0}
@subsection pyGHDL.libghdl.std_names
@@ -16961,17 +26773,16 @@ Id in name table.
@itemize -
@item
-@ref{5b5,,Name}:
+@ref{354,,Name}:
Undocumented.
@end itemize
@c #-----------------------------------
@geindex Name (class in pyGHDL.libghdl.std_names)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name}@anchor{5b5}
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name}@anchor{354}
@deffn {Class} pyGHDL.libghdl.std_names.Name
-
@subsubheading Inheritance
@image{inheritance-deed95c51f8b9604aeb62a464fe363d7efe68e13,,,[graphviz],png}
@@ -16980,4107 +26791,4117 @@ Undocumented.
@geindex First_Character (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Character}@anchor{5b6}
-@deffn {Attribute} First_Character = 1
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Character}@anchor{aa1}
+@deffn {Attribute} First_Character = 1
@end deffn
@geindex Last_Character (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Character}@anchor{5b7}
-@deffn {Attribute} Last_Character = 256
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Character}@anchor{aa2}
+@deffn {Attribute} Last_Character = 256
@end deffn
@geindex First_Keyword (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Keyword}@anchor{5b8}
-@deffn {Attribute} First_Keyword = 257
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Keyword}@anchor{aa3}
+@deffn {Attribute} First_Keyword = 257
@end deffn
@geindex Mod (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Mod}@anchor{5b9}
-@deffn {Attribute} Mod = 257
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Mod}@anchor{aa4}
+@deffn {Attribute} Mod = 257
@end deffn
@geindex Rem (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rem}@anchor{5ba}
-@deffn {Attribute} Rem = 258
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rem}@anchor{aa5}
+@deffn {Attribute} Rem = 258
@end deffn
@geindex Abs (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Abs}@anchor{5bb}
-@deffn {Attribute} Abs = 259
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Abs}@anchor{aa6}
+@deffn {Attribute} Abs = 259
@end deffn
@geindex Not (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Not}@anchor{5bc}
-@deffn {Attribute} Not = 260
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Not}@anchor{aa7}
+@deffn {Attribute} Not = 260
@end deffn
@geindex Access (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Access}@anchor{5bd}
-@deffn {Attribute} Access = 261
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Access}@anchor{aa8}
+@deffn {Attribute} Access = 261
@end deffn
@geindex After (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name After}@anchor{5be}
-@deffn {Attribute} After = 262
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name After}@anchor{aa9}
+@deffn {Attribute} After = 262
@end deffn
@geindex Alias (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Alias}@anchor{5bf}
-@deffn {Attribute} Alias = 263
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Alias}@anchor{aaa}
+@deffn {Attribute} Alias = 263
@end deffn
@geindex All (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name All}@anchor{5c0}
-@deffn {Attribute} All = 264
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name All}@anchor{aab}
+@deffn {Attribute} All = 264
@end deffn
@geindex Architecture (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Architecture}@anchor{5c1}
-@deffn {Attribute} Architecture = 265
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Architecture}@anchor{aac}
+@deffn {Attribute} Architecture = 265
@end deffn
@geindex Array (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Array}@anchor{5c2}
-@deffn {Attribute} Array = 266
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Array}@anchor{aad}
+@deffn {Attribute} Array = 266
@end deffn
@geindex Assert (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assert}@anchor{5c3}
-@deffn {Attribute} Assert = 267
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assert}@anchor{aae}
+@deffn {Attribute} Assert = 267
@end deffn
@geindex Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Attribute}@anchor{5c4}
-@deffn {Attribute} Attribute = 268
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Attribute}@anchor{aaf}
+@deffn {Attribute} Attribute = 268
@end deffn
@geindex Begin (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Begin}@anchor{5c5}
-@deffn {Attribute} Begin = 269
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Begin}@anchor{ab0}
+@deffn {Attribute} Begin = 269
@end deffn
@geindex Block (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Block}@anchor{5c6}
-@deffn {Attribute} Block = 270
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Block}@anchor{ab1}
+@deffn {Attribute} Block = 270
@end deffn
@geindex Body (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Body}@anchor{5c7}
-@deffn {Attribute} Body = 271
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Body}@anchor{ab2}
+@deffn {Attribute} Body = 271
@end deffn
@geindex Buffer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Buffer}@anchor{5c8}
-@deffn {Attribute} Buffer = 272
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Buffer}@anchor{ab3}
+@deffn {Attribute} Buffer = 272
@end deffn
@geindex Bus (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bus}@anchor{5c9}
-@deffn {Attribute} Bus = 273
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bus}@anchor{ab4}
+@deffn {Attribute} Bus = 273
@end deffn
@geindex Case (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Case}@anchor{5ca}
-@deffn {Attribute} Case = 274
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Case}@anchor{ab5}
+@deffn {Attribute} Case = 274
@end deffn
@geindex Component (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Component}@anchor{5cb}
-@deffn {Attribute} Component = 275
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Component}@anchor{ab6}
+@deffn {Attribute} Component = 275
@end deffn
@geindex Configuration (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Configuration}@anchor{5cc}
-@deffn {Attribute} Configuration = 276
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Configuration}@anchor{ab7}
+@deffn {Attribute} Configuration = 276
@end deffn
@geindex Constant (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Constant}@anchor{5cd}
-@deffn {Attribute} Constant = 277
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Constant}@anchor{ab8}
+@deffn {Attribute} Constant = 277
@end deffn
@geindex Disconnect (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Disconnect}@anchor{5ce}
-@deffn {Attribute} Disconnect = 278
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Disconnect}@anchor{ab9}
+@deffn {Attribute} Disconnect = 278
@end deffn
@geindex Downto (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Downto}@anchor{5cf}
-@deffn {Attribute} Downto = 279
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Downto}@anchor{aba}
+@deffn {Attribute} Downto = 279
@end deffn
@geindex Else (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Else}@anchor{5d0}
-@deffn {Attribute} Else = 280
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Else}@anchor{abb}
+@deffn {Attribute} Else = 280
@end deffn
@geindex Elsif (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Elsif}@anchor{5d1}
-@deffn {Attribute} Elsif = 281
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Elsif}@anchor{abc}
+@deffn {Attribute} Elsif = 281
@end deffn
@geindex End (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name End}@anchor{5d2}
-@deffn {Attribute} End = 282
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name End}@anchor{abd}
+@deffn {Attribute} End = 282
@end deffn
@geindex Entity (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Entity}@anchor{5d3}
-@deffn {Attribute} Entity = 283
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Entity}@anchor{abe}
+@deffn {Attribute} Entity = 283
@end deffn
@geindex Exit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Exit}@anchor{5d4}
-@deffn {Attribute} Exit = 284
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Exit}@anchor{abf}
+@deffn {Attribute} Exit = 284
@end deffn
@geindex File (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File}@anchor{5d5}
-@deffn {Attribute} File = 285
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File}@anchor{ac0}
+@deffn {Attribute} File = 285
@end deffn
@geindex For (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name For}@anchor{5d6}
-@deffn {Attribute} For = 286
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name For}@anchor{ac1}
+@deffn {Attribute} For = 286
@end deffn
@geindex Function (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Function}@anchor{5d7}
-@deffn {Attribute} Function = 287
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Function}@anchor{ac2}
+@deffn {Attribute} Function = 287
@end deffn
@geindex Generate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Generate}@anchor{5d8}
-@deffn {Attribute} Generate = 288
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Generate}@anchor{ac3}
+@deffn {Attribute} Generate = 288
@end deffn
@geindex Generic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Generic}@anchor{5d9}
-@deffn {Attribute} Generic = 289
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Generic}@anchor{ac4}
+@deffn {Attribute} Generic = 289
@end deffn
@geindex Guarded (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Guarded}@anchor{5da}
-@deffn {Attribute} Guarded = 290
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Guarded}@anchor{ac5}
+@deffn {Attribute} Guarded = 290
@end deffn
@geindex If (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name If}@anchor{5db}
-@deffn {Attribute} If = 291
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name If}@anchor{ac6}
+@deffn {Attribute} If = 291
@end deffn
@geindex In (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name In}@anchor{5dc}
-@deffn {Attribute} In = 292
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name In}@anchor{ac7}
+@deffn {Attribute} In = 292
@end deffn
@geindex Inout (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inout}@anchor{5dd}
-@deffn {Attribute} Inout = 293
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inout}@anchor{ac8}
+@deffn {Attribute} Inout = 293
@end deffn
@geindex Is (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Is}@anchor{5de}
-@deffn {Attribute} Is = 294
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Is}@anchor{ac9}
+@deffn {Attribute} Is = 294
@end deffn
@geindex Label (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Label}@anchor{5df}
-@deffn {Attribute} Label = 295
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Label}@anchor{aca}
+@deffn {Attribute} Label = 295
@end deffn
@geindex Library (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Library}@anchor{5e0}
-@deffn {Attribute} Library = 296
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Library}@anchor{acb}
+@deffn {Attribute} Library = 296
@end deffn
@geindex Linkage (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Linkage}@anchor{5e1}
-@deffn {Attribute} Linkage = 297
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Linkage}@anchor{acc}
+@deffn {Attribute} Linkage = 297
@end deffn
@geindex Loop (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Loop}@anchor{5e2}
-@deffn {Attribute} Loop = 298
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Loop}@anchor{acd}
+@deffn {Attribute} Loop = 298
@end deffn
@geindex Map (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Map}@anchor{5e3}
-@deffn {Attribute} Map = 299
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Map}@anchor{ace}
+@deffn {Attribute} Map = 299
@end deffn
@geindex New (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name New}@anchor{5e4}
-@deffn {Attribute} New = 300
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name New}@anchor{acf}
+@deffn {Attribute} New = 300
@end deffn
@geindex Next (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next}@anchor{5e5}
-@deffn {Attribute} Next = 301
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next}@anchor{ad0}
+@deffn {Attribute} Next = 301
@end deffn
@geindex Null (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Null}@anchor{5e6}
-@deffn {Attribute} Null = 302
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Null}@anchor{ad1}
+@deffn {Attribute} Null = 302
@end deffn
@geindex Of (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Of}@anchor{5e7}
-@deffn {Attribute} Of = 303
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Of}@anchor{ad2}
+@deffn {Attribute} Of = 303
@end deffn
@geindex On (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name On}@anchor{5e8}
-@deffn {Attribute} On = 304
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name On}@anchor{ad3}
+@deffn {Attribute} On = 304
@end deffn
@geindex Open (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open}@anchor{5e9}
-@deffn {Attribute} Open = 305
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open}@anchor{ad4}
+@deffn {Attribute} Open = 305
@end deffn
@geindex Others (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Others}@anchor{5ea}
-@deffn {Attribute} Others = 306
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Others}@anchor{ad5}
+@deffn {Attribute} Others = 306
@end deffn
@geindex Out (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Out}@anchor{5eb}
-@deffn {Attribute} Out = 307
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Out}@anchor{ad6}
+@deffn {Attribute} Out = 307
@end deffn
@geindex Package (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Package}@anchor{5ec}
-@deffn {Attribute} Package = 308
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Package}@anchor{ad7}
+@deffn {Attribute} Package = 308
@end deffn
@geindex Port (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Port}@anchor{5ed}
-@deffn {Attribute} Port = 309
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Port}@anchor{ad8}
+@deffn {Attribute} Port = 309
@end deffn
@geindex Procedure (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Procedure}@anchor{5ee}
-@deffn {Attribute} Procedure = 310
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Procedure}@anchor{ad9}
+@deffn {Attribute} Procedure = 310
@end deffn
@geindex Process (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Process}@anchor{5ef}
-@deffn {Attribute} Process = 311
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Process}@anchor{ada}
+@deffn {Attribute} Process = 311
@end deffn
@geindex Range (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Range}@anchor{5f0}
-@deffn {Attribute} Range = 312
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Range}@anchor{adb}
+@deffn {Attribute} Range = 312
@end deffn
@geindex Record (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Record}@anchor{5f1}
-@deffn {Attribute} Record = 313
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Record}@anchor{adc}
+@deffn {Attribute} Record = 313
@end deffn
@geindex Register (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Register}@anchor{5f2}
-@deffn {Attribute} Register = 314
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Register}@anchor{add}
+@deffn {Attribute} Register = 314
@end deffn
@geindex Report (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Report}@anchor{5f3}
-@deffn {Attribute} Report = 315
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Report}@anchor{ade}
+@deffn {Attribute} Report = 315
@end deffn
@geindex Return (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Return}@anchor{5f4}
-@deffn {Attribute} Return = 316
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Return}@anchor{adf}
+@deffn {Attribute} Return = 316
@end deffn
@geindex Select (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Select}@anchor{5f5}
-@deffn {Attribute} Select = 317
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Select}@anchor{ae0}
+@deffn {Attribute} Select = 317
@end deffn
@geindex Severity (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Severity}@anchor{5f6}
-@deffn {Attribute} Severity = 318
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Severity}@anchor{ae1}
+@deffn {Attribute} Severity = 318
@end deffn
@geindex Signal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Signal}@anchor{5f7}
-@deffn {Attribute} Signal = 319
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Signal}@anchor{ae2}
+@deffn {Attribute} Signal = 319
@end deffn
@geindex Subtype (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Subtype}@anchor{5f8}
-@deffn {Attribute} Subtype = 320
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Subtype}@anchor{ae3}
+@deffn {Attribute} Subtype = 320
@end deffn
@geindex Then (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Then}@anchor{5f9}
-@deffn {Attribute} Then = 321
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Then}@anchor{ae4}
+@deffn {Attribute} Then = 321
@end deffn
@geindex To (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To}@anchor{5fa}
-@deffn {Attribute} To = 322
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To}@anchor{ae5}
+@deffn {Attribute} To = 322
@end deffn
@geindex Transport (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transport}@anchor{5fb}
-@deffn {Attribute} Transport = 323
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transport}@anchor{ae6}
+@deffn {Attribute} Transport = 323
@end deffn
@geindex Type (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Type}@anchor{5fc}
-@deffn {Attribute} Type = 324
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Type}@anchor{ae7}
+@deffn {Attribute} Type = 324
@end deffn
@geindex Units (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Units}@anchor{5fd}
-@deffn {Attribute} Units = 325
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Units}@anchor{ae8}
+@deffn {Attribute} Units = 325
@end deffn
@geindex Until (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Until}@anchor{5fe}
-@deffn {Attribute} Until = 326
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Until}@anchor{ae9}
+@deffn {Attribute} Until = 326
@end deffn
@geindex Use (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Use}@anchor{5ff}
-@deffn {Attribute} Use = 327
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Use}@anchor{aea}
+@deffn {Attribute} Use = 327
@end deffn
@geindex Variable (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Variable}@anchor{600}
-@deffn {Attribute} Variable = 328
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Variable}@anchor{aeb}
+@deffn {Attribute} Variable = 328
@end deffn
@geindex Wait (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wait}@anchor{601}
-@deffn {Attribute} Wait = 329
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wait}@anchor{aec}
+@deffn {Attribute} Wait = 329
@end deffn
@geindex When (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name When}@anchor{602}
-@deffn {Attribute} When = 330
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name When}@anchor{aed}
+@deffn {Attribute} When = 330
@end deffn
@geindex While (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name While}@anchor{603}
-@deffn {Attribute} While = 331
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name While}@anchor{aee}
+@deffn {Attribute} While = 331
@end deffn
@geindex With (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name With}@anchor{604}
-@deffn {Attribute} With = 332
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name With}@anchor{aef}
+@deffn {Attribute} With = 332
@end deffn
@geindex And (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name And}@anchor{605}
-@deffn {Attribute} And = 333
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name And}@anchor{af0}
+@deffn {Attribute} And = 333
@end deffn
@geindex Or (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Or}@anchor{606}
-@deffn {Attribute} Or = 334
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Or}@anchor{af1}
+@deffn {Attribute} Or = 334
@end deffn
@geindex Xor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xor}@anchor{607}
-@deffn {Attribute} Xor = 335
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xor}@anchor{af2}
+@deffn {Attribute} Xor = 335
@end deffn
@geindex Nand (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nand}@anchor{608}
-@deffn {Attribute} Nand = 336
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nand}@anchor{af3}
+@deffn {Attribute} Nand = 336
@end deffn
@geindex Nor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nor}@anchor{609}
-@deffn {Attribute} Nor = 337
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nor}@anchor{af4}
+@deffn {Attribute} Nor = 337
@end deffn
@geindex Last_Vhdl87 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl87}@anchor{60a}
-@deffn {Attribute} Last_Vhdl87 = 337
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl87}@anchor{af5}
+@deffn {Attribute} Last_Vhdl87 = 337
@end deffn
@geindex Xnor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xnor}@anchor{60b}
-@deffn {Attribute} Xnor = 338
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xnor}@anchor{af6}
+@deffn {Attribute} Xnor = 338
@end deffn
@geindex Group (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Group}@anchor{60c}
-@deffn {Attribute} Group = 339
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Group}@anchor{af7}
+@deffn {Attribute} Group = 339
@end deffn
@geindex Impure (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Impure}@anchor{60d}
-@deffn {Attribute} Impure = 340
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Impure}@anchor{af8}
+@deffn {Attribute} Impure = 340
@end deffn
@geindex Inertial (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inertial}@anchor{60e}
-@deffn {Attribute} Inertial = 341
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inertial}@anchor{af9}
+@deffn {Attribute} Inertial = 341
@end deffn
@geindex Literal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Literal}@anchor{60f}
-@deffn {Attribute} Literal = 342
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Literal}@anchor{afa}
+@deffn {Attribute} Literal = 342
@end deffn
@geindex Postponed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Postponed}@anchor{610}
-@deffn {Attribute} Postponed = 343
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Postponed}@anchor{afb}
+@deffn {Attribute} Postponed = 343
@end deffn
@geindex Pure (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pure}@anchor{611}
-@deffn {Attribute} Pure = 344
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pure}@anchor{afc}
+@deffn {Attribute} Pure = 344
@end deffn
@geindex Reject (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reject}@anchor{612}
-@deffn {Attribute} Reject = 345
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reject}@anchor{afd}
+@deffn {Attribute} Reject = 345
@end deffn
@geindex Shared (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shared}@anchor{613}
-@deffn {Attribute} Shared = 346
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shared}@anchor{afe}
+@deffn {Attribute} Shared = 346
@end deffn
@geindex Unaffected (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unaffected}@anchor{614}
-@deffn {Attribute} Unaffected = 347
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unaffected}@anchor{aff}
+@deffn {Attribute} Unaffected = 347
@end deffn
@geindex Sll (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sll}@anchor{615}
-@deffn {Attribute} Sll = 348
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sll}@anchor{b00}
+@deffn {Attribute} Sll = 348
@end deffn
@geindex Sla (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sla}@anchor{616}
-@deffn {Attribute} Sla = 349
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sla}@anchor{b01}
+@deffn {Attribute} Sla = 349
@end deffn
@geindex Sra (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sra}@anchor{617}
-@deffn {Attribute} Sra = 350
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sra}@anchor{b02}
+@deffn {Attribute} Sra = 350
@end deffn
@geindex Srl (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Srl}@anchor{618}
-@deffn {Attribute} Srl = 351
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Srl}@anchor{b03}
+@deffn {Attribute} Srl = 351
@end deffn
@geindex Rol (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rol}@anchor{619}
-@deffn {Attribute} Rol = 352
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rol}@anchor{b04}
+@deffn {Attribute} Rol = 352
@end deffn
@geindex Ror (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ror}@anchor{61a}
-@deffn {Attribute} Ror = 353
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ror}@anchor{b05}
+@deffn {Attribute} Ror = 353
@end deffn
@geindex Last_Vhdl93 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl93}@anchor{61b}
-@deffn {Attribute} Last_Vhdl93 = 353
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl93}@anchor{b06}
+@deffn {Attribute} Last_Vhdl93 = 353
@end deffn
@geindex Protected (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Protected}@anchor{61c}
-@deffn {Attribute} Protected = 354
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Protected}@anchor{b07}
+@deffn {Attribute} Protected = 354
@end deffn
@geindex Last_Vhdl00 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl00}@anchor{61d}
-@deffn {Attribute} Last_Vhdl00 = 354
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl00}@anchor{b08}
+@deffn {Attribute} Last_Vhdl00 = 354
@end deffn
@geindex Assume (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assume}@anchor{61e}
-@deffn {Attribute} Assume = 355
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assume}@anchor{b09}
+@deffn {Attribute} Assume = 355
@end deffn
@geindex Context (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Context}@anchor{61f}
-@deffn {Attribute} Context = 356
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Context}@anchor{b0a}
+@deffn {Attribute} Context = 356
@end deffn
@geindex Cover (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cover}@anchor{620}
-@deffn {Attribute} Cover = 357
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cover}@anchor{b0b}
+@deffn {Attribute} Cover = 357
@end deffn
@geindex Default (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default}@anchor{621}
-@deffn {Attribute} Default = 358
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default}@anchor{b0c}
+@deffn {Attribute} Default = 358
@end deffn
@geindex Force (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Force}@anchor{622}
-@deffn {Attribute} Force = 359
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Force}@anchor{b0d}
+@deffn {Attribute} Force = 359
@end deffn
@geindex Parameter (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Parameter}@anchor{623}
-@deffn {Attribute} Parameter = 360
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Parameter}@anchor{b0e}
+@deffn {Attribute} Parameter = 360
@end deffn
@geindex Property (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Property}@anchor{624}
-@deffn {Attribute} Property = 361
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Property}@anchor{b0f}
+@deffn {Attribute} Property = 361
@end deffn
@geindex Release (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Release}@anchor{625}
-@deffn {Attribute} Release = 362
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Release}@anchor{b10}
+@deffn {Attribute} Release = 362
@end deffn
@geindex Restrict (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Restrict}@anchor{626}
-@deffn {Attribute} Restrict = 363
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Restrict}@anchor{b11}
+@deffn {Attribute} Restrict = 363
@end deffn
@geindex Restrict_Guarantee (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Restrict_Guarantee}@anchor{627}
-@deffn {Attribute} Restrict_Guarantee = 364
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Restrict_Guarantee}@anchor{b12}
+@deffn {Attribute} Restrict_Guarantee = 364
@end deffn
@geindex Sequence (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sequence}@anchor{628}
-@deffn {Attribute} Sequence = 365
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sequence}@anchor{b13}
+@deffn {Attribute} Sequence = 365
+@end deffn
+
+@geindex Inherit (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inherit}@anchor{b14}
+@deffn {Attribute} Inherit = 366
@end deffn
@geindex Vmode (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vmode}@anchor{629}
-@deffn {Attribute} Vmode = 366
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vmode}@anchor{b15}
+@deffn {Attribute} Vmode = 367
@end deffn
@geindex Vprop (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vprop}@anchor{62a}
-@deffn {Attribute} Vprop = 367
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vprop}@anchor{b16}
+@deffn {Attribute} Vprop = 368
@end deffn
@geindex Vunit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vunit}@anchor{62b}
-@deffn {Attribute} Vunit = 368
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vunit}@anchor{b17}
+@deffn {Attribute} Vunit = 369
@end deffn
@geindex Last_Vhdl08 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl08}@anchor{62c}
-@deffn {Attribute} Last_Vhdl08 = 368
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl08}@anchor{b18}
+@deffn {Attribute} Last_Vhdl08 = 369
@end deffn
@geindex First_Ams_Keyword (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ams_Keyword}@anchor{62d}
-@deffn {Attribute} First_Ams_Keyword = 369
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ams_Keyword}@anchor{b19}
+@deffn {Attribute} First_Ams_Keyword = 370
@end deffn
@geindex Across (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Across}@anchor{62e}
-@deffn {Attribute} Across = 369
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Across}@anchor{b1a}
+@deffn {Attribute} Across = 370
@end deffn
@geindex Break (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Break}@anchor{62f}
-@deffn {Attribute} Break = 370
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Break}@anchor{b1b}
+@deffn {Attribute} Break = 371
@end deffn
@geindex Limit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Limit}@anchor{630}
-@deffn {Attribute} Limit = 371
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Limit}@anchor{b1c}
+@deffn {Attribute} Limit = 372
@end deffn
@geindex Nature (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nature}@anchor{631}
-@deffn {Attribute} Nature = 372
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nature}@anchor{b1d}
+@deffn {Attribute} Nature = 373
@end deffn
@geindex Noise (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Noise}@anchor{632}
-@deffn {Attribute} Noise = 373
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Noise}@anchor{b1e}
+@deffn {Attribute} Noise = 374
@end deffn
@geindex Procedural (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Procedural}@anchor{633}
-@deffn {Attribute} Procedural = 374
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Procedural}@anchor{b1f}
+@deffn {Attribute} Procedural = 375
@end deffn
@geindex Quantity (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quantity}@anchor{634}
-@deffn {Attribute} Quantity = 375
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quantity}@anchor{b20}
+@deffn {Attribute} Quantity = 376
@end deffn
@geindex Reference (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reference}@anchor{635}
-@deffn {Attribute} Reference = 376
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reference}@anchor{b21}
+@deffn {Attribute} Reference = 377
@end deffn
@geindex Spectrum (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Spectrum}@anchor{636}
-@deffn {Attribute} Spectrum = 377
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Spectrum}@anchor{b22}
+@deffn {Attribute} Spectrum = 378
@end deffn
@geindex Subnature (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Subnature}@anchor{637}
-@deffn {Attribute} Subnature = 378
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Subnature}@anchor{b23}
+@deffn {Attribute} Subnature = 379
@end deffn
@geindex Terminal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Terminal}@anchor{638}
-@deffn {Attribute} Terminal = 379
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Terminal}@anchor{b24}
+@deffn {Attribute} Terminal = 380
@end deffn
@geindex Through (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Through}@anchor{639}
-@deffn {Attribute} Through = 380
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Through}@anchor{b25}
+@deffn {Attribute} Through = 381
@end deffn
@geindex Tolerance (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tolerance}@anchor{63a}
-@deffn {Attribute} Tolerance = 381
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tolerance}@anchor{b26}
+@deffn {Attribute} Tolerance = 382
@end deffn
@geindex Last_AMS_Vhdl (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_AMS_Vhdl}@anchor{63b}
-@deffn {Attribute} Last_AMS_Vhdl = 381
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_AMS_Vhdl}@anchor{b27}
+@deffn {Attribute} Last_AMS_Vhdl = 382
@end deffn
@geindex Last_Keyword (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Keyword}@anchor{63c}
-@deffn {Attribute} Last_Keyword = 381
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Keyword}@anchor{b28}
+@deffn {Attribute} Last_Keyword = 382
@end deffn
@geindex First_Verilog (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Verilog}@anchor{63d}
-@deffn {Attribute} First_Verilog = 382
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Verilog}@anchor{b29}
+@deffn {Attribute} First_Verilog = 383
@end deffn
@geindex Always (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always}@anchor{63e}
-@deffn {Attribute} Always = 382
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always}@anchor{b2a}
+@deffn {Attribute} Always = 383
@end deffn
@geindex Assign (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assign}@anchor{63f}
-@deffn {Attribute} Assign = 383
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assign}@anchor{b2b}
+@deffn {Attribute} Assign = 384
@end deffn
@geindex Buf (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Buf}@anchor{640}
-@deffn {Attribute} Buf = 384
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Buf}@anchor{b2c}
+@deffn {Attribute} Buf = 385
@end deffn
@geindex Bufif0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bufif0}@anchor{641}
-@deffn {Attribute} Bufif0 = 385
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bufif0}@anchor{b2d}
+@deffn {Attribute} Bufif0 = 386
@end deffn
@geindex Bufif1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bufif1}@anchor{642}
-@deffn {Attribute} Bufif1 = 386
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bufif1}@anchor{b2e}
+@deffn {Attribute} Bufif1 = 387
@end deffn
@geindex Casex (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Casex}@anchor{643}
-@deffn {Attribute} Casex = 387
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Casex}@anchor{b2f}
+@deffn {Attribute} Casex = 388
@end deffn
@geindex Casez (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Casez}@anchor{644}
-@deffn {Attribute} Casez = 388
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Casez}@anchor{b30}
+@deffn {Attribute} Casez = 389
@end deffn
@geindex Cmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cmos}@anchor{645}
-@deffn {Attribute} Cmos = 389
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cmos}@anchor{b31}
+@deffn {Attribute} Cmos = 390
@end deffn
@geindex Deassign (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deassign}@anchor{646}
-@deffn {Attribute} Deassign = 390
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deassign}@anchor{b32}
+@deffn {Attribute} Deassign = 391
@end deffn
@geindex Defparam (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Defparam}@anchor{647}
-@deffn {Attribute} Defparam = 391
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Defparam}@anchor{b33}
+@deffn {Attribute} Defparam = 392
@end deffn
@geindex Disable (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Disable}@anchor{648}
-@deffn {Attribute} Disable = 392
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Disable}@anchor{b34}
+@deffn {Attribute} Disable = 393
@end deffn
@geindex Edge (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Edge}@anchor{649}
-@deffn {Attribute} Edge = 393
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Edge}@anchor{b35}
+@deffn {Attribute} Edge = 394
@end deffn
@geindex Endcase (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endcase}@anchor{64a}
-@deffn {Attribute} Endcase = 394
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endcase}@anchor{b36}
+@deffn {Attribute} Endcase = 395
@end deffn
@geindex Endfunction (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endfunction}@anchor{64b}
-@deffn {Attribute} Endfunction = 395
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endfunction}@anchor{b37}
+@deffn {Attribute} Endfunction = 396
@end deffn
@geindex Endmodule (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endmodule}@anchor{64c}
-@deffn {Attribute} Endmodule = 396
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endmodule}@anchor{b38}
+@deffn {Attribute} Endmodule = 397
@end deffn
@geindex Endprimitive (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endprimitive}@anchor{64d}
-@deffn {Attribute} Endprimitive = 397
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endprimitive}@anchor{b39}
+@deffn {Attribute} Endprimitive = 398
@end deffn
@geindex Endspecify (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endspecify}@anchor{64e}
-@deffn {Attribute} Endspecify = 398
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endspecify}@anchor{b3a}
+@deffn {Attribute} Endspecify = 399
@end deffn
@geindex Endtable (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtable}@anchor{64f}
-@deffn {Attribute} Endtable = 399
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtable}@anchor{b3b}
+@deffn {Attribute} Endtable = 400
@end deffn
@geindex Endtask (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtask}@anchor{650}
-@deffn {Attribute} Endtask = 400
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtask}@anchor{b3c}
+@deffn {Attribute} Endtask = 401
@end deffn
@geindex Forever (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forever}@anchor{651}
-@deffn {Attribute} Forever = 401
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forever}@anchor{b3d}
+@deffn {Attribute} Forever = 402
@end deffn
@geindex Fork (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fork}@anchor{652}
-@deffn {Attribute} Fork = 402
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fork}@anchor{b3e}
+@deffn {Attribute} Fork = 403
@end deffn
@geindex Highz0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Highz0}@anchor{653}
-@deffn {Attribute} Highz0 = 403
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Highz0}@anchor{b3f}
+@deffn {Attribute} Highz0 = 404
@end deffn
@geindex Highz1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Highz1}@anchor{654}
-@deffn {Attribute} Highz1 = 404
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Highz1}@anchor{b40}
+@deffn {Attribute} Highz1 = 405
@end deffn
@geindex Ifnone (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifnone}@anchor{655}
-@deffn {Attribute} Ifnone = 405
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifnone}@anchor{b41}
+@deffn {Attribute} Ifnone = 406
@end deffn
@geindex Initial (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Initial}@anchor{656}
-@deffn {Attribute} Initial = 406
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Initial}@anchor{b42}
+@deffn {Attribute} Initial = 407
@end deffn
@geindex Input (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input}@anchor{657}
-@deffn {Attribute} Input = 407
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input}@anchor{b43}
+@deffn {Attribute} Input = 408
@end deffn
@geindex Join (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join}@anchor{658}
-@deffn {Attribute} Join = 408
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join}@anchor{b44}
+@deffn {Attribute} Join = 409
@end deffn
@geindex Large (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Large}@anchor{659}
-@deffn {Attribute} Large = 409
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Large}@anchor{b45}
+@deffn {Attribute} Large = 410
@end deffn
@geindex Macromodule (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Macromodule}@anchor{65a}
-@deffn {Attribute} Macromodule = 410
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Macromodule}@anchor{b46}
+@deffn {Attribute} Macromodule = 411
@end deffn
@geindex Medium (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Medium}@anchor{65b}
-@deffn {Attribute} Medium = 411
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Medium}@anchor{b47}
+@deffn {Attribute} Medium = 412
@end deffn
@geindex Module (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Module}@anchor{65c}
-@deffn {Attribute} Module = 412
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Module}@anchor{b48}
+@deffn {Attribute} Module = 413
@end deffn
@geindex Negedge (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Negedge}@anchor{65d}
-@deffn {Attribute} Negedge = 413
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Negedge}@anchor{b49}
+@deffn {Attribute} Negedge = 414
@end deffn
@geindex Nmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nmos}@anchor{65e}
-@deffn {Attribute} Nmos = 414
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nmos}@anchor{b4a}
+@deffn {Attribute} Nmos = 415
@end deffn
@geindex Notif0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Notif0}@anchor{65f}
-@deffn {Attribute} Notif0 = 415
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Notif0}@anchor{b4b}
+@deffn {Attribute} Notif0 = 416
@end deffn
@geindex Notif1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Notif1}@anchor{660}
-@deffn {Attribute} Notif1 = 416
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Notif1}@anchor{b4c}
+@deffn {Attribute} Notif1 = 417
@end deffn
@geindex Output (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output}@anchor{661}
-@deffn {Attribute} Output = 417
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output}@anchor{b4d}
+@deffn {Attribute} Output = 418
@end deffn
@geindex Pmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pmos}@anchor{662}
-@deffn {Attribute} Pmos = 418
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pmos}@anchor{b4e}
+@deffn {Attribute} Pmos = 419
@end deffn
@geindex Posedge (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Posedge}@anchor{663}
-@deffn {Attribute} Posedge = 419
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Posedge}@anchor{b4f}
+@deffn {Attribute} Posedge = 420
@end deffn
@geindex Primitive (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Primitive}@anchor{664}
-@deffn {Attribute} Primitive = 420
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Primitive}@anchor{b50}
+@deffn {Attribute} Primitive = 421
@end deffn
@geindex Pull0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pull0}@anchor{665}
-@deffn {Attribute} Pull0 = 421
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pull0}@anchor{b51}
+@deffn {Attribute} Pull0 = 422
@end deffn
@geindex Pull1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pull1}@anchor{666}
-@deffn {Attribute} Pull1 = 422
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pull1}@anchor{b52}
+@deffn {Attribute} Pull1 = 423
@end deffn
@geindex Pulldown (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pulldown}@anchor{667}
-@deffn {Attribute} Pulldown = 423
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pulldown}@anchor{b53}
+@deffn {Attribute} Pulldown = 424
@end deffn
@geindex Pullup (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pullup}@anchor{668}
-@deffn {Attribute} Pullup = 424
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pullup}@anchor{b54}
+@deffn {Attribute} Pullup = 425
@end deffn
@geindex Realtime (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Realtime}@anchor{669}
-@deffn {Attribute} Realtime = 425
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Realtime}@anchor{b55}
+@deffn {Attribute} Realtime = 426
@end deffn
@geindex Reg (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reg}@anchor{66a}
-@deffn {Attribute} Reg = 426
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reg}@anchor{b56}
+@deffn {Attribute} Reg = 427
@end deffn
@geindex Repeat (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Repeat}@anchor{66b}
-@deffn {Attribute} Repeat = 427
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Repeat}@anchor{b57}
+@deffn {Attribute} Repeat = 428
@end deffn
@geindex Rcmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rcmos}@anchor{66c}
-@deffn {Attribute} Rcmos = 428
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rcmos}@anchor{b58}
+@deffn {Attribute} Rcmos = 429
@end deffn
@geindex Rnmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rnmos}@anchor{66d}
-@deffn {Attribute} Rnmos = 429
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rnmos}@anchor{b59}
+@deffn {Attribute} Rnmos = 430
@end deffn
@geindex Rpmos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rpmos}@anchor{66e}
-@deffn {Attribute} Rpmos = 430
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rpmos}@anchor{b5a}
+@deffn {Attribute} Rpmos = 431
@end deffn
@geindex Rtran (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtran}@anchor{66f}
-@deffn {Attribute} Rtran = 431
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtran}@anchor{b5b}
+@deffn {Attribute} Rtran = 432
@end deffn
@geindex Rtranif0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtranif0}@anchor{670}
-@deffn {Attribute} Rtranif0 = 432
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtranif0}@anchor{b5c}
+@deffn {Attribute} Rtranif0 = 433
@end deffn
@geindex Rtranif1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtranif1}@anchor{671}
-@deffn {Attribute} Rtranif1 = 433
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rtranif1}@anchor{b5d}
+@deffn {Attribute} Rtranif1 = 434
@end deffn
@geindex Scalared (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Scalared}@anchor{672}
-@deffn {Attribute} Scalared = 434
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Scalared}@anchor{b5e}
+@deffn {Attribute} Scalared = 435
@end deffn
@geindex Small (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Small}@anchor{673}
-@deffn {Attribute} Small = 435
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Small}@anchor{b5f}
+@deffn {Attribute} Small = 436
@end deffn
@geindex Specify (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Specify}@anchor{674}
-@deffn {Attribute} Specify = 436
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Specify}@anchor{b60}
+@deffn {Attribute} Specify = 437
@end deffn
@geindex Specparam (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Specparam}@anchor{675}
-@deffn {Attribute} Specparam = 437
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Specparam}@anchor{b61}
+@deffn {Attribute} Specparam = 438
@end deffn
@geindex Strong0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong0}@anchor{676}
-@deffn {Attribute} Strong0 = 438
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong0}@anchor{b62}
+@deffn {Attribute} Strong0 = 439
@end deffn
@geindex Strong1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong1}@anchor{677}
-@deffn {Attribute} Strong1 = 439
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong1}@anchor{b63}
+@deffn {Attribute} Strong1 = 440
@end deffn
@geindex Supply0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Supply0}@anchor{678}
-@deffn {Attribute} Supply0 = 440
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Supply0}@anchor{b64}
+@deffn {Attribute} Supply0 = 441
@end deffn
@geindex Supply1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Supply1}@anchor{679}
-@deffn {Attribute} Supply1 = 441
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Supply1}@anchor{b65}
+@deffn {Attribute} Supply1 = 442
@end deffn
@geindex Tablex (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tablex}@anchor{67a}
-@deffn {Attribute} Tablex = 442
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tablex}@anchor{b66}
+@deffn {Attribute} Tablex = 443
@end deffn
@geindex Task (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Task}@anchor{67b}
-@deffn {Attribute} Task = 443
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Task}@anchor{b67}
+@deffn {Attribute} Task = 444
@end deffn
@geindex Tran (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tran}@anchor{67c}
-@deffn {Attribute} Tran = 444
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tran}@anchor{b68}
+@deffn {Attribute} Tran = 445
@end deffn
@geindex Tranif0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tranif0}@anchor{67d}
-@deffn {Attribute} Tranif0 = 445
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tranif0}@anchor{b69}
+@deffn {Attribute} Tranif0 = 446
@end deffn
@geindex Tranif1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tranif1}@anchor{67e}
-@deffn {Attribute} Tranif1 = 446
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tranif1}@anchor{b6a}
+@deffn {Attribute} Tranif1 = 447
@end deffn
@geindex Tri (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri}@anchor{67f}
-@deffn {Attribute} Tri = 447
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri}@anchor{b6b}
+@deffn {Attribute} Tri = 448
@end deffn
@geindex Tri0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri0}@anchor{680}
-@deffn {Attribute} Tri0 = 448
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri0}@anchor{b6c}
+@deffn {Attribute} Tri0 = 449
@end deffn
@geindex Tri1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri1}@anchor{681}
-@deffn {Attribute} Tri1 = 449
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tri1}@anchor{b6d}
+@deffn {Attribute} Tri1 = 450
@end deffn
@geindex Triand (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Triand}@anchor{682}
-@deffn {Attribute} Triand = 450
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Triand}@anchor{b6e}
+@deffn {Attribute} Triand = 451
@end deffn
@geindex Trior (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Trior}@anchor{683}
-@deffn {Attribute} Trior = 451
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Trior}@anchor{b6f}
+@deffn {Attribute} Trior = 452
@end deffn
@geindex Trireg (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Trireg}@anchor{684}
-@deffn {Attribute} Trireg = 452
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Trireg}@anchor{b70}
+@deffn {Attribute} Trireg = 453
@end deffn
@geindex Vectored (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vectored}@anchor{685}
-@deffn {Attribute} Vectored = 453
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vectored}@anchor{b71}
+@deffn {Attribute} Vectored = 454
@end deffn
@geindex Wand (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wand}@anchor{686}
-@deffn {Attribute} Wand = 454
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wand}@anchor{b72}
+@deffn {Attribute} Wand = 455
@end deffn
@geindex Weak0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Weak0}@anchor{687}
-@deffn {Attribute} Weak0 = 455
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Weak0}@anchor{b73}
+@deffn {Attribute} Weak0 = 456
@end deffn
@geindex Weak1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Weak1}@anchor{688}
-@deffn {Attribute} Weak1 = 456
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Weak1}@anchor{b74}
+@deffn {Attribute} Weak1 = 457
@end deffn
@geindex Wire (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wire}@anchor{689}
-@deffn {Attribute} Wire = 457
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wire}@anchor{b75}
+@deffn {Attribute} Wire = 458
@end deffn
@geindex Wor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wor}@anchor{68a}
-@deffn {Attribute} Wor = 458
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wor}@anchor{b76}
+@deffn {Attribute} Wor = 459
@end deffn
@geindex Last_Verilog (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Verilog}@anchor{68b}
-@deffn {Attribute} Last_Verilog = 458
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Verilog}@anchor{b77}
+@deffn {Attribute} Last_Verilog = 459
@end deffn
@geindex First_V2001 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_V2001}@anchor{68c}
-@deffn {Attribute} First_V2001 = 459
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_V2001}@anchor{b78}
+@deffn {Attribute} First_V2001 = 460
@end deffn
@geindex Automatic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Automatic}@anchor{68d}
-@deffn {Attribute} Automatic = 459
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Automatic}@anchor{b79}
+@deffn {Attribute} Automatic = 460
@end deffn
@geindex Endgenerate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endgenerate}@anchor{68e}
-@deffn {Attribute} Endgenerate = 460
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endgenerate}@anchor{b7a}
+@deffn {Attribute} Endgenerate = 461
@end deffn
@geindex Genvar (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Genvar}@anchor{68f}
-@deffn {Attribute} Genvar = 461
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Genvar}@anchor{b7b}
+@deffn {Attribute} Genvar = 462
@end deffn
@geindex Localparam (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Localparam}@anchor{690}
-@deffn {Attribute} Localparam = 462
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Localparam}@anchor{b7c}
+@deffn {Attribute} Localparam = 463
@end deffn
@geindex Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unsigned}@anchor{691}
-@deffn {Attribute} Unsigned = 463
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unsigned}@anchor{b7d}
+@deffn {Attribute} Unsigned = 464
@end deffn
@geindex Signed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Signed}@anchor{692}
-@deffn {Attribute} Signed = 464
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Signed}@anchor{b7e}
+@deffn {Attribute} Signed = 465
@end deffn
@geindex Last_V2001 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_V2001}@anchor{693}
-@deffn {Attribute} Last_V2001 = 464
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_V2001}@anchor{b7f}
+@deffn {Attribute} Last_V2001 = 465
@end deffn
@geindex Uwire (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uwire}@anchor{694}
-@deffn {Attribute} Uwire = 465
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uwire}@anchor{b80}
+@deffn {Attribute} Uwire = 466
@end deffn
@geindex First_SV3_0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_0}@anchor{695}
-@deffn {Attribute} First_SV3_0 = 466
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_0}@anchor{b81}
+@deffn {Attribute} First_SV3_0 = 467
@end deffn
@geindex Always_Comb (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Comb}@anchor{696}
-@deffn {Attribute} Always_Comb = 466
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Comb}@anchor{b82}
+@deffn {Attribute} Always_Comb = 467
@end deffn
@geindex Always_Ff (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Ff}@anchor{697}
-@deffn {Attribute} Always_Ff = 467
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Ff}@anchor{b83}
+@deffn {Attribute} Always_Ff = 468
@end deffn
@geindex Always_Latch (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Latch}@anchor{698}
-@deffn {Attribute} Always_Latch = 468
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Always_Latch}@anchor{b84}
+@deffn {Attribute} Always_Latch = 469
@end deffn
@geindex Bit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bit}@anchor{699}
-@deffn {Attribute} Bit = 469
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bit}@anchor{b85}
+@deffn {Attribute} Bit = 470
@end deffn
@geindex Byte (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Byte}@anchor{69a}
-@deffn {Attribute} Byte = 470
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Byte}@anchor{b86}
+@deffn {Attribute} Byte = 471
@end deffn
@geindex Changed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Changed}@anchor{69b}
-@deffn {Attribute} Changed = 471
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Changed}@anchor{b87}
+@deffn {Attribute} Changed = 472
@end deffn
@geindex Char (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Char}@anchor{69c}
-@deffn {Attribute} Char = 472
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Char}@anchor{b88}
+@deffn {Attribute} Char = 473
@end deffn
@geindex Const (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Const}@anchor{69d}
-@deffn {Attribute} Const = 473
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Const}@anchor{b89}
+@deffn {Attribute} Const = 474
@end deffn
@geindex Continue (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Continue}@anchor{69e}
-@deffn {Attribute} Continue = 474
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Continue}@anchor{b8a}
+@deffn {Attribute} Continue = 475
@end deffn
@geindex Do (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Do}@anchor{69f}
-@deffn {Attribute} Do = 475
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Do}@anchor{b8b}
+@deffn {Attribute} Do = 476
@end deffn
@geindex Endinterface (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endinterface}@anchor{6a0}
-@deffn {Attribute} Endinterface = 476
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endinterface}@anchor{b8c}
+@deffn {Attribute} Endinterface = 477
@end deffn
@geindex Endtransition (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtransition}@anchor{6a1}
-@deffn {Attribute} Endtransition = 477
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtransition}@anchor{b8d}
+@deffn {Attribute} Endtransition = 478
@end deffn
@geindex Enum (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enum}@anchor{6a2}
-@deffn {Attribute} Enum = 478
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enum}@anchor{b8e}
+@deffn {Attribute} Enum = 479
@end deffn
@geindex Export (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Export}@anchor{6a3}
-@deffn {Attribute} Export = 479
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Export}@anchor{b8f}
+@deffn {Attribute} Export = 480
@end deffn
@geindex Extern (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Extern}@anchor{6a4}
-@deffn {Attribute} Extern = 480
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Extern}@anchor{b90}
+@deffn {Attribute} Extern = 481
@end deffn
@geindex Forkjoin (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forkjoin}@anchor{6a5}
-@deffn {Attribute} Forkjoin = 481
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forkjoin}@anchor{b91}
+@deffn {Attribute} Forkjoin = 482
@end deffn
@geindex Iff (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Iff}@anchor{6a6}
-@deffn {Attribute} Iff = 482
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Iff}@anchor{b92}
+@deffn {Attribute} Iff = 483
@end deffn
@geindex Import (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Import}@anchor{6a7}
-@deffn {Attribute} Import = 483
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Import}@anchor{b93}
+@deffn {Attribute} Import = 484
@end deffn
@geindex Int (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Int}@anchor{6a8}
-@deffn {Attribute} Int = 484
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Int}@anchor{b94}
+@deffn {Attribute} Int = 485
@end deffn
@geindex Interface (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Interface}@anchor{6a9}
-@deffn {Attribute} Interface = 485
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Interface}@anchor{b95}
+@deffn {Attribute} Interface = 486
@end deffn
@geindex Logic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Logic}@anchor{6aa}
-@deffn {Attribute} Logic = 486
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Logic}@anchor{b96}
+@deffn {Attribute} Logic = 487
@end deffn
@geindex Longint (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Longint}@anchor{6ab}
-@deffn {Attribute} Longint = 487
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Longint}@anchor{b97}
+@deffn {Attribute} Longint = 488
@end deffn
@geindex Longreal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Longreal}@anchor{6ac}
-@deffn {Attribute} Longreal = 488
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Longreal}@anchor{b98}
+@deffn {Attribute} Longreal = 489
@end deffn
@geindex Modport (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Modport}@anchor{6ad}
-@deffn {Attribute} Modport = 489
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Modport}@anchor{b99}
+@deffn {Attribute} Modport = 490
@end deffn
@geindex Packed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Packed}@anchor{6ae}
-@deffn {Attribute} Packed = 490
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Packed}@anchor{b9a}
+@deffn {Attribute} Packed = 491
@end deffn
@geindex Priority (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Priority}@anchor{6af}
-@deffn {Attribute} Priority = 491
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Priority}@anchor{b9b}
+@deffn {Attribute} Priority = 492
@end deffn
@geindex Shortint (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shortint}@anchor{6b0}
-@deffn {Attribute} Shortint = 492
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shortint}@anchor{b9c}
+@deffn {Attribute} Shortint = 493
@end deffn
@geindex Shortreal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shortreal}@anchor{6b1}
-@deffn {Attribute} Shortreal = 493
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shortreal}@anchor{b9d}
+@deffn {Attribute} Shortreal = 494
@end deffn
@geindex Static (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Static}@anchor{6b2}
-@deffn {Attribute} Static = 494
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Static}@anchor{b9e}
+@deffn {Attribute} Static = 495
@end deffn
@geindex Struct (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Struct}@anchor{6b3}
-@deffn {Attribute} Struct = 495
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Struct}@anchor{b9f}
+@deffn {Attribute} Struct = 496
@end deffn
@geindex Timeprecision (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timeprecision}@anchor{6b4}
-@deffn {Attribute} Timeprecision = 496
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timeprecision}@anchor{ba0}
+@deffn {Attribute} Timeprecision = 497
@end deffn
@geindex Timeunit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timeunit}@anchor{6b5}
-@deffn {Attribute} Timeunit = 497
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timeunit}@anchor{ba1}
+@deffn {Attribute} Timeunit = 498
@end deffn
@geindex Transition (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transition}@anchor{6b6}
-@deffn {Attribute} Transition = 498
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transition}@anchor{ba2}
+@deffn {Attribute} Transition = 499
@end deffn
@geindex Typedef (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Typedef}@anchor{6b7}
-@deffn {Attribute} Typedef = 499
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Typedef}@anchor{ba3}
+@deffn {Attribute} Typedef = 500
@end deffn
@geindex Union (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Union}@anchor{6b8}
-@deffn {Attribute} Union = 500
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Union}@anchor{ba4}
+@deffn {Attribute} Union = 501
@end deffn
@geindex Unique (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unique}@anchor{6b9}
-@deffn {Attribute} Unique = 501
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unique}@anchor{ba5}
+@deffn {Attribute} Unique = 502
@end deffn
@geindex Unique0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unique0}@anchor{6ba}
-@deffn {Attribute} Unique0 = 502
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unique0}@anchor{ba6}
+@deffn {Attribute} Unique0 = 503
@end deffn
@geindex Void (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Void}@anchor{6bb}
-@deffn {Attribute} Void = 503
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Void}@anchor{ba7}
+@deffn {Attribute} Void = 504
@end deffn
@geindex Last_SV3_0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_0}@anchor{6bc}
-@deffn {Attribute} Last_SV3_0 = 503
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_0}@anchor{ba8}
+@deffn {Attribute} Last_SV3_0 = 504
@end deffn
@geindex First_SV3_1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_1}@anchor{6bd}
-@deffn {Attribute} First_SV3_1 = 504
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_1}@anchor{ba9}
+@deffn {Attribute} First_SV3_1 = 505
@end deffn
@geindex Chandle (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Chandle}@anchor{6be}
-@deffn {Attribute} Chandle = 504
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Chandle}@anchor{baa}
+@deffn {Attribute} Chandle = 505
@end deffn
@geindex Class (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Class}@anchor{6bf}
-@deffn {Attribute} Class = 505
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Class}@anchor{bab}
+@deffn {Attribute} Class = 506
@end deffn
@geindex Clocking (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clocking}@anchor{6c0}
-@deffn {Attribute} Clocking = 506
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clocking}@anchor{bac}
+@deffn {Attribute} Clocking = 507
@end deffn
@geindex Constraint (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Constraint}@anchor{6c1}
-@deffn {Attribute} Constraint = 507
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Constraint}@anchor{bad}
+@deffn {Attribute} Constraint = 508
@end deffn
@geindex Dist (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dist}@anchor{6c2}
-@deffn {Attribute} Dist = 508
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dist}@anchor{bae}
+@deffn {Attribute} Dist = 509
@end deffn
@geindex Endclass (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endclass}@anchor{6c3}
-@deffn {Attribute} Endclass = 509
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endclass}@anchor{baf}
+@deffn {Attribute} Endclass = 510
@end deffn
@geindex Endclocking (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endclocking}@anchor{6c4}
-@deffn {Attribute} Endclocking = 510
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endclocking}@anchor{bb0}
+@deffn {Attribute} Endclocking = 511
@end deffn
@geindex Endprogram (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endprogram}@anchor{6c5}
-@deffn {Attribute} Endprogram = 511
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endprogram}@anchor{bb1}
+@deffn {Attribute} Endprogram = 512
@end deffn
@geindex Endproperty (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endproperty}@anchor{6c6}
-@deffn {Attribute} Endproperty = 512
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endproperty}@anchor{bb2}
+@deffn {Attribute} Endproperty = 513
@end deffn
@geindex Endsequence (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endsequence}@anchor{6c7}
-@deffn {Attribute} Endsequence = 513
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endsequence}@anchor{bb3}
+@deffn {Attribute} Endsequence = 514
@end deffn
@geindex Extends (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Extends}@anchor{6c8}
-@deffn {Attribute} Extends = 514
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Extends}@anchor{bb4}
+@deffn {Attribute} Extends = 515
@end deffn
@geindex Final (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Final}@anchor{6c9}
-@deffn {Attribute} Final = 515
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Final}@anchor{bb5}
+@deffn {Attribute} Final = 516
@end deffn
@geindex First_Match (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Match}@anchor{6ca}
-@deffn {Attribute} First_Match = 516
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Match}@anchor{bb6}
+@deffn {Attribute} First_Match = 517
@end deffn
@geindex Inside (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inside}@anchor{6cb}
-@deffn {Attribute} Inside = 517
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inside}@anchor{bb7}
+@deffn {Attribute} Inside = 518
@end deffn
@geindex Intersect (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Intersect}@anchor{6cc}
-@deffn {Attribute} Intersect = 518
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Intersect}@anchor{bb8}
+@deffn {Attribute} Intersect = 519
@end deffn
@geindex Join_Any (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join_Any}@anchor{6cd}
-@deffn {Attribute} Join_Any = 519
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join_Any}@anchor{bb9}
+@deffn {Attribute} Join_Any = 520
@end deffn
@geindex Join_None (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join_None}@anchor{6ce}
-@deffn {Attribute} Join_None = 520
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Join_None}@anchor{bba}
+@deffn {Attribute} Join_None = 521
@end deffn
@geindex Local (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Local}@anchor{6cf}
-@deffn {Attribute} Local = 521
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Local}@anchor{bbb}
+@deffn {Attribute} Local = 522
@end deffn
@geindex Program (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Program}@anchor{6d0}
-@deffn {Attribute} Program = 522
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Program}@anchor{bbc}
+@deffn {Attribute} Program = 523
@end deffn
@geindex Rand (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rand}@anchor{6d1}
-@deffn {Attribute} Rand = 523
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rand}@anchor{bbd}
+@deffn {Attribute} Rand = 524
@end deffn
@geindex Randc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randc}@anchor{6d2}
-@deffn {Attribute} Randc = 524
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randc}@anchor{bbe}
+@deffn {Attribute} Randc = 525
@end deffn
@geindex Ref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ref}@anchor{6d3}
-@deffn {Attribute} Ref = 525
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ref}@anchor{bbf}
+@deffn {Attribute} Ref = 526
@end deffn
@geindex Solve (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Solve}@anchor{6d4}
-@deffn {Attribute} Solve = 526
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Solve}@anchor{bc0}
+@deffn {Attribute} Solve = 527
@end deffn
@geindex String (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name String}@anchor{6d5}
-@deffn {Attribute} String = 527
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name String}@anchor{bc1}
+@deffn {Attribute} String = 528
@end deffn
@geindex Super (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Super}@anchor{6d6}
-@deffn {Attribute} Super = 528
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Super}@anchor{bc2}
+@deffn {Attribute} Super = 529
@end deffn
@geindex This (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name This}@anchor{6d7}
-@deffn {Attribute} This = 529
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name This}@anchor{bc3}
+@deffn {Attribute} This = 530
@end deffn
@geindex Throughout (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Throughout}@anchor{6d8}
-@deffn {Attribute} Throughout = 530
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Throughout}@anchor{bc4}
+@deffn {Attribute} Throughout = 531
@end deffn
@geindex Var (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Var}@anchor{6d9}
-@deffn {Attribute} Var = 531
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Var}@anchor{bc5}
+@deffn {Attribute} Var = 532
@end deffn
@geindex Virtual (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Virtual}@anchor{6da}
-@deffn {Attribute} Virtual = 532
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Virtual}@anchor{bc6}
+@deffn {Attribute} Virtual = 533
@end deffn
@geindex Wait_Order (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wait_Order}@anchor{6db}
-@deffn {Attribute} Wait_Order = 533
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wait_Order}@anchor{bc7}
+@deffn {Attribute} Wait_Order = 534
@end deffn
@geindex Last_SV3_1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_1}@anchor{6dc}
-@deffn {Attribute} Last_SV3_1 = 533
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_1}@anchor{bc8}
+@deffn {Attribute} Last_SV3_1 = 534
@end deffn
@geindex First_SV3_1a (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_1a}@anchor{6dd}
-@deffn {Attribute} First_SV3_1a = 534
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV3_1a}@anchor{bc9}
+@deffn {Attribute} First_SV3_1a = 535
@end deffn
@geindex Covergroup (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Covergroup}@anchor{6de}
-@deffn {Attribute} Covergroup = 534
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Covergroup}@anchor{bca}
+@deffn {Attribute} Covergroup = 535
@end deffn
@geindex Coverpoint (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Coverpoint}@anchor{6df}
-@deffn {Attribute} Coverpoint = 535
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Coverpoint}@anchor{bcb}
+@deffn {Attribute} Coverpoint = 536
@end deffn
@geindex Endgroup (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endgroup}@anchor{6e0}
-@deffn {Attribute} Endgroup = 536
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endgroup}@anchor{bcc}
+@deffn {Attribute} Endgroup = 537
@end deffn
@geindex Endpackage (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpackage}@anchor{6e1}
-@deffn {Attribute} Endpackage = 537
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpackage}@anchor{bcd}
+@deffn {Attribute} Endpackage = 538
@end deffn
@geindex Expect (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Expect}@anchor{6e2}
-@deffn {Attribute} Expect = 538
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Expect}@anchor{bce}
+@deffn {Attribute} Expect = 539
@end deffn
@geindex Foreach (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Foreach}@anchor{6e3}
-@deffn {Attribute} Foreach = 539
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Foreach}@anchor{bcf}
+@deffn {Attribute} Foreach = 540
@end deffn
@geindex Ignore_Bins (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ignore_Bins}@anchor{6e4}
-@deffn {Attribute} Ignore_Bins = 540
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ignore_Bins}@anchor{bd0}
+@deffn {Attribute} Ignore_Bins = 541
@end deffn
@geindex Illegal_Bins (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Illegal_Bins}@anchor{6e5}
-@deffn {Attribute} Illegal_Bins = 541
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Illegal_Bins}@anchor{bd1}
+@deffn {Attribute} Illegal_Bins = 542
@end deffn
@geindex Matches (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Matches}@anchor{6e6}
-@deffn {Attribute} Matches = 542
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Matches}@anchor{bd2}
+@deffn {Attribute} Matches = 543
@end deffn
@geindex Randcase (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randcase}@anchor{6e7}
-@deffn {Attribute} Randcase = 543
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randcase}@anchor{bd3}
+@deffn {Attribute} Randcase = 544
@end deffn
@geindex Randsequence (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randsequence}@anchor{6e8}
-@deffn {Attribute} Randsequence = 544
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randsequence}@anchor{bd4}
+@deffn {Attribute} Randsequence = 545
@end deffn
@geindex Tagged (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tagged}@anchor{6e9}
-@deffn {Attribute} Tagged = 545
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Tagged}@anchor{bd5}
+@deffn {Attribute} Tagged = 546
@end deffn
@geindex Wildcard (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wildcard}@anchor{6ea}
-@deffn {Attribute} Wildcard = 546
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Wildcard}@anchor{bd6}
+@deffn {Attribute} Wildcard = 547
@end deffn
@geindex Last_SV3_1a (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_1a}@anchor{6eb}
-@deffn {Attribute} Last_SV3_1a = 546
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV3_1a}@anchor{bd7}
+@deffn {Attribute} Last_SV3_1a = 547
@end deffn
@geindex First_SV2009 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV2009}@anchor{6ec}
-@deffn {Attribute} First_SV2009 = 547
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV2009}@anchor{bd8}
+@deffn {Attribute} First_SV2009 = 548
@end deffn
@geindex Implies (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Implies}@anchor{6ed}
-@deffn {Attribute} Implies = 547
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Implies}@anchor{bd9}
+@deffn {Attribute} Implies = 548
@end deffn
@geindex S_Until (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S_Until}@anchor{6ee}
-@deffn {Attribute} S_Until = 548
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S_Until}@anchor{bda}
+@deffn {Attribute} S_Until = 549
@end deffn
@geindex S_Until_With (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S_Until_With}@anchor{6ef}
-@deffn {Attribute} S_Until_With = 549
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S_Until_With}@anchor{bdb}
+@deffn {Attribute} S_Until_With = 550
@end deffn
@geindex Until_With (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Until_With}@anchor{6f0}
-@deffn {Attribute} Until_With = 550
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Until_With}@anchor{bdc}
+@deffn {Attribute} Until_With = 551
@end deffn
@geindex Last_SV2009 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV2009}@anchor{6f1}
-@deffn {Attribute} Last_SV2009 = 550
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV2009}@anchor{bdd}
+@deffn {Attribute} Last_SV2009 = 551
@end deffn
@geindex First_Operator (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Operator}@anchor{6f2}
-@deffn {Attribute} First_Operator = 551
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Operator}@anchor{bde}
+@deffn {Attribute} First_Operator = 552
@end deffn
@geindex Op_Equality (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Equality}@anchor{6f3}
-@deffn {Attribute} Op_Equality = 551
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Equality}@anchor{bdf}
+@deffn {Attribute} Op_Equality = 552
@end deffn
@geindex Op_Inequality (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Inequality}@anchor{6f4}
-@deffn {Attribute} Op_Inequality = 552
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Inequality}@anchor{be0}
+@deffn {Attribute} Op_Inequality = 553
@end deffn
@geindex Op_Less (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Less}@anchor{6f5}
-@deffn {Attribute} Op_Less = 553
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Less}@anchor{be1}
+@deffn {Attribute} Op_Less = 554
@end deffn
@geindex Op_Less_Equal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Less_Equal}@anchor{6f6}
-@deffn {Attribute} Op_Less_Equal = 554
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Less_Equal}@anchor{be2}
+@deffn {Attribute} Op_Less_Equal = 555
@end deffn
@geindex Op_Greater (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Greater}@anchor{6f7}
-@deffn {Attribute} Op_Greater = 555
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Greater}@anchor{be3}
+@deffn {Attribute} Op_Greater = 556
@end deffn
@geindex Op_Greater_Equal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Greater_Equal}@anchor{6f8}
-@deffn {Attribute} Op_Greater_Equal = 556
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Greater_Equal}@anchor{be4}
+@deffn {Attribute} Op_Greater_Equal = 557
@end deffn
@geindex Op_Plus (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Plus}@anchor{6f9}
-@deffn {Attribute} Op_Plus = 557
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Plus}@anchor{be5}
+@deffn {Attribute} Op_Plus = 558
@end deffn
@geindex Op_Minus (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Minus}@anchor{6fa}
-@deffn {Attribute} Op_Minus = 558
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Minus}@anchor{be6}
+@deffn {Attribute} Op_Minus = 559
@end deffn
@geindex Op_Mul (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Mul}@anchor{6fb}
-@deffn {Attribute} Op_Mul = 559
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Mul}@anchor{be7}
+@deffn {Attribute} Op_Mul = 560
@end deffn
@geindex Op_Div (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Div}@anchor{6fc}
-@deffn {Attribute} Op_Div = 560
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Div}@anchor{be8}
+@deffn {Attribute} Op_Div = 561
@end deffn
@geindex Op_Exp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Exp}@anchor{6fd}
-@deffn {Attribute} Op_Exp = 561
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Exp}@anchor{be9}
+@deffn {Attribute} Op_Exp = 562
@end deffn
@geindex Op_Concatenation (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Concatenation}@anchor{6fe}
-@deffn {Attribute} Op_Concatenation = 562
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Concatenation}@anchor{bea}
+@deffn {Attribute} Op_Concatenation = 563
@end deffn
@geindex Op_Condition (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Condition}@anchor{6ff}
-@deffn {Attribute} Op_Condition = 563
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Condition}@anchor{beb}
+@deffn {Attribute} Op_Condition = 564
@end deffn
@geindex Op_Match_Equality (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Equality}@anchor{700}
-@deffn {Attribute} Op_Match_Equality = 564
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Equality}@anchor{bec}
+@deffn {Attribute} Op_Match_Equality = 565
@end deffn
@geindex Op_Match_Inequality (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Inequality}@anchor{701}
-@deffn {Attribute} Op_Match_Inequality = 565
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Inequality}@anchor{bed}
+@deffn {Attribute} Op_Match_Inequality = 566
@end deffn
@geindex Op_Match_Less (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Less}@anchor{702}
-@deffn {Attribute} Op_Match_Less = 566
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Less}@anchor{bee}
+@deffn {Attribute} Op_Match_Less = 567
@end deffn
@geindex Op_Match_Less_Equal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Less_Equal}@anchor{703}
-@deffn {Attribute} Op_Match_Less_Equal = 567
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Less_Equal}@anchor{bef}
+@deffn {Attribute} Op_Match_Less_Equal = 568
@end deffn
@geindex Op_Match_Greater (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Greater}@anchor{704}
-@deffn {Attribute} Op_Match_Greater = 568
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Greater}@anchor{bf0}
+@deffn {Attribute} Op_Match_Greater = 569
@end deffn
@geindex Op_Match_Greater_Equal (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Greater_Equal}@anchor{705}
-@deffn {Attribute} Op_Match_Greater_Equal = 569
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Op_Match_Greater_Equal}@anchor{bf1}
+@deffn {Attribute} Op_Match_Greater_Equal = 570
@end deffn
@geindex Last_Operator (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Operator}@anchor{706}
-@deffn {Attribute} Last_Operator = 569
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Operator}@anchor{bf2}
+@deffn {Attribute} Last_Operator = 570
@end deffn
@geindex First_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Attribute}@anchor{707}
-@deffn {Attribute} First_Attribute = 570
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Attribute}@anchor{bf3}
+@deffn {Attribute} First_Attribute = 571
@end deffn
@geindex Base (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Base}@anchor{708}
-@deffn {Attribute} Base = 570
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Base}@anchor{bf4}
+@deffn {Attribute} Base = 571
@end deffn
@geindex Left (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Left}@anchor{709}
-@deffn {Attribute} Left = 571
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Left}@anchor{bf5}
+@deffn {Attribute} Left = 572
@end deffn
@geindex Right (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Right}@anchor{70a}
-@deffn {Attribute} Right = 572
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Right}@anchor{bf6}
+@deffn {Attribute} Right = 573
@end deffn
@geindex High (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name High}@anchor{70b}
-@deffn {Attribute} High = 573
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name High}@anchor{bf7}
+@deffn {Attribute} High = 574
@end deffn
@geindex Low (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Low}@anchor{70c}
-@deffn {Attribute} Low = 574
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Low}@anchor{bf8}
+@deffn {Attribute} Low = 575
@end deffn
@geindex Pos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pos}@anchor{70d}
-@deffn {Attribute} Pos = 575
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pos}@anchor{bf9}
+@deffn {Attribute} Pos = 576
@end deffn
@geindex Val (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Val}@anchor{70e}
-@deffn {Attribute} Val = 576
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Val}@anchor{bfa}
+@deffn {Attribute} Val = 577
@end deffn
@geindex Succ (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Succ}@anchor{70f}
-@deffn {Attribute} Succ = 577
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Succ}@anchor{bfb}
+@deffn {Attribute} Succ = 578
@end deffn
@geindex Pred (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pred}@anchor{710}
-@deffn {Attribute} Pred = 578
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pred}@anchor{bfc}
+@deffn {Attribute} Pred = 579
@end deffn
@geindex Leftof (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Leftof}@anchor{711}
-@deffn {Attribute} Leftof = 579
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Leftof}@anchor{bfd}
+@deffn {Attribute} Leftof = 580
@end deffn
@geindex Rightof (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rightof}@anchor{712}
-@deffn {Attribute} Rightof = 580
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rightof}@anchor{bfe}
+@deffn {Attribute} Rightof = 581
@end deffn
@geindex Reverse_Range (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reverse_Range}@anchor{713}
-@deffn {Attribute} Reverse_Range = 581
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reverse_Range}@anchor{bff}
+@deffn {Attribute} Reverse_Range = 582
@end deffn
@geindex Length (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Length}@anchor{714}
-@deffn {Attribute} Length = 582
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Length}@anchor{c00}
+@deffn {Attribute} Length = 583
@end deffn
@geindex Delayed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delayed}@anchor{715}
-@deffn {Attribute} Delayed = 583
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delayed}@anchor{c01}
+@deffn {Attribute} Delayed = 584
@end deffn
@geindex Stable (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Stable}@anchor{716}
-@deffn {Attribute} Stable = 584
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Stable}@anchor{c02}
+@deffn {Attribute} Stable = 585
@end deffn
@geindex Quiet (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quiet}@anchor{717}
-@deffn {Attribute} Quiet = 585
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quiet}@anchor{c03}
+@deffn {Attribute} Quiet = 586
@end deffn
@geindex Transaction (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transaction}@anchor{718}
-@deffn {Attribute} Transaction = 586
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Transaction}@anchor{c04}
+@deffn {Attribute} Transaction = 587
@end deffn
@geindex Event (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Event}@anchor{719}
-@deffn {Attribute} Event = 587
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Event}@anchor{c05}
+@deffn {Attribute} Event = 588
@end deffn
@geindex Active (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Active}@anchor{71a}
-@deffn {Attribute} Active = 588
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Active}@anchor{c06}
+@deffn {Attribute} Active = 589
@end deffn
@geindex Last_Event (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Event}@anchor{71b}
-@deffn {Attribute} Last_Event = 589
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Event}@anchor{c07}
+@deffn {Attribute} Last_Event = 590
@end deffn
@geindex Last_Active (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Active}@anchor{71c}
-@deffn {Attribute} Last_Active = 590
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Active}@anchor{c08}
+@deffn {Attribute} Last_Active = 591
@end deffn
@geindex Last_Value (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Value}@anchor{71d}
-@deffn {Attribute} Last_Value = 591
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Value}@anchor{c09}
+@deffn {Attribute} Last_Value = 592
@end deffn
@geindex Last_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Attribute}@anchor{71e}
-@deffn {Attribute} Last_Attribute = 591
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Attribute}@anchor{c0a}
+@deffn {Attribute} Last_Attribute = 592
@end deffn
@geindex First_Vhdl87_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl87_Attribute}@anchor{71f}
-@deffn {Attribute} First_Vhdl87_Attribute = 592
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl87_Attribute}@anchor{c0b}
+@deffn {Attribute} First_Vhdl87_Attribute = 593
@end deffn
@geindex Behavior (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Behavior}@anchor{720}
-@deffn {Attribute} Behavior = 592
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Behavior}@anchor{c0c}
+@deffn {Attribute} Behavior = 593
@end deffn
@geindex Structure (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Structure}@anchor{721}
-@deffn {Attribute} Structure = 593
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Structure}@anchor{c0d}
+@deffn {Attribute} Structure = 594
@end deffn
@geindex Last_Vhdl87_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl87_Attribute}@anchor{722}
-@deffn {Attribute} Last_Vhdl87_Attribute = 593
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl87_Attribute}@anchor{c0e}
+@deffn {Attribute} Last_Vhdl87_Attribute = 594
@end deffn
@geindex First_Vhdl93_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl93_Attribute}@anchor{723}
-@deffn {Attribute} First_Vhdl93_Attribute = 594
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl93_Attribute}@anchor{c0f}
+@deffn {Attribute} First_Vhdl93_Attribute = 595
@end deffn
@geindex Ascending (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ascending}@anchor{724}
-@deffn {Attribute} Ascending = 594
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ascending}@anchor{c10}
+@deffn {Attribute} Ascending = 595
@end deffn
@geindex Image (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Image}@anchor{725}
-@deffn {Attribute} Image = 595
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Image}@anchor{c11}
+@deffn {Attribute} Image = 596
@end deffn
@geindex Value (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Value}@anchor{726}
-@deffn {Attribute} Value = 596
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Value}@anchor{c12}
+@deffn {Attribute} Value = 597
@end deffn
@geindex Driving (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Driving}@anchor{727}
-@deffn {Attribute} Driving = 597
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Driving}@anchor{c13}
+@deffn {Attribute} Driving = 598
@end deffn
@geindex Driving_Value (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Driving_Value}@anchor{728}
-@deffn {Attribute} Driving_Value = 598
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Driving_Value}@anchor{c14}
+@deffn {Attribute} Driving_Value = 599
@end deffn
@geindex Simple_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Simple_Name}@anchor{729}
-@deffn {Attribute} Simple_Name = 599
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Simple_Name}@anchor{c15}
+@deffn {Attribute} Simple_Name = 600
@end deffn
@geindex Instance_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instance_Name}@anchor{72a}
-@deffn {Attribute} Instance_Name = 600
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instance_Name}@anchor{c16}
+@deffn {Attribute} Instance_Name = 601
@end deffn
@geindex Path_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Path_Name}@anchor{72b}
-@deffn {Attribute} Path_Name = 601
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Path_Name}@anchor{c17}
+@deffn {Attribute} Path_Name = 602
@end deffn
@geindex Last_Vhdl93_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl93_Attribute}@anchor{72c}
-@deffn {Attribute} Last_Vhdl93_Attribute = 601
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl93_Attribute}@anchor{c18}
+@deffn {Attribute} Last_Vhdl93_Attribute = 602
@end deffn
@geindex First_Vhdl08_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl08_Attribute}@anchor{72d}
-@deffn {Attribute} First_Vhdl08_Attribute = 602
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Vhdl08_Attribute}@anchor{c19}
+@deffn {Attribute} First_Vhdl08_Attribute = 603
@end deffn
@geindex Element (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Element}@anchor{72e}
-@deffn {Attribute} Element = 602
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Element}@anchor{c1a}
+@deffn {Attribute} Element = 603
@end deffn
@geindex Last_Vhdl08_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl08_Attribute}@anchor{72f}
-@deffn {Attribute} Last_Vhdl08_Attribute = 602
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Vhdl08_Attribute}@anchor{c1b}
+@deffn {Attribute} Last_Vhdl08_Attribute = 603
@end deffn
@geindex First_AMS_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_AMS_Attribute}@anchor{730}
-@deffn {Attribute} First_AMS_Attribute = 603
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_AMS_Attribute}@anchor{c1c}
+@deffn {Attribute} First_AMS_Attribute = 604
@end deffn
@geindex Contribution (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Contribution}@anchor{731}
-@deffn {Attribute} Contribution = 603
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Contribution}@anchor{c1d}
+@deffn {Attribute} Contribution = 604
@end deffn
@geindex Dot (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dot}@anchor{732}
-@deffn {Attribute} Dot = 604
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dot}@anchor{c1e}
+@deffn {Attribute} Dot = 605
@end deffn
@geindex Integ (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integ}@anchor{733}
-@deffn {Attribute} Integ = 605
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integ}@anchor{c1f}
+@deffn {Attribute} Integ = 606
@end deffn
@geindex Above (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Above}@anchor{734}
-@deffn {Attribute} Above = 606
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Above}@anchor{c20}
+@deffn {Attribute} Above = 607
@end deffn
@geindex Zoh (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Zoh}@anchor{735}
-@deffn {Attribute} Zoh = 607
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Zoh}@anchor{c21}
+@deffn {Attribute} Zoh = 608
@end deffn
@geindex Ltf (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ltf}@anchor{736}
-@deffn {Attribute} Ltf = 608
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ltf}@anchor{c22}
+@deffn {Attribute} Ltf = 609
@end deffn
@geindex Ztf (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ztf}@anchor{737}
-@deffn {Attribute} Ztf = 609
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ztf}@anchor{c23}
+@deffn {Attribute} Ztf = 610
@end deffn
@geindex Ramp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ramp}@anchor{738}
-@deffn {Attribute} Ramp = 610
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ramp}@anchor{c24}
+@deffn {Attribute} Ramp = 611
@end deffn
@geindex Slew (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Slew}@anchor{739}
-@deffn {Attribute} Slew = 611
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Slew}@anchor{c25}
+@deffn {Attribute} Slew = 612
@end deffn
@geindex Last_AMS_Attribute (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_AMS_Attribute}@anchor{73a}
-@deffn {Attribute} Last_AMS_Attribute = 611
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_AMS_Attribute}@anchor{c26}
+@deffn {Attribute} Last_AMS_Attribute = 612
@end deffn
@geindex First_Standard (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Standard}@anchor{73b}
-@deffn {Attribute} First_Standard = 612
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Standard}@anchor{c27}
+@deffn {Attribute} First_Standard = 613
@end deffn
@geindex Std (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std}@anchor{73c}
-@deffn {Attribute} Std = 612
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std}@anchor{c28}
+@deffn {Attribute} Std = 613
@end deffn
@geindex Standard (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Standard}@anchor{73d}
-@deffn {Attribute} Standard = 613
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Standard}@anchor{c29}
+@deffn {Attribute} Standard = 614
@end deffn
@geindex Boolean (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Boolean}@anchor{73e}
-@deffn {Attribute} Boolean = 614
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Boolean}@anchor{c2a}
+@deffn {Attribute} Boolean = 615
@end deffn
@geindex NFalse (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NFalse}@anchor{73f}
-@deffn {Attribute} NFalse = 615
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NFalse}@anchor{c2b}
+@deffn {Attribute} NFalse = 616
@end deffn
@geindex NTrue (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NTrue}@anchor{740}
-@deffn {Attribute} NTrue = 616
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NTrue}@anchor{c2c}
+@deffn {Attribute} NTrue = 617
@end deffn
@geindex Character (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Character}@anchor{741}
-@deffn {Attribute} Character = 617
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Character}@anchor{c2d}
+@deffn {Attribute} Character = 618
@end deffn
@geindex Severity_Level (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Severity_Level}@anchor{742}
-@deffn {Attribute} Severity_Level = 618
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Severity_Level}@anchor{c2e}
+@deffn {Attribute} Severity_Level = 619
@end deffn
@geindex Note (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Note}@anchor{743}
-@deffn {Attribute} Note = 619
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Note}@anchor{c2f}
+@deffn {Attribute} Note = 620
@end deffn
@geindex Warning (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Warning}@anchor{744}
-@deffn {Attribute} Warning = 620
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Warning}@anchor{c30}
+@deffn {Attribute} Warning = 621
@end deffn
@geindex Error (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Error}@anchor{745}
-@deffn {Attribute} Error = 621
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Error}@anchor{c31}
+@deffn {Attribute} Error = 622
@end deffn
@geindex Failure (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Failure}@anchor{746}
-@deffn {Attribute} Failure = 622
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Failure}@anchor{c32}
+@deffn {Attribute} Failure = 623
@end deffn
@geindex Universal_Integer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Universal_Integer}@anchor{747}
-@deffn {Attribute} Universal_Integer = 623
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Universal_Integer}@anchor{c33}
+@deffn {Attribute} Universal_Integer = 624
@end deffn
@geindex Universal_Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Universal_Real}@anchor{748}
-@deffn {Attribute} Universal_Real = 624
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Universal_Real}@anchor{c34}
+@deffn {Attribute} Universal_Real = 625
@end deffn
@geindex Convertible_Integer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Convertible_Integer}@anchor{749}
-@deffn {Attribute} Convertible_Integer = 625
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Convertible_Integer}@anchor{c35}
+@deffn {Attribute} Convertible_Integer = 626
@end deffn
@geindex Convertible_Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Convertible_Real}@anchor{74a}
-@deffn {Attribute} Convertible_Real = 626
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Convertible_Real}@anchor{c36}
+@deffn {Attribute} Convertible_Real = 627
@end deffn
@geindex Integer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integer}@anchor{74b}
-@deffn {Attribute} Integer = 627
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integer}@anchor{c37}
+@deffn {Attribute} Integer = 628
@end deffn
@geindex Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Real}@anchor{74c}
-@deffn {Attribute} Real = 628
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Real}@anchor{c38}
+@deffn {Attribute} Real = 629
@end deffn
@geindex Time (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time}@anchor{74d}
-@deffn {Attribute} Time = 629
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time}@anchor{c39}
+@deffn {Attribute} Time = 630
@end deffn
@geindex Fs (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fs}@anchor{74e}
-@deffn {Attribute} Fs = 630
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fs}@anchor{c3a}
+@deffn {Attribute} Fs = 631
@end deffn
@geindex Ps (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ps}@anchor{74f}
-@deffn {Attribute} Ps = 631
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ps}@anchor{c3b}
+@deffn {Attribute} Ps = 632
@end deffn
@geindex Ns (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ns}@anchor{750}
-@deffn {Attribute} Ns = 632
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ns}@anchor{c3c}
+@deffn {Attribute} Ns = 633
@end deffn
@geindex Us (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Us}@anchor{751}
-@deffn {Attribute} Us = 633
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Us}@anchor{c3d}
+@deffn {Attribute} Us = 634
@end deffn
@geindex Ms (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ms}@anchor{752}
-@deffn {Attribute} Ms = 634
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ms}@anchor{c3e}
+@deffn {Attribute} Ms = 635
@end deffn
@geindex Sec (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sec}@anchor{753}
-@deffn {Attribute} Sec = 635
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sec}@anchor{c3f}
+@deffn {Attribute} Sec = 636
@end deffn
@geindex Min (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Min}@anchor{754}
-@deffn {Attribute} Min = 636
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Min}@anchor{c40}
+@deffn {Attribute} Min = 637
@end deffn
@geindex Hr (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Hr}@anchor{755}
-@deffn {Attribute} Hr = 637
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Hr}@anchor{c41}
+@deffn {Attribute} Hr = 638
@end deffn
@geindex Max (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Max}@anchor{756}
-@deffn {Attribute} Max = 638
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Max}@anchor{c42}
+@deffn {Attribute} Max = 639
@end deffn
@geindex Delay_Length (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delay_Length}@anchor{757}
-@deffn {Attribute} Delay_Length = 639
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delay_Length}@anchor{c43}
+@deffn {Attribute} Delay_Length = 640
@end deffn
@geindex Now (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Now}@anchor{758}
-@deffn {Attribute} Now = 640
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Now}@anchor{c44}
+@deffn {Attribute} Now = 641
@end deffn
@geindex Natural (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Natural}@anchor{759}
-@deffn {Attribute} Natural = 641
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Natural}@anchor{c45}
+@deffn {Attribute} Natural = 642
@end deffn
@geindex Positive (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Positive}@anchor{75a}
-@deffn {Attribute} Positive = 642
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Positive}@anchor{c46}
+@deffn {Attribute} Positive = 643
@end deffn
@geindex Bit_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bit_Vector}@anchor{75b}
-@deffn {Attribute} Bit_Vector = 643
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bit_Vector}@anchor{c47}
+@deffn {Attribute} Bit_Vector = 644
@end deffn
@geindex File_Open_Kind (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open_Kind}@anchor{75c}
-@deffn {Attribute} File_Open_Kind = 644
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open_Kind}@anchor{c48}
+@deffn {Attribute} File_Open_Kind = 645
@end deffn
@geindex Read_Mode (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Read_Mode}@anchor{75d}
-@deffn {Attribute} Read_Mode = 645
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Read_Mode}@anchor{c49}
+@deffn {Attribute} Read_Mode = 646
@end deffn
@geindex Write_Mode (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Write_Mode}@anchor{75e}
-@deffn {Attribute} Write_Mode = 646
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Write_Mode}@anchor{c4a}
+@deffn {Attribute} Write_Mode = 647
@end deffn
@geindex Append_Mode (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Append_Mode}@anchor{75f}
-@deffn {Attribute} Append_Mode = 647
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Append_Mode}@anchor{c4b}
+@deffn {Attribute} Append_Mode = 648
@end deffn
@geindex File_Open_Status (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open_Status}@anchor{760}
-@deffn {Attribute} File_Open_Status = 648
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open_Status}@anchor{c4c}
+@deffn {Attribute} File_Open_Status = 649
@end deffn
@geindex Open_Ok (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open_Ok}@anchor{761}
-@deffn {Attribute} Open_Ok = 649
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open_Ok}@anchor{c4d}
+@deffn {Attribute} Open_Ok = 650
@end deffn
@geindex Status_Error (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Status_Error}@anchor{762}
-@deffn {Attribute} Status_Error = 650
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Status_Error}@anchor{c4e}
+@deffn {Attribute} Status_Error = 651
@end deffn
@geindex Name_Error (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Name_Error}@anchor{763}
-@deffn {Attribute} Name_Error = 651
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Name_Error}@anchor{c4f}
+@deffn {Attribute} Name_Error = 652
@end deffn
@geindex Mode_Error (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Mode_Error}@anchor{764}
-@deffn {Attribute} Mode_Error = 652
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Mode_Error}@anchor{c50}
+@deffn {Attribute} Mode_Error = 653
@end deffn
@geindex Foreign (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Foreign}@anchor{765}
-@deffn {Attribute} Foreign = 653
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Foreign}@anchor{c51}
+@deffn {Attribute} Foreign = 654
@end deffn
@geindex Boolean_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Boolean_Vector}@anchor{766}
-@deffn {Attribute} Boolean_Vector = 654
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Boolean_Vector}@anchor{c52}
+@deffn {Attribute} Boolean_Vector = 655
@end deffn
@geindex To_Bstring (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bstring}@anchor{767}
-@deffn {Attribute} To_Bstring = 655
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bstring}@anchor{c53}
+@deffn {Attribute} To_Bstring = 656
@end deffn
@geindex To_Binary_String (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Binary_String}@anchor{768}
-@deffn {Attribute} To_Binary_String = 656
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Binary_String}@anchor{c54}
+@deffn {Attribute} To_Binary_String = 657
@end deffn
@geindex To_Ostring (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Ostring}@anchor{769}
-@deffn {Attribute} To_Ostring = 657
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Ostring}@anchor{c55}
+@deffn {Attribute} To_Ostring = 658
@end deffn
@geindex To_Octal_String (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Octal_String}@anchor{76a}
-@deffn {Attribute} To_Octal_String = 658
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Octal_String}@anchor{c56}
+@deffn {Attribute} To_Octal_String = 659
@end deffn
@geindex To_Hstring (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Hstring}@anchor{76b}
-@deffn {Attribute} To_Hstring = 659
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Hstring}@anchor{c57}
+@deffn {Attribute} To_Hstring = 660
@end deffn
@geindex To_Hex_String (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Hex_String}@anchor{76c}
-@deffn {Attribute} To_Hex_String = 660
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Hex_String}@anchor{c58}
+@deffn {Attribute} To_Hex_String = 661
@end deffn
@geindex Integer_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integer_Vector}@anchor{76d}
-@deffn {Attribute} Integer_Vector = 661
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Integer_Vector}@anchor{c59}
+@deffn {Attribute} Integer_Vector = 662
@end deffn
@geindex Real_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Real_Vector}@anchor{76e}
-@deffn {Attribute} Real_Vector = 662
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Real_Vector}@anchor{c5a}
+@deffn {Attribute} Real_Vector = 663
@end deffn
@geindex Time_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time_Vector}@anchor{76f}
-@deffn {Attribute} Time_Vector = 663
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time_Vector}@anchor{c5b}
+@deffn {Attribute} Time_Vector = 664
@end deffn
@geindex Digits (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Digits}@anchor{770}
-@deffn {Attribute} Digits = 664
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Digits}@anchor{c5c}
+@deffn {Attribute} Digits = 665
@end deffn
@geindex Format (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Format}@anchor{771}
-@deffn {Attribute} Format = 665
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Format}@anchor{c5d}
+@deffn {Attribute} Format = 666
@end deffn
@geindex Unit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unit}@anchor{772}
-@deffn {Attribute} Unit = 666
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unit}@anchor{c5e}
+@deffn {Attribute} Unit = 667
@end deffn
@geindex Domain_Type (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Domain_Type}@anchor{773}
-@deffn {Attribute} Domain_Type = 667
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Domain_Type}@anchor{c5f}
+@deffn {Attribute} Domain_Type = 668
@end deffn
@geindex Quiescent_Domain (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quiescent_Domain}@anchor{774}
-@deffn {Attribute} Quiescent_Domain = 668
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Quiescent_Domain}@anchor{c60}
+@deffn {Attribute} Quiescent_Domain = 669
@end deffn
@geindex Time_Domain (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time_Domain}@anchor{775}
-@deffn {Attribute} Time_Domain = 669
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Time_Domain}@anchor{c61}
+@deffn {Attribute} Time_Domain = 670
@end deffn
@geindex Frequency_Domain (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Frequency_Domain}@anchor{776}
-@deffn {Attribute} Frequency_Domain = 670
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Frequency_Domain}@anchor{c62}
+@deffn {Attribute} Frequency_Domain = 671
@end deffn
@geindex Domain (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Domain}@anchor{777}
-@deffn {Attribute} Domain = 671
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Domain}@anchor{c63}
+@deffn {Attribute} Domain = 672
@end deffn
@geindex Frequency (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Frequency}@anchor{778}
-@deffn {Attribute} Frequency = 672
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Frequency}@anchor{c64}
+@deffn {Attribute} Frequency = 673
@end deffn
@geindex Last_Standard (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Standard}@anchor{779}
-@deffn {Attribute} Last_Standard = 672
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Standard}@anchor{c65}
+@deffn {Attribute} Last_Standard = 673
@end deffn
@geindex First_Charname (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Charname}@anchor{77a}
-@deffn {Attribute} First_Charname = 673
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Charname}@anchor{c66}
+@deffn {Attribute} First_Charname = 674
@end deffn
@geindex Nul (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nul}@anchor{77b}
-@deffn {Attribute} Nul = 673
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nul}@anchor{c67}
+@deffn {Attribute} Nul = 674
@end deffn
@geindex Soh (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Soh}@anchor{77c}
-@deffn {Attribute} Soh = 674
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Soh}@anchor{c68}
+@deffn {Attribute} Soh = 675
@end deffn
@geindex Stx (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Stx}@anchor{77d}
-@deffn {Attribute} Stx = 675
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Stx}@anchor{c69}
+@deffn {Attribute} Stx = 676
@end deffn
@geindex Etx (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Etx}@anchor{77e}
-@deffn {Attribute} Etx = 676
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Etx}@anchor{c6a}
+@deffn {Attribute} Etx = 677
@end deffn
@geindex Eot (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eot}@anchor{77f}
-@deffn {Attribute} Eot = 677
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eot}@anchor{c6b}
+@deffn {Attribute} Eot = 678
@end deffn
@geindex Enq (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enq}@anchor{780}
-@deffn {Attribute} Enq = 678
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enq}@anchor{c6c}
+@deffn {Attribute} Enq = 679
@end deffn
@geindex Ack (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ack}@anchor{781}
-@deffn {Attribute} Ack = 679
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ack}@anchor{c6d}
+@deffn {Attribute} Ack = 680
@end deffn
@geindex Bel (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bel}@anchor{782}
-@deffn {Attribute} Bel = 680
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bel}@anchor{c6e}
+@deffn {Attribute} Bel = 681
@end deffn
@geindex Bs (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bs}@anchor{783}
-@deffn {Attribute} Bs = 681
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bs}@anchor{c6f}
+@deffn {Attribute} Bs = 682
@end deffn
@geindex Ht (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ht}@anchor{784}
-@deffn {Attribute} Ht = 682
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ht}@anchor{c70}
+@deffn {Attribute} Ht = 683
@end deffn
@geindex Lf (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Lf}@anchor{785}
-@deffn {Attribute} Lf = 683
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Lf}@anchor{c71}
+@deffn {Attribute} Lf = 684
@end deffn
@geindex Vt (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vt}@anchor{786}
-@deffn {Attribute} Vt = 684
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Vt}@anchor{c72}
+@deffn {Attribute} Vt = 685
@end deffn
@geindex Ff (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ff}@anchor{787}
-@deffn {Attribute} Ff = 685
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ff}@anchor{c73}
+@deffn {Attribute} Ff = 686
@end deffn
@geindex Cr (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cr}@anchor{788}
-@deffn {Attribute} Cr = 686
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cr}@anchor{c74}
+@deffn {Attribute} Cr = 687
@end deffn
@geindex So (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name So}@anchor{789}
-@deffn {Attribute} So = 687
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name So}@anchor{c75}
+@deffn {Attribute} So = 688
@end deffn
@geindex Si (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Si}@anchor{78a}
-@deffn {Attribute} Si = 688
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Si}@anchor{c76}
+@deffn {Attribute} Si = 689
@end deffn
@geindex Dle (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dle}@anchor{78b}
-@deffn {Attribute} Dle = 689
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dle}@anchor{c77}
+@deffn {Attribute} Dle = 690
@end deffn
@geindex Dc1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc1}@anchor{78c}
-@deffn {Attribute} Dc1 = 690
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc1}@anchor{c78}
+@deffn {Attribute} Dc1 = 691
@end deffn
@geindex Dc2 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc2}@anchor{78d}
-@deffn {Attribute} Dc2 = 691
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc2}@anchor{c79}
+@deffn {Attribute} Dc2 = 692
@end deffn
@geindex Dc3 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc3}@anchor{78e}
-@deffn {Attribute} Dc3 = 692
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc3}@anchor{c7a}
+@deffn {Attribute} Dc3 = 693
@end deffn
@geindex Dc4 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc4}@anchor{78f}
-@deffn {Attribute} Dc4 = 693
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dc4}@anchor{c7b}
+@deffn {Attribute} Dc4 = 694
@end deffn
@geindex Nak (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nak}@anchor{790}
-@deffn {Attribute} Nak = 694
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nak}@anchor{c7c}
+@deffn {Attribute} Nak = 695
@end deffn
@geindex Syn (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Syn}@anchor{791}
-@deffn {Attribute} Syn = 695
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Syn}@anchor{c7d}
+@deffn {Attribute} Syn = 696
@end deffn
@geindex Etb (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Etb}@anchor{792}
-@deffn {Attribute} Etb = 696
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Etb}@anchor{c7e}
+@deffn {Attribute} Etb = 697
@end deffn
@geindex Can (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Can}@anchor{793}
-@deffn {Attribute} Can = 697
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Can}@anchor{c7f}
+@deffn {Attribute} Can = 698
@end deffn
@geindex Em (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Em}@anchor{794}
-@deffn {Attribute} Em = 698
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Em}@anchor{c80}
+@deffn {Attribute} Em = 699
@end deffn
@geindex Sub (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sub}@anchor{795}
-@deffn {Attribute} Sub = 699
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sub}@anchor{c81}
+@deffn {Attribute} Sub = 700
@end deffn
@geindex Esc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Esc}@anchor{796}
-@deffn {Attribute} Esc = 700
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Esc}@anchor{c82}
+@deffn {Attribute} Esc = 701
@end deffn
@geindex Fsp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fsp}@anchor{797}
-@deffn {Attribute} Fsp = 701
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fsp}@anchor{c83}
+@deffn {Attribute} Fsp = 702
@end deffn
@geindex Gsp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Gsp}@anchor{798}
-@deffn {Attribute} Gsp = 702
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Gsp}@anchor{c84}
+@deffn {Attribute} Gsp = 703
@end deffn
@geindex Rsp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rsp}@anchor{799}
-@deffn {Attribute} Rsp = 703
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rsp}@anchor{c85}
+@deffn {Attribute} Rsp = 704
@end deffn
@geindex Usp (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Usp}@anchor{79a}
-@deffn {Attribute} Usp = 704
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Usp}@anchor{c86}
+@deffn {Attribute} Usp = 705
@end deffn
@geindex Del (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Del}@anchor{79b}
-@deffn {Attribute} Del = 705
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Del}@anchor{c87}
+@deffn {Attribute} Del = 706
@end deffn
@geindex C128 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C128}@anchor{79c}
-@deffn {Attribute} C128 = 706
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C128}@anchor{c88}
+@deffn {Attribute} C128 = 707
@end deffn
@geindex C129 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C129}@anchor{79d}
-@deffn {Attribute} C129 = 707
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C129}@anchor{c89}
+@deffn {Attribute} C129 = 708
@end deffn
@geindex C130 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C130}@anchor{79e}
-@deffn {Attribute} C130 = 708
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C130}@anchor{c8a}
+@deffn {Attribute} C130 = 709
@end deffn
@geindex C131 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C131}@anchor{79f}
-@deffn {Attribute} C131 = 709
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C131}@anchor{c8b}
+@deffn {Attribute} C131 = 710
@end deffn
@geindex C132 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C132}@anchor{7a0}
-@deffn {Attribute} C132 = 710
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C132}@anchor{c8c}
+@deffn {Attribute} C132 = 711
@end deffn
@geindex C133 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C133}@anchor{7a1}
-@deffn {Attribute} C133 = 711
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C133}@anchor{c8d}
+@deffn {Attribute} C133 = 712
@end deffn
@geindex C134 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C134}@anchor{7a2}
-@deffn {Attribute} C134 = 712
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C134}@anchor{c8e}
+@deffn {Attribute} C134 = 713
@end deffn
@geindex C135 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C135}@anchor{7a3}
-@deffn {Attribute} C135 = 713
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C135}@anchor{c8f}
+@deffn {Attribute} C135 = 714
@end deffn
@geindex C136 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C136}@anchor{7a4}
-@deffn {Attribute} C136 = 714
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C136}@anchor{c90}
+@deffn {Attribute} C136 = 715
@end deffn
@geindex C137 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C137}@anchor{7a5}
-@deffn {Attribute} C137 = 715
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C137}@anchor{c91}
+@deffn {Attribute} C137 = 716
@end deffn
@geindex C138 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C138}@anchor{7a6}
-@deffn {Attribute} C138 = 716
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C138}@anchor{c92}
+@deffn {Attribute} C138 = 717
@end deffn
@geindex C139 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C139}@anchor{7a7}
-@deffn {Attribute} C139 = 717
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C139}@anchor{c93}
+@deffn {Attribute} C139 = 718
@end deffn
@geindex C140 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C140}@anchor{7a8}
-@deffn {Attribute} C140 = 718
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C140}@anchor{c94}
+@deffn {Attribute} C140 = 719
@end deffn
@geindex C141 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C141}@anchor{7a9}
-@deffn {Attribute} C141 = 719
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C141}@anchor{c95}
+@deffn {Attribute} C141 = 720
@end deffn
@geindex C142 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C142}@anchor{7aa}
-@deffn {Attribute} C142 = 720
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C142}@anchor{c96}
+@deffn {Attribute} C142 = 721
@end deffn
@geindex C143 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C143}@anchor{7ab}
-@deffn {Attribute} C143 = 721
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C143}@anchor{c97}
+@deffn {Attribute} C143 = 722
@end deffn
@geindex C144 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C144}@anchor{7ac}
-@deffn {Attribute} C144 = 722
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C144}@anchor{c98}
+@deffn {Attribute} C144 = 723
@end deffn
@geindex C145 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C145}@anchor{7ad}
-@deffn {Attribute} C145 = 723
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C145}@anchor{c99}
+@deffn {Attribute} C145 = 724
@end deffn
@geindex C146 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C146}@anchor{7ae}
-@deffn {Attribute} C146 = 724
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C146}@anchor{c9a}
+@deffn {Attribute} C146 = 725
@end deffn
@geindex C147 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C147}@anchor{7af}
-@deffn {Attribute} C147 = 725
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C147}@anchor{c9b}
+@deffn {Attribute} C147 = 726
@end deffn
@geindex C148 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C148}@anchor{7b0}
-@deffn {Attribute} C148 = 726
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C148}@anchor{c9c}
+@deffn {Attribute} C148 = 727
@end deffn
@geindex C149 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C149}@anchor{7b1}
-@deffn {Attribute} C149 = 727
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C149}@anchor{c9d}
+@deffn {Attribute} C149 = 728
@end deffn
@geindex C150 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C150}@anchor{7b2}
-@deffn {Attribute} C150 = 728
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C150}@anchor{c9e}
+@deffn {Attribute} C150 = 729
@end deffn
@geindex C151 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C151}@anchor{7b3}
-@deffn {Attribute} C151 = 729
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C151}@anchor{c9f}
+@deffn {Attribute} C151 = 730
@end deffn
@geindex C152 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C152}@anchor{7b4}
-@deffn {Attribute} C152 = 730
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C152}@anchor{ca0}
+@deffn {Attribute} C152 = 731
@end deffn
@geindex C153 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C153}@anchor{7b5}
-@deffn {Attribute} C153 = 731
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C153}@anchor{ca1}
+@deffn {Attribute} C153 = 732
@end deffn
@geindex C154 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C154}@anchor{7b6}
-@deffn {Attribute} C154 = 732
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C154}@anchor{ca2}
+@deffn {Attribute} C154 = 733
@end deffn
@geindex C155 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C155}@anchor{7b7}
-@deffn {Attribute} C155 = 733
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C155}@anchor{ca3}
+@deffn {Attribute} C155 = 734
@end deffn
@geindex C156 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C156}@anchor{7b8}
-@deffn {Attribute} C156 = 734
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C156}@anchor{ca4}
+@deffn {Attribute} C156 = 735
@end deffn
@geindex C157 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C157}@anchor{7b9}
-@deffn {Attribute} C157 = 735
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C157}@anchor{ca5}
+@deffn {Attribute} C157 = 736
@end deffn
@geindex C158 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C158}@anchor{7ba}
-@deffn {Attribute} C158 = 736
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C158}@anchor{ca6}
+@deffn {Attribute} C158 = 737
@end deffn
@geindex C159 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C159}@anchor{7bb}
-@deffn {Attribute} C159 = 737
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name C159}@anchor{ca7}
+@deffn {Attribute} C159 = 738
@end deffn
@geindex Last_Charname (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Charname}@anchor{7bc}
-@deffn {Attribute} Last_Charname = 737
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Charname}@anchor{ca8}
+@deffn {Attribute} Last_Charname = 738
@end deffn
@geindex First_Misc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Misc}@anchor{7bd}
-@deffn {Attribute} First_Misc = 738
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Misc}@anchor{ca9}
+@deffn {Attribute} First_Misc = 739
@end deffn
@geindex Guard (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Guard}@anchor{7be}
-@deffn {Attribute} Guard = 738
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Guard}@anchor{caa}
+@deffn {Attribute} Guard = 739
@end deffn
@geindex Deallocate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deallocate}@anchor{7bf}
-@deffn {Attribute} Deallocate = 739
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deallocate}@anchor{cab}
+@deffn {Attribute} Deallocate = 740
@end deffn
@geindex File_Open (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open}@anchor{7c0}
-@deffn {Attribute} File_Open = 740
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Open}@anchor{cac}
+@deffn {Attribute} File_Open = 741
@end deffn
@geindex File_Close (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Close}@anchor{7c1}
-@deffn {Attribute} File_Close = 741
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name File_Close}@anchor{cad}
+@deffn {Attribute} File_Close = 742
@end deffn
@geindex Read (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Read}@anchor{7c2}
-@deffn {Attribute} Read = 742
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Read}@anchor{cae}
+@deffn {Attribute} Read = 743
@end deffn
@geindex Write (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Write}@anchor{7c3}
-@deffn {Attribute} Write = 743
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Write}@anchor{caf}
+@deffn {Attribute} Write = 744
@end deffn
@geindex Flush (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Flush}@anchor{7c4}
-@deffn {Attribute} Flush = 744
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Flush}@anchor{cb0}
+@deffn {Attribute} Flush = 745
@end deffn
@geindex Endfile (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endfile}@anchor{7c5}
-@deffn {Attribute} Endfile = 745
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endfile}@anchor{cb1}
+@deffn {Attribute} Endfile = 746
@end deffn
@geindex I (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name I}@anchor{7c6}
-@deffn {Attribute} I = 746
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name I}@anchor{cb2}
+@deffn {Attribute} I = 747
@end deffn
@geindex J (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name J}@anchor{7c7}
-@deffn {Attribute} J = 747
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name J}@anchor{cb3}
+@deffn {Attribute} J = 748
@end deffn
@geindex F (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name F}@anchor{7c8}
-@deffn {Attribute} F = 748
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name F}@anchor{cb4}
+@deffn {Attribute} F = 749
@end deffn
@geindex L (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name L}@anchor{7c9}
-@deffn {Attribute} L = 749
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name L}@anchor{cb5}
+@deffn {Attribute} L = 750
@end deffn
@geindex P (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name P}@anchor{7ca}
-@deffn {Attribute} P = 750
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name P}@anchor{cb6}
+@deffn {Attribute} P = 751
@end deffn
@geindex R (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name R}@anchor{7cb}
-@deffn {Attribute} R = 751
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name R}@anchor{cb7}
+@deffn {Attribute} R = 752
@end deffn
@geindex S (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S}@anchor{7cc}
-@deffn {Attribute} S = 752
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name S}@anchor{cb8}
+@deffn {Attribute} S = 753
@end deffn
@geindex V (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name V}@anchor{7cd}
-@deffn {Attribute} V = 753
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name V}@anchor{cb9}
+@deffn {Attribute} V = 754
@end deffn
@geindex External_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name External_Name}@anchor{7ce}
-@deffn {Attribute} External_Name = 754
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name External_Name}@anchor{cba}
+@deffn {Attribute} External_Name = 755
@end deffn
@geindex Open_Kind (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open_Kind}@anchor{7cf}
-@deffn {Attribute} Open_Kind = 755
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Open_Kind}@anchor{cbb}
+@deffn {Attribute} Open_Kind = 756
@end deffn
@geindex First (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First}@anchor{7d0}
-@deffn {Attribute} First = 756
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First}@anchor{cbc}
+@deffn {Attribute} First = 757
@end deffn
@geindex Last (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last}@anchor{7d1}
-@deffn {Attribute} Last = 757
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last}@anchor{cbd}
+@deffn {Attribute} Last = 758
@end deffn
@geindex Textio (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio}@anchor{7d2}
-@deffn {Attribute} Textio = 758
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio}@anchor{cbe}
+@deffn {Attribute} Textio = 759
@end deffn
@geindex Work (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Work}@anchor{7d3}
-@deffn {Attribute} Work = 759
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Work}@anchor{cbf}
+@deffn {Attribute} Work = 760
@end deffn
@geindex Text (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Text}@anchor{7d4}
-@deffn {Attribute} Text = 760
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Text}@anchor{cc0}
+@deffn {Attribute} Text = 761
@end deffn
@geindex To_String (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_String}@anchor{7d5}
-@deffn {Attribute} To_String = 761
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_String}@anchor{cc1}
+@deffn {Attribute} To_String = 762
@end deffn
@geindex Minimum (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Minimum}@anchor{7d6}
-@deffn {Attribute} Minimum = 762
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Minimum}@anchor{cc2}
+@deffn {Attribute} Minimum = 763
@end deffn
@geindex Maximum (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Maximum}@anchor{7d7}
-@deffn {Attribute} Maximum = 763
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Maximum}@anchor{cc3}
+@deffn {Attribute} Maximum = 764
@end deffn
@geindex Untruncated_Text_Read (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Untruncated_Text_Read}@anchor{7d8}
-@deffn {Attribute} Untruncated_Text_Read = 764
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Untruncated_Text_Read}@anchor{cc4}
+@deffn {Attribute} Untruncated_Text_Read = 765
@end deffn
@geindex Textio_Read_Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio_Read_Real}@anchor{7d9}
-@deffn {Attribute} Textio_Read_Real = 765
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio_Read_Real}@anchor{cc5}
+@deffn {Attribute} Textio_Read_Real = 766
@end deffn
@geindex Textio_Write_Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio_Write_Real}@anchor{7da}
-@deffn {Attribute} Textio_Write_Real = 766
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Textio_Write_Real}@anchor{cc6}
+@deffn {Attribute} Textio_Write_Real = 767
@end deffn
@geindex Get_Resolution_Limit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Get_Resolution_Limit}@anchor{7db}
-@deffn {Attribute} Get_Resolution_Limit = 767
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Get_Resolution_Limit}@anchor{cc7}
+@deffn {Attribute} Get_Resolution_Limit = 768
@end deffn
@geindex Control_Simulation (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Control_Simulation}@anchor{7dc}
-@deffn {Attribute} Control_Simulation = 768
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Control_Simulation}@anchor{cc8}
+@deffn {Attribute} Control_Simulation = 769
@end deffn
@geindex Step (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Step}@anchor{7dd}
-@deffn {Attribute} Step = 769
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Step}@anchor{cc9}
+@deffn {Attribute} Step = 770
@end deffn
@geindex Index (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Index}@anchor{7de}
-@deffn {Attribute} Index = 770
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Index}@anchor{cca}
+@deffn {Attribute} Index = 771
@end deffn
@geindex Item (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Item}@anchor{7df}
-@deffn {Attribute} Item = 771
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Item}@anchor{ccb}
+@deffn {Attribute} Item = 772
@end deffn
@geindex Uu_File_Uu (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uu_File_Uu}@anchor{7e0}
-@deffn {Attribute} Uu_File_Uu = 772
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uu_File_Uu}@anchor{ccc}
+@deffn {Attribute} Uu_File_Uu = 773
@end deffn
@geindex Uu_Line_Uu (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uu_Line_Uu}@anchor{7e1}
-@deffn {Attribute} Uu_Line_Uu = 773
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Uu_Line_Uu}@anchor{ccd}
+@deffn {Attribute} Uu_Line_Uu = 774
@end deffn
@geindex Label_Applies_To (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Label_Applies_To}@anchor{7e2}
-@deffn {Attribute} Label_Applies_To = 774
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Label_Applies_To}@anchor{cce}
+@deffn {Attribute} Label_Applies_To = 775
@end deffn
@geindex Return_Port_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Return_Port_Name}@anchor{7e3}
-@deffn {Attribute} Return_Port_Name = 775
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Return_Port_Name}@anchor{ccf}
+@deffn {Attribute} Return_Port_Name = 776
@end deffn
@geindex Map_To_Operator (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Map_To_Operator}@anchor{7e4}
-@deffn {Attribute} Map_To_Operator = 776
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Map_To_Operator}@anchor{cd0}
+@deffn {Attribute} Map_To_Operator = 777
@end deffn
@geindex Type_Function (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Type_Function}@anchor{7e5}
-@deffn {Attribute} Type_Function = 777
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Type_Function}@anchor{cd1}
+@deffn {Attribute} Type_Function = 778
@end deffn
@geindex Built_In (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Built_In}@anchor{7e6}
-@deffn {Attribute} Built_In = 778
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Built_In}@anchor{cd2}
+@deffn {Attribute} Built_In = 779
@end deffn
@geindex NNone (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NNone}@anchor{7e7}
-@deffn {Attribute} NNone = 779
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name NNone}@anchor{cd3}
+@deffn {Attribute} NNone = 780
@end deffn
@geindex Last_Misc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Misc}@anchor{7e8}
-@deffn {Attribute} Last_Misc = 779
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Misc}@anchor{cd4}
+@deffn {Attribute} Last_Misc = 780
@end deffn
@geindex First_Ieee_Pkg (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ieee_Pkg}@anchor{7e9}
-@deffn {Attribute} First_Ieee_Pkg = 780
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ieee_Pkg}@anchor{cd5}
+@deffn {Attribute} First_Ieee_Pkg = 781
@end deffn
@geindex Ieee (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ieee}@anchor{7ea}
-@deffn {Attribute} Ieee = 780
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ieee}@anchor{cd6}
+@deffn {Attribute} Ieee = 781
@end deffn
@geindex Std_Logic_1164 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_1164}@anchor{7eb}
-@deffn {Attribute} Std_Logic_1164 = 781
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_1164}@anchor{cd7}
+@deffn {Attribute} Std_Logic_1164 = 782
@end deffn
@geindex VITAL_Timing (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Timing}@anchor{7ec}
-@deffn {Attribute} VITAL_Timing = 782
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Timing}@anchor{cd8}
+@deffn {Attribute} VITAL_Timing = 783
@end deffn
@geindex Numeric_Std (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Std}@anchor{7ed}
-@deffn {Attribute} Numeric_Std = 783
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Std}@anchor{cd9}
+@deffn {Attribute} Numeric_Std = 784
@end deffn
@geindex Numeric_Bit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Bit}@anchor{7ee}
-@deffn {Attribute} Numeric_Bit = 784
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Bit}@anchor{cda}
+@deffn {Attribute} Numeric_Bit = 785
@end deffn
@geindex Numeric_Std_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Std_Unsigned}@anchor{7ef}
-@deffn {Attribute} Numeric_Std_Unsigned = 785
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric_Std_Unsigned}@anchor{cdb}
+@deffn {Attribute} Numeric_Std_Unsigned = 786
@end deffn
@geindex Std_Logic_Arith (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Arith}@anchor{7f0}
-@deffn {Attribute} Std_Logic_Arith = 786
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Arith}@anchor{cdc}
+@deffn {Attribute} Std_Logic_Arith = 787
@end deffn
@geindex Std_Logic_Signed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Signed}@anchor{7f1}
-@deffn {Attribute} Std_Logic_Signed = 787
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Signed}@anchor{cdd}
+@deffn {Attribute} Std_Logic_Signed = 788
@end deffn
@geindex Std_Logic_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Unsigned}@anchor{7f2}
-@deffn {Attribute} Std_Logic_Unsigned = 788
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Unsigned}@anchor{cde}
+@deffn {Attribute} Std_Logic_Unsigned = 789
@end deffn
@geindex Std_Logic_Textio (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Textio}@anchor{7f3}
-@deffn {Attribute} Std_Logic_Textio = 789
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Textio}@anchor{cdf}
+@deffn {Attribute} Std_Logic_Textio = 790
@end deffn
@geindex Std_Logic_Misc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Misc}@anchor{7f4}
-@deffn {Attribute} Std_Logic_Misc = 790
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Misc}@anchor{ce0}
+@deffn {Attribute} Std_Logic_Misc = 791
@end deffn
@geindex Math_Real (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Math_Real}@anchor{7f5}
-@deffn {Attribute} Math_Real = 791
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Math_Real}@anchor{ce1}
+@deffn {Attribute} Math_Real = 792
@end deffn
@geindex Last_Ieee_Pkg (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Ieee_Pkg}@anchor{7f6}
-@deffn {Attribute} Last_Ieee_Pkg = 791
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Ieee_Pkg}@anchor{ce2}
+@deffn {Attribute} Last_Ieee_Pkg = 792
@end deffn
@geindex First_Ieee_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ieee_Name}@anchor{7f7}
-@deffn {Attribute} First_Ieee_Name = 792
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Ieee_Name}@anchor{ce3}
+@deffn {Attribute} First_Ieee_Name = 793
@end deffn
@geindex Std_Ulogic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Ulogic}@anchor{7f8}
-@deffn {Attribute} Std_Ulogic = 792
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Ulogic}@anchor{ce4}
+@deffn {Attribute} Std_Ulogic = 793
@end deffn
@geindex Std_Ulogic_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Ulogic_Vector}@anchor{7f9}
-@deffn {Attribute} Std_Ulogic_Vector = 793
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Ulogic_Vector}@anchor{ce5}
+@deffn {Attribute} Std_Ulogic_Vector = 794
@end deffn
@geindex Std_Logic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic}@anchor{7fa}
-@deffn {Attribute} Std_Logic = 794
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic}@anchor{ce6}
+@deffn {Attribute} Std_Logic = 795
@end deffn
@geindex Std_Logic_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Vector}@anchor{7fb}
-@deffn {Attribute} Std_Logic_Vector = 795
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Logic_Vector}@anchor{ce7}
+@deffn {Attribute} Std_Logic_Vector = 796
@end deffn
@geindex Rising_Edge (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rising_Edge}@anchor{7fc}
-@deffn {Attribute} Rising_Edge = 796
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rising_Edge}@anchor{ce8}
+@deffn {Attribute} Rising_Edge = 797
@end deffn
@geindex Falling_Edge (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Falling_Edge}@anchor{7fd}
-@deffn {Attribute} Falling_Edge = 797
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Falling_Edge}@anchor{ce9}
+@deffn {Attribute} Falling_Edge = 798
@end deffn
@geindex VITAL_Level0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Level0}@anchor{7fe}
-@deffn {Attribute} VITAL_Level0 = 798
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Level0}@anchor{cea}
+@deffn {Attribute} VITAL_Level0 = 799
@end deffn
@geindex VITAL_Level1 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Level1}@anchor{7ff}
-@deffn {Attribute} VITAL_Level1 = 799
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name VITAL_Level1}@anchor{ceb}
+@deffn {Attribute} VITAL_Level1 = 800
@end deffn
@geindex Unresolved_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unresolved_Unsigned}@anchor{800}
-@deffn {Attribute} Unresolved_Unsigned = 800
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unresolved_Unsigned}@anchor{cec}
+@deffn {Attribute} Unresolved_Unsigned = 801
@end deffn
@geindex Unresolved_Signed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unresolved_Signed}@anchor{801}
-@deffn {Attribute} Unresolved_Signed = 801
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Unresolved_Signed}@anchor{ced}
+@deffn {Attribute} Unresolved_Signed = 802
@end deffn
@geindex To_Integer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Integer}@anchor{802}
-@deffn {Attribute} To_Integer = 802
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Integer}@anchor{cee}
+@deffn {Attribute} To_Integer = 803
@end deffn
@geindex To_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Unsigned}@anchor{803}
-@deffn {Attribute} To_Unsigned = 803
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Unsigned}@anchor{cef}
+@deffn {Attribute} To_Unsigned = 804
@end deffn
@geindex To_Signed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Signed}@anchor{804}
-@deffn {Attribute} To_Signed = 804
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Signed}@anchor{cf0}
+@deffn {Attribute} To_Signed = 805
@end deffn
@geindex Resize (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Resize}@anchor{805}
-@deffn {Attribute} Resize = 805
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Resize}@anchor{cf1}
+@deffn {Attribute} Resize = 806
@end deffn
@geindex Std_Match (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Match}@anchor{806}
-@deffn {Attribute} Std_Match = 806
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Std_Match}@anchor{cf2}
+@deffn {Attribute} Std_Match = 807
@end deffn
@geindex Shift_Left (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shift_Left}@anchor{807}
-@deffn {Attribute} Shift_Left = 807
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shift_Left}@anchor{cf3}
+@deffn {Attribute} Shift_Left = 808
@end deffn
@geindex Shift_Right (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shift_Right}@anchor{808}
-@deffn {Attribute} Shift_Right = 808
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shift_Right}@anchor{cf4}
+@deffn {Attribute} Shift_Right = 809
@end deffn
@geindex Rotate_Left (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rotate_Left}@anchor{809}
-@deffn {Attribute} Rotate_Left = 809
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rotate_Left}@anchor{cf5}
+@deffn {Attribute} Rotate_Left = 810
@end deffn
@geindex Rotate_Right (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rotate_Right}@anchor{80a}
-@deffn {Attribute} Rotate_Right = 810
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rotate_Right}@anchor{cf6}
+@deffn {Attribute} Rotate_Right = 811
@end deffn
@geindex To_Bit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bit}@anchor{80b}
-@deffn {Attribute} To_Bit = 811
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bit}@anchor{cf7}
+@deffn {Attribute} To_Bit = 812
@end deffn
@geindex To_Bitvector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bitvector}@anchor{80c}
-@deffn {Attribute} To_Bitvector = 812
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Bitvector}@anchor{cf8}
+@deffn {Attribute} To_Bitvector = 813
@end deffn
@geindex To_Stdulogic (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdulogic}@anchor{80d}
-@deffn {Attribute} To_Stdulogic = 813
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdulogic}@anchor{cf9}
+@deffn {Attribute} To_Stdulogic = 814
@end deffn
@geindex To_Stdlogicvector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdlogicvector}@anchor{80e}
-@deffn {Attribute} To_Stdlogicvector = 814
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdlogicvector}@anchor{cfa}
+@deffn {Attribute} To_Stdlogicvector = 815
@end deffn
@geindex To_Stdulogicvector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdulogicvector}@anchor{80f}
-@deffn {Attribute} To_Stdulogicvector = 815
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_Stdulogicvector}@anchor{cfb}
+@deffn {Attribute} To_Stdulogicvector = 816
@end deffn
@geindex Is_X (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Is_X}@anchor{810}
-@deffn {Attribute} Is_X = 816
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Is_X}@anchor{cfc}
+@deffn {Attribute} Is_X = 817
@end deffn
@geindex To_01 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_01}@anchor{811}
-@deffn {Attribute} To_01 = 817
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_01}@anchor{cfd}
+@deffn {Attribute} To_01 = 818
@end deffn
@geindex To_X01 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_X01}@anchor{812}
-@deffn {Attribute} To_X01 = 818
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_X01}@anchor{cfe}
+@deffn {Attribute} To_X01 = 819
@end deffn
@geindex To_X01Z (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_X01Z}@anchor{813}
-@deffn {Attribute} To_X01Z = 819
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_X01Z}@anchor{cff}
+@deffn {Attribute} To_X01Z = 820
@end deffn
@geindex To_UX01 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_UX01}@anchor{814}
-@deffn {Attribute} To_UX01 = 820
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name To_UX01}@anchor{d00}
+@deffn {Attribute} To_UX01 = 821
@end deffn
@geindex Conv_Signed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Signed}@anchor{815}
-@deffn {Attribute} Conv_Signed = 821
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Signed}@anchor{d01}
+@deffn {Attribute} Conv_Signed = 822
@end deffn
@geindex Conv_Unsigned (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Unsigned}@anchor{816}
-@deffn {Attribute} Conv_Unsigned = 822
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Unsigned}@anchor{d02}
+@deffn {Attribute} Conv_Unsigned = 823
@end deffn
@geindex Conv_Integer (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Integer}@anchor{817}
-@deffn {Attribute} Conv_Integer = 823
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Integer}@anchor{d03}
+@deffn {Attribute} Conv_Integer = 824
@end deffn
@geindex Conv_Std_Logic_Vector (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Std_Logic_Vector}@anchor{818}
-@deffn {Attribute} Conv_Std_Logic_Vector = 824
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Conv_Std_Logic_Vector}@anchor{d04}
+@deffn {Attribute} Conv_Std_Logic_Vector = 825
@end deffn
@geindex And_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name And_Reduce}@anchor{819}
-@deffn {Attribute} And_Reduce = 825
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name And_Reduce}@anchor{d05}
+@deffn {Attribute} And_Reduce = 826
@end deffn
@geindex Nand_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nand_Reduce}@anchor{81a}
-@deffn {Attribute} Nand_Reduce = 826
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nand_Reduce}@anchor{d06}
+@deffn {Attribute} Nand_Reduce = 827
@end deffn
@geindex Or_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Or_Reduce}@anchor{81b}
-@deffn {Attribute} Or_Reduce = 827
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Or_Reduce}@anchor{d07}
+@deffn {Attribute} Or_Reduce = 828
@end deffn
@geindex Nor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nor_Reduce}@anchor{81c}
-@deffn {Attribute} Nor_Reduce = 828
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Nor_Reduce}@anchor{d08}
+@deffn {Attribute} Nor_Reduce = 829
@end deffn
@geindex Xor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xor_Reduce}@anchor{81d}
-@deffn {Attribute} Xor_Reduce = 829
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xor_Reduce}@anchor{d09}
+@deffn {Attribute} Xor_Reduce = 830
@end deffn
@geindex Xnor_Reduce (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xnor_Reduce}@anchor{81e}
-@deffn {Attribute} Xnor_Reduce = 830
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Xnor_Reduce}@anchor{d0a}
+@deffn {Attribute} Xnor_Reduce = 831
@end deffn
@geindex Ceil (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ceil}@anchor{81f}
-@deffn {Attribute} Ceil = 831
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ceil}@anchor{d0b}
+@deffn {Attribute} Ceil = 832
@end deffn
@geindex Floor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Floor}@anchor{820}
-@deffn {Attribute} Floor = 832
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Floor}@anchor{d0c}
+@deffn {Attribute} Floor = 833
@end deffn
@geindex Round (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Round}@anchor{821}
-@deffn {Attribute} Round = 833
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Round}@anchor{d0d}
+@deffn {Attribute} Round = 834
@end deffn
@geindex Log2 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Log2}@anchor{822}
-@deffn {Attribute} Log2 = 834
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Log2}@anchor{d0e}
+@deffn {Attribute} Log2 = 835
@end deffn
@geindex Sin (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sin}@anchor{823}
-@deffn {Attribute} Sin = 835
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sin}@anchor{d0f}
+@deffn {Attribute} Sin = 836
@end deffn
@geindex Cos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cos}@anchor{824}
-@deffn {Attribute} Cos = 836
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cos}@anchor{d10}
+@deffn {Attribute} Cos = 837
@end deffn
@geindex Arctan (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Arctan}@anchor{825}
-@deffn {Attribute} Arctan = 837
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Arctan}@anchor{d11}
+@deffn {Attribute} Arctan = 838
@end deffn
@geindex Shl (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shl}@anchor{826}
-@deffn {Attribute} Shl = 838
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shl}@anchor{d12}
+@deffn {Attribute} Shl = 839
@end deffn
@geindex Shr (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shr}@anchor{827}
-@deffn {Attribute} Shr = 839
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Shr}@anchor{d13}
+@deffn {Attribute} Shr = 840
@end deffn
@geindex Ext (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ext}@anchor{828}
-@deffn {Attribute} Ext = 840
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ext}@anchor{d14}
+@deffn {Attribute} Ext = 841
@end deffn
@geindex Sxt (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sxt}@anchor{829}
-@deffn {Attribute} Sxt = 841
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sxt}@anchor{d15}
+@deffn {Attribute} Sxt = 842
@end deffn
@geindex Find_Leftmost (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Leftmost}@anchor{82a}
-@deffn {Attribute} Find_Leftmost = 842
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Leftmost}@anchor{d16}
+@deffn {Attribute} Find_Leftmost = 843
@end deffn
@geindex Find_Rightmost (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Rightmost}@anchor{82b}
-@deffn {Attribute} Find_Rightmost = 843
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Rightmost}@anchor{d17}
+@deffn {Attribute} Find_Rightmost = 844
@end deffn
@geindex Last_Ieee_Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Ieee_Name}@anchor{82c}
-@deffn {Attribute} Last_Ieee_Name = 843
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Ieee_Name}@anchor{d18}
+@deffn {Attribute} Last_Ieee_Name = 844
@end deffn
@geindex First_Synthesis (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Synthesis}@anchor{82d}
-@deffn {Attribute} First_Synthesis = 844
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Synthesis}@anchor{d19}
+@deffn {Attribute} First_Synthesis = 845
@end deffn
@geindex Allconst (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Allconst}@anchor{82e}
-@deffn {Attribute} Allconst = 844
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Allconst}@anchor{d1a}
+@deffn {Attribute} Allconst = 845
@end deffn
@geindex Allseq (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Allseq}@anchor{82f}
-@deffn {Attribute} Allseq = 845
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Allseq}@anchor{d1b}
+@deffn {Attribute} Allseq = 846
@end deffn
@geindex Anyconst (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Anyconst}@anchor{830}
-@deffn {Attribute} Anyconst = 846
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Anyconst}@anchor{d1c}
+@deffn {Attribute} Anyconst = 847
@end deffn
@geindex Anyseq (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Anyseq}@anchor{831}
-@deffn {Attribute} Anyseq = 847
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Anyseq}@anchor{d1d}
+@deffn {Attribute} Anyseq = 848
@end deffn
@geindex Gclk (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Gclk}@anchor{832}
-@deffn {Attribute} Gclk = 848
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Gclk}@anchor{d1e}
+@deffn {Attribute} Gclk = 849
@end deffn
@geindex Loc (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Loc}@anchor{833}
-@deffn {Attribute} Loc = 849
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Loc}@anchor{d1f}
+@deffn {Attribute} Loc = 850
+@end deffn
+
+@geindex Keep (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Keep}@anchor{d20}
+@deffn {Attribute} Keep = 851
+@end deffn
+
+@geindex Syn_Black_Box (pyGHDL.libghdl.std_names.Name attribute)
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Syn_Black_Box}@anchor{d21}
+@deffn {Attribute} Syn_Black_Box = 852
@end deffn
@geindex Last_Synthesis (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Synthesis}@anchor{834}
-@deffn {Attribute} Last_Synthesis = 849
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Synthesis}@anchor{d22}
+@deffn {Attribute} Last_Synthesis = 852
@end deffn
@geindex First_Directive (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Directive}@anchor{835}
-@deffn {Attribute} First_Directive = 850
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Directive}@anchor{d23}
+@deffn {Attribute} First_Directive = 853
@end deffn
@geindex Define (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Define}@anchor{836}
-@deffn {Attribute} Define = 850
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Define}@anchor{d24}
+@deffn {Attribute} Define = 853
@end deffn
@geindex Endif (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endif}@anchor{837}
-@deffn {Attribute} Endif = 851
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endif}@anchor{d25}
+@deffn {Attribute} Endif = 854
@end deffn
@geindex Ifdef (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifdef}@anchor{838}
-@deffn {Attribute} Ifdef = 852
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifdef}@anchor{d26}
+@deffn {Attribute} Ifdef = 855
@end deffn
@geindex Ifndef (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifndef}@anchor{839}
-@deffn {Attribute} Ifndef = 853
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifndef}@anchor{d27}
+@deffn {Attribute} Ifndef = 856
@end deffn
@geindex Include (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Include}@anchor{83a}
-@deffn {Attribute} Include = 854
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Include}@anchor{d28}
+@deffn {Attribute} Include = 857
@end deffn
@geindex Timescale (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timescale}@anchor{83b}
-@deffn {Attribute} Timescale = 855
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Timescale}@anchor{d29}
+@deffn {Attribute} Timescale = 858
@end deffn
@geindex Undef (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Undef}@anchor{83c}
-@deffn {Attribute} Undef = 856
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Undef}@anchor{d2a}
+@deffn {Attribute} Undef = 859
@end deffn
@geindex Protect (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Protect}@anchor{83d}
-@deffn {Attribute} Protect = 857
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Protect}@anchor{d2b}
+@deffn {Attribute} Protect = 860
@end deffn
@geindex Begin_Protected (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Begin_Protected}@anchor{83e}
-@deffn {Attribute} Begin_Protected = 858
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Begin_Protected}@anchor{d2c}
+@deffn {Attribute} Begin_Protected = 861
@end deffn
@geindex End_Protected (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name End_Protected}@anchor{83f}
-@deffn {Attribute} End_Protected = 859
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name End_Protected}@anchor{d2d}
+@deffn {Attribute} End_Protected = 862
@end deffn
@geindex Key_Block (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Key_Block}@anchor{840}
-@deffn {Attribute} Key_Block = 860
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Key_Block}@anchor{d2e}
+@deffn {Attribute} Key_Block = 863
@end deffn
@geindex Data_Block (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Data_Block}@anchor{841}
-@deffn {Attribute} Data_Block = 861
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Data_Block}@anchor{d2f}
+@deffn {Attribute} Data_Block = 864
@end deffn
@geindex Line (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Line}@anchor{842}
-@deffn {Attribute} Line = 862
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Line}@anchor{d30}
+@deffn {Attribute} Line = 865
@end deffn
@geindex Celldefine (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Celldefine}@anchor{843}
-@deffn {Attribute} Celldefine = 863
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Celldefine}@anchor{d31}
+@deffn {Attribute} Celldefine = 866
@end deffn
@geindex Endcelldefine (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endcelldefine}@anchor{844}
-@deffn {Attribute} Endcelldefine = 864
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endcelldefine}@anchor{d32}
+@deffn {Attribute} Endcelldefine = 867
@end deffn
@geindex Default_Nettype (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Nettype}@anchor{845}
-@deffn {Attribute} Default_Nettype = 865
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Nettype}@anchor{d33}
+@deffn {Attribute} Default_Nettype = 868
@end deffn
@geindex Resetall (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Resetall}@anchor{846}
-@deffn {Attribute} Resetall = 866
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Resetall}@anchor{d34}
+@deffn {Attribute} Resetall = 869
@end deffn
@geindex Last_Directive (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Directive}@anchor{847}
-@deffn {Attribute} Last_Directive = 866
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Directive}@anchor{d35}
+@deffn {Attribute} Last_Directive = 869
@end deffn
@geindex First_Systask (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Systask}@anchor{848}
-@deffn {Attribute} First_Systask = 867
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Systask}@anchor{d36}
+@deffn {Attribute} First_Systask = 870
@end deffn
@geindex Bits (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bits}@anchor{849}
-@deffn {Attribute} Bits = 867
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Bits}@anchor{d37}
+@deffn {Attribute} Bits = 870
@end deffn
@geindex D_Root (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name D_Root}@anchor{84a}
-@deffn {Attribute} D_Root = 868
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name D_Root}@anchor{d38}
+@deffn {Attribute} D_Root = 871
@end deffn
@geindex D_Unit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name D_Unit}@anchor{84b}
-@deffn {Attribute} D_Unit = 869
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name D_Unit}@anchor{d39}
+@deffn {Attribute} D_Unit = 872
@end deffn
@geindex Last_Systask (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Systask}@anchor{84c}
-@deffn {Attribute} Last_Systask = 869
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Systask}@anchor{d3a}
+@deffn {Attribute} Last_Systask = 872
@end deffn
@geindex First_SV_Method (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV_Method}@anchor{84d}
-@deffn {Attribute} First_SV_Method = 870
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_SV_Method}@anchor{d3b}
+@deffn {Attribute} First_SV_Method = 873
@end deffn
@geindex Size (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Size}@anchor{84e}
-@deffn {Attribute} Size = 870
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Size}@anchor{d3c}
+@deffn {Attribute} Size = 873
@end deffn
@geindex Insert (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Insert}@anchor{84f}
-@deffn {Attribute} Insert = 871
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Insert}@anchor{d3d}
+@deffn {Attribute} Insert = 874
@end deffn
@geindex Delete (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delete}@anchor{850}
-@deffn {Attribute} Delete = 872
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Delete}@anchor{d3e}
+@deffn {Attribute} Delete = 875
@end deffn
@geindex Pop_Front (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pop_Front}@anchor{851}
-@deffn {Attribute} Pop_Front = 873
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pop_Front}@anchor{d3f}
+@deffn {Attribute} Pop_Front = 876
@end deffn
@geindex Pop_Back (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pop_Back}@anchor{852}
-@deffn {Attribute} Pop_Back = 874
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pop_Back}@anchor{d40}
+@deffn {Attribute} Pop_Back = 877
@end deffn
@geindex Push_Front (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Push_Front}@anchor{853}
-@deffn {Attribute} Push_Front = 875
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Push_Front}@anchor{d41}
+@deffn {Attribute} Push_Front = 878
@end deffn
@geindex Push_Back (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Push_Back}@anchor{854}
-@deffn {Attribute} Push_Back = 876
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Push_Back}@anchor{d42}
+@deffn {Attribute} Push_Back = 879
@end deffn
@geindex Name (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Name}@anchor{855}
-@deffn {Attribute} Name = 877
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Name}@anchor{d43}
+@deffn {Attribute} Name = 880
@end deffn
@geindex Len (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Len}@anchor{856}
-@deffn {Attribute} Len = 878
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Len}@anchor{d44}
+@deffn {Attribute} Len = 881
@end deffn
@geindex Substr (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Substr}@anchor{857}
-@deffn {Attribute} Substr = 879
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Substr}@anchor{d45}
+@deffn {Attribute} Substr = 882
@end deffn
@geindex Exists (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Exists}@anchor{858}
-@deffn {Attribute} Exists = 880
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Exists}@anchor{d46}
+@deffn {Attribute} Exists = 883
@end deffn
@geindex Atoi (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Atoi}@anchor{859}
-@deffn {Attribute} Atoi = 881
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Atoi}@anchor{d47}
+@deffn {Attribute} Atoi = 884
@end deffn
@geindex Itoa (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Itoa}@anchor{85a}
-@deffn {Attribute} Itoa = 882
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Itoa}@anchor{d48}
+@deffn {Attribute} Itoa = 885
@end deffn
@geindex Find (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find}@anchor{85b}
-@deffn {Attribute} Find = 883
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find}@anchor{d49}
+@deffn {Attribute} Find = 886
@end deffn
@geindex Find_Index (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Index}@anchor{85c}
-@deffn {Attribute} Find_Index = 884
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Index}@anchor{d4a}
+@deffn {Attribute} Find_Index = 887
@end deffn
@geindex Find_First (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_First}@anchor{85d}
-@deffn {Attribute} Find_First = 885
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_First}@anchor{d4b}
+@deffn {Attribute} Find_First = 888
@end deffn
@geindex Find_First_Index (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_First_Index}@anchor{85e}
-@deffn {Attribute} Find_First_Index = 886
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_First_Index}@anchor{d4c}
+@deffn {Attribute} Find_First_Index = 889
@end deffn
@geindex Find_Last (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Last}@anchor{85f}
-@deffn {Attribute} Find_Last = 887
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Last}@anchor{d4d}
+@deffn {Attribute} Find_Last = 890
@end deffn
@geindex Find_Last_Index (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Last_Index}@anchor{860}
-@deffn {Attribute} Find_Last_Index = 888
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Find_Last_Index}@anchor{d4e}
+@deffn {Attribute} Find_Last_Index = 891
@end deffn
@geindex Num (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Num}@anchor{861}
-@deffn {Attribute} Num = 889
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Num}@anchor{d4f}
+@deffn {Attribute} Num = 892
@end deffn
@geindex Randomize (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randomize}@anchor{862}
-@deffn {Attribute} Randomize = 890
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Randomize}@anchor{d50}
+@deffn {Attribute} Randomize = 893
@end deffn
@geindex Pre_Randomize (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pre_Randomize}@anchor{863}
-@deffn {Attribute} Pre_Randomize = 891
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pre_Randomize}@anchor{d51}
+@deffn {Attribute} Pre_Randomize = 894
@end deffn
@geindex Post_Randomize (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Post_Randomize}@anchor{864}
-@deffn {Attribute} Post_Randomize = 892
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Post_Randomize}@anchor{d52}
+@deffn {Attribute} Post_Randomize = 895
@end deffn
@geindex Srandom (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Srandom}@anchor{865}
-@deffn {Attribute} Srandom = 893
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Srandom}@anchor{d53}
+@deffn {Attribute} Srandom = 896
@end deffn
@geindex Get_Randstate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Get_Randstate}@anchor{866}
-@deffn {Attribute} Get_Randstate = 894
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Get_Randstate}@anchor{d54}
+@deffn {Attribute} Get_Randstate = 897
@end deffn
@geindex Set_Randstate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Set_Randstate}@anchor{867}
-@deffn {Attribute} Set_Randstate = 895
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Set_Randstate}@anchor{d55}
+@deffn {Attribute} Set_Randstate = 898
@end deffn
@geindex Seed (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Seed}@anchor{868}
-@deffn {Attribute} Seed = 896
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Seed}@anchor{d56}
+@deffn {Attribute} Seed = 899
@end deffn
@geindex State (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name State}@anchor{869}
-@deffn {Attribute} State = 897
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name State}@anchor{d57}
+@deffn {Attribute} State = 900
@end deffn
@geindex Last_SV_Method (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV_Method}@anchor{86a}
-@deffn {Attribute} Last_SV_Method = 897
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_SV_Method}@anchor{d58}
+@deffn {Attribute} Last_SV_Method = 900
@end deffn
@geindex First_BSV (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_BSV}@anchor{86b}
-@deffn {Attribute} First_BSV = 898
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_BSV}@anchor{d59}
+@deffn {Attribute} First_BSV = 901
@end deffn
@geindex uAction (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uAction}@anchor{86c}
-@deffn {Attribute} uAction = 898
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uAction}@anchor{d5a}
+@deffn {Attribute} uAction = 901
@end deffn
@geindex uActionValue (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uActionValue}@anchor{86d}
-@deffn {Attribute} uActionValue = 899
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uActionValue}@anchor{d5b}
+@deffn {Attribute} uActionValue = 902
@end deffn
@geindex BVI (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name BVI}@anchor{86e}
-@deffn {Attribute} BVI = 900
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name BVI}@anchor{d5c}
+@deffn {Attribute} BVI = 903
@end deffn
@geindex uC (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uC}@anchor{86f}
-@deffn {Attribute} uC = 901
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uC}@anchor{d5d}
+@deffn {Attribute} uC = 904
@end deffn
@geindex uCF (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uCF}@anchor{870}
-@deffn {Attribute} uCF = 902
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uCF}@anchor{d5e}
+@deffn {Attribute} uCF = 905
@end deffn
@geindex uE (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uE}@anchor{871}
-@deffn {Attribute} uE = 903
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uE}@anchor{d5f}
+@deffn {Attribute} uE = 906
@end deffn
@geindex uSB (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uSB}@anchor{872}
-@deffn {Attribute} uSB = 904
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uSB}@anchor{d60}
+@deffn {Attribute} uSB = 907
@end deffn
@geindex uSBR (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uSBR}@anchor{873}
-@deffn {Attribute} uSBR = 905
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uSBR}@anchor{d61}
+@deffn {Attribute} uSBR = 908
@end deffn
@geindex Action (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Action}@anchor{874}
-@deffn {Attribute} Action = 906
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Action}@anchor{d62}
+@deffn {Attribute} Action = 909
@end deffn
@geindex Endaction (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endaction}@anchor{875}
-@deffn {Attribute} Endaction = 907
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endaction}@anchor{d63}
+@deffn {Attribute} Endaction = 910
@end deffn
@geindex Actionvalue (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Actionvalue}@anchor{876}
-@deffn {Attribute} Actionvalue = 908
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Actionvalue}@anchor{d64}
+@deffn {Attribute} Actionvalue = 911
@end deffn
@geindex Endactionvalue (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endactionvalue}@anchor{877}
-@deffn {Attribute} Endactionvalue = 909
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endactionvalue}@anchor{d65}
+@deffn {Attribute} Endactionvalue = 912
@end deffn
@geindex Ancestor (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ancestor}@anchor{878}
-@deffn {Attribute} Ancestor = 910
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ancestor}@anchor{d66}
+@deffn {Attribute} Ancestor = 913
@end deffn
@geindex Clocked_By (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clocked_By}@anchor{879}
-@deffn {Attribute} Clocked_By = 911
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clocked_By}@anchor{d67}
+@deffn {Attribute} Clocked_By = 914
@end deffn
@geindex Default_Clock (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Clock}@anchor{87a}
-@deffn {Attribute} Default_Clock = 912
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Clock}@anchor{d68}
+@deffn {Attribute} Default_Clock = 915
@end deffn
@geindex Default_Reset (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Reset}@anchor{87b}
-@deffn {Attribute} Default_Reset = 913
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Default_Reset}@anchor{d69}
+@deffn {Attribute} Default_Reset = 916
@end deffn
@geindex Dependencies (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dependencies}@anchor{87c}
-@deffn {Attribute} Dependencies = 914
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Dependencies}@anchor{d6a}
+@deffn {Attribute} Dependencies = 917
@end deffn
@geindex Deriving (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deriving}@anchor{87d}
-@deffn {Attribute} Deriving = 915
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Deriving}@anchor{d6b}
+@deffn {Attribute} Deriving = 918
@end deffn
@geindex Determines (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Determines}@anchor{87e}
-@deffn {Attribute} Determines = 916
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Determines}@anchor{d6c}
+@deffn {Attribute} Determines = 919
@end deffn
@geindex Enable (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enable}@anchor{87f}
-@deffn {Attribute} Enable = 917
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Enable}@anchor{d6d}
+@deffn {Attribute} Enable = 920
@end deffn
@geindex Ifc_Inout (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifc_Inout}@anchor{880}
-@deffn {Attribute} Ifc_Inout = 918
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ifc_Inout}@anchor{d6e}
+@deffn {Attribute} Ifc_Inout = 921
@end deffn
@geindex Input_Clock (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input_Clock}@anchor{881}
-@deffn {Attribute} Input_Clock = 919
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input_Clock}@anchor{d6f}
+@deffn {Attribute} Input_Clock = 922
@end deffn
@geindex Input_Reset (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input_Reset}@anchor{882}
-@deffn {Attribute} Input_Reset = 920
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Input_Reset}@anchor{d70}
+@deffn {Attribute} Input_Reset = 923
@end deffn
@geindex Instance (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instance}@anchor{883}
-@deffn {Attribute} Instance = 921
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instance}@anchor{d71}
+@deffn {Attribute} Instance = 924
@end deffn
@geindex Endinstance (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endinstance}@anchor{884}
-@deffn {Attribute} Endinstance = 922
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endinstance}@anchor{d72}
+@deffn {Attribute} Endinstance = 925
@end deffn
@geindex Let (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Let}@anchor{885}
-@deffn {Attribute} Let = 923
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Let}@anchor{d73}
+@deffn {Attribute} Let = 926
@end deffn
@geindex Match (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Match}@anchor{886}
-@deffn {Attribute} Match = 924
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Match}@anchor{d74}
+@deffn {Attribute} Match = 927
@end deffn
@geindex Method (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Method}@anchor{887}
-@deffn {Attribute} Method = 925
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Method}@anchor{d75}
+@deffn {Attribute} Method = 928
@end deffn
@geindex Endmethod (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endmethod}@anchor{888}
-@deffn {Attribute} Endmethod = 926
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endmethod}@anchor{d76}
+@deffn {Attribute} Endmethod = 929
@end deffn
@geindex Numeric (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric}@anchor{889}
-@deffn {Attribute} Numeric = 927
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Numeric}@anchor{d77}
+@deffn {Attribute} Numeric = 930
@end deffn
@geindex Output_Clock (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output_Clock}@anchor{88a}
-@deffn {Attribute} Output_Clock = 928
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output_Clock}@anchor{d78}
+@deffn {Attribute} Output_Clock = 931
@end deffn
@geindex Output_Reset (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output_Reset}@anchor{88b}
-@deffn {Attribute} Output_Reset = 929
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Output_Reset}@anchor{d79}
+@deffn {Attribute} Output_Reset = 932
@end deffn
@geindex Par (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Par}@anchor{88c}
-@deffn {Attribute} Par = 930
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Par}@anchor{d7a}
+@deffn {Attribute} Par = 933
@end deffn
@geindex Endpar (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpar}@anchor{88d}
-@deffn {Attribute} Endpar = 931
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpar}@anchor{d7b}
+@deffn {Attribute} Endpar = 934
@end deffn
@geindex Path (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Path}@anchor{88e}
-@deffn {Attribute} Path = 932
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Path}@anchor{d7c}
+@deffn {Attribute} Path = 935
@end deffn
@geindex Provisos (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Provisos}@anchor{88f}
-@deffn {Attribute} Provisos = 933
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Provisos}@anchor{d7d}
+@deffn {Attribute} Provisos = 936
@end deffn
@geindex Ready (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ready}@anchor{890}
-@deffn {Attribute} Ready = 934
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ready}@anchor{d7e}
+@deffn {Attribute} Ready = 937
@end deffn
@geindex Reset_By (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reset_By}@anchor{891}
-@deffn {Attribute} Reset_By = 935
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Reset_By}@anchor{d7f}
+@deffn {Attribute} Reset_By = 938
@end deffn
@geindex Rule (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rule}@anchor{892}
-@deffn {Attribute} Rule = 936
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rule}@anchor{d80}
+@deffn {Attribute} Rule = 939
@end deffn
@geindex Endrule (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endrule}@anchor{893}
-@deffn {Attribute} Endrule = 937
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endrule}@anchor{d81}
+@deffn {Attribute} Endrule = 940
@end deffn
@geindex Rules (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rules}@anchor{894}
-@deffn {Attribute} Rules = 938
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rules}@anchor{d82}
+@deffn {Attribute} Rules = 941
@end deffn
@geindex Endrules (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endrules}@anchor{895}
-@deffn {Attribute} Endrules = 939
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endrules}@anchor{d83}
+@deffn {Attribute} Endrules = 942
@end deffn
@geindex Same_Family (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Same_Family}@anchor{896}
-@deffn {Attribute} Same_Family = 940
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Same_Family}@anchor{d84}
+@deffn {Attribute} Same_Family = 943
@end deffn
@geindex Schedule (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Schedule}@anchor{897}
-@deffn {Attribute} Schedule = 941
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Schedule}@anchor{d85}
+@deffn {Attribute} Schedule = 944
@end deffn
@geindex Seq (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Seq}@anchor{898}
-@deffn {Attribute} Seq = 942
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Seq}@anchor{d86}
+@deffn {Attribute} Seq = 945
@end deffn
@geindex Endseq (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endseq}@anchor{899}
-@deffn {Attribute} Endseq = 943
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endseq}@anchor{d87}
+@deffn {Attribute} Endseq = 946
@end deffn
@geindex Typeclass (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Typeclass}@anchor{89a}
-@deffn {Attribute} Typeclass = 944
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Typeclass}@anchor{d88}
+@deffn {Attribute} Typeclass = 947
@end deffn
@geindex Endtypeclass (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtypeclass}@anchor{89b}
-@deffn {Attribute} Endtypeclass = 945
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endtypeclass}@anchor{d89}
+@deffn {Attribute} Endtypeclass = 948
@end deffn
@geindex Valueof (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Valueof}@anchor{89c}
-@deffn {Attribute} Valueof = 946
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Valueof}@anchor{d8a}
+@deffn {Attribute} Valueof = 949
@end deffn
@geindex uValueof (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uValueof}@anchor{89d}
-@deffn {Attribute} uValueof = 947
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name uValueof}@anchor{d8b}
+@deffn {Attribute} uValueof = 950
@end deffn
@geindex Last_BSV (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_BSV}@anchor{89e}
-@deffn {Attribute} Last_BSV = 947
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_BSV}@anchor{d8c}
+@deffn {Attribute} Last_BSV = 950
@end deffn
@geindex First_Comment (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Comment}@anchor{89f}
-@deffn {Attribute} First_Comment = 948
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Comment}@anchor{d8d}
+@deffn {Attribute} First_Comment = 951
@end deffn
@geindex Psl (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Psl}@anchor{8a0}
-@deffn {Attribute} Psl = 948
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Psl}@anchor{d8e}
+@deffn {Attribute} Psl = 951
@end deffn
@geindex Pragma (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pragma}@anchor{8a1}
-@deffn {Attribute} Pragma = 949
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Pragma}@anchor{d8f}
+@deffn {Attribute} Pragma = 952
@end deffn
@geindex Synthesis (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis}@anchor{8a2}
-@deffn {Attribute} Synthesis = 950
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis}@anchor{d90}
+@deffn {Attribute} Synthesis = 953
@end deffn
@geindex Synopsys (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synopsys}@anchor{8a3}
-@deffn {Attribute} Synopsys = 951
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synopsys}@anchor{d91}
+@deffn {Attribute} Synopsys = 954
@end deffn
@geindex Translate_Off (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate_Off}@anchor{8a4}
-@deffn {Attribute} Translate_Off = 952
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate_Off}@anchor{d92}
+@deffn {Attribute} Translate_Off = 955
@end deffn
@geindex Translate_On (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate_On}@anchor{8a5}
-@deffn {Attribute} Translate_On = 953
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate_On}@anchor{d93}
+@deffn {Attribute} Translate_On = 956
@end deffn
@geindex Translate (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate}@anchor{8a6}
-@deffn {Attribute} Translate = 954
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Translate}@anchor{d94}
+@deffn {Attribute} Translate = 957
@end deffn
@geindex Synthesis_Off (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis_Off}@anchor{8a7}
-@deffn {Attribute} Synthesis_Off = 955
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis_Off}@anchor{d95}
+@deffn {Attribute} Synthesis_Off = 958
@end deffn
@geindex Synthesis_On (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis_On}@anchor{8a8}
-@deffn {Attribute} Synthesis_On = 956
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Synthesis_On}@anchor{d96}
+@deffn {Attribute} Synthesis_On = 959
@end deffn
@geindex Off (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Off}@anchor{8a9}
-@deffn {Attribute} Off = 957
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Off}@anchor{d97}
+@deffn {Attribute} Off = 960
@end deffn
@geindex Full_Case (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Full_Case}@anchor{8aa}
-@deffn {Attribute} Full_Case = 958
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Full_Case}@anchor{d98}
+@deffn {Attribute} Full_Case = 961
@end deffn
@geindex Parallel_Case (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Parallel_Case}@anchor{8ab}
-@deffn {Attribute} Parallel_Case = 959
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Parallel_Case}@anchor{d99}
+@deffn {Attribute} Parallel_Case = 962
@end deffn
@geindex Last_Comment (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Comment}@anchor{8ac}
-@deffn {Attribute} Last_Comment = 959
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Comment}@anchor{d9a}
+@deffn {Attribute} Last_Comment = 962
@end deffn
@geindex First_PSL (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_PSL}@anchor{8ad}
-@deffn {Attribute} First_PSL = 960
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_PSL}@anchor{d9b}
+@deffn {Attribute} First_PSL = 963
@end deffn
@geindex A (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name A}@anchor{8ae}
-@deffn {Attribute} A = 960
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name A}@anchor{d9c}
+@deffn {Attribute} A = 963
@end deffn
@geindex Af (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Af}@anchor{8af}
-@deffn {Attribute} Af = 961
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Af}@anchor{d9d}
+@deffn {Attribute} Af = 964
@end deffn
@geindex Ag (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ag}@anchor{8b0}
-@deffn {Attribute} Ag = 962
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ag}@anchor{d9e}
+@deffn {Attribute} Ag = 965
@end deffn
@geindex Ax (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ax}@anchor{8b1}
-@deffn {Attribute} Ax = 963
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ax}@anchor{d9f}
+@deffn {Attribute} Ax = 966
@end deffn
@geindex Abort (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Abort}@anchor{8b2}
-@deffn {Attribute} Abort = 964
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Abort}@anchor{da0}
+@deffn {Attribute} Abort = 967
@end deffn
@geindex Assume_Guarantee (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assume_Guarantee}@anchor{8b3}
-@deffn {Attribute} Assume_Guarantee = 965
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Assume_Guarantee}@anchor{da1}
+@deffn {Attribute} Assume_Guarantee = 968
@end deffn
@geindex Async_Abort (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Async_Abort}@anchor{8b4}
-@deffn {Attribute} Async_Abort = 966
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Async_Abort}@anchor{da2}
+@deffn {Attribute} Async_Abort = 969
@end deffn
@geindex Before (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Before}@anchor{8b5}
-@deffn {Attribute} Before = 967
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Before}@anchor{da3}
+@deffn {Attribute} Before = 970
@end deffn
@geindex Clock (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clock}@anchor{8b6}
-@deffn {Attribute} Clock = 968
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Clock}@anchor{da4}
+@deffn {Attribute} Clock = 971
@end deffn
@geindex E (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name E}@anchor{8b7}
-@deffn {Attribute} E = 969
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name E}@anchor{da5}
+@deffn {Attribute} E = 972
@end deffn
@geindex Ef (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ef}@anchor{8b8}
-@deffn {Attribute} Ef = 970
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ef}@anchor{da6}
+@deffn {Attribute} Ef = 973
@end deffn
@geindex Eg (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eg}@anchor{8b9}
-@deffn {Attribute} Eg = 971
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eg}@anchor{da7}
+@deffn {Attribute} Eg = 974
@end deffn
@geindex Ex (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ex}@anchor{8ba}
-@deffn {Attribute} Ex = 972
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Ex}@anchor{da8}
+@deffn {Attribute} Ex = 975
@end deffn
@geindex Endpoint (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpoint}@anchor{8bb}
-@deffn {Attribute} Endpoint = 973
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Endpoint}@anchor{da9}
+@deffn {Attribute} Endpoint = 976
@end deffn
@geindex Eventually (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eventually}@anchor{8bc}
-@deffn {Attribute} Eventually = 974
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Eventually}@anchor{daa}
+@deffn {Attribute} Eventually = 977
@end deffn
@geindex Fairness (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fairness}@anchor{8bd}
-@deffn {Attribute} Fairness = 975
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fairness}@anchor{dab}
+@deffn {Attribute} Fairness = 978
@end deffn
@geindex Fell (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fell}@anchor{8be}
-@deffn {Attribute} Fell = 976
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Fell}@anchor{dac}
+@deffn {Attribute} Fell = 979
@end deffn
@geindex Forall (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forall}@anchor{8bf}
-@deffn {Attribute} Forall = 977
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Forall}@anchor{dad}
+@deffn {Attribute} Forall = 980
@end deffn
@geindex G (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name G}@anchor{8c0}
-@deffn {Attribute} G = 978
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name G}@anchor{dae}
+@deffn {Attribute} G = 981
@end deffn
@geindex Inf (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inf}@anchor{8c1}
-@deffn {Attribute} Inf = 979
-@end deffn
-
-@geindex Inherit (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inherit}@anchor{8c2}
-@deffn {Attribute} Inherit = 980
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Inf}@anchor{daf}
+@deffn {Attribute} Inf = 982
@end deffn
@geindex Never (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Never}@anchor{8c3}
-@deffn {Attribute} Never = 981
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Never}@anchor{db0}
+@deffn {Attribute} Never = 983
@end deffn
@geindex Next_A (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_A}@anchor{8c4}
-@deffn {Attribute} Next_A = 982
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_A}@anchor{db1}
+@deffn {Attribute} Next_A = 984
@end deffn
@geindex Next_E (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_E}@anchor{8c5}
-@deffn {Attribute} Next_E = 983
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_E}@anchor{db2}
+@deffn {Attribute} Next_E = 985
@end deffn
@geindex Next_Event (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event}@anchor{8c6}
-@deffn {Attribute} Next_Event = 984
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event}@anchor{db3}
+@deffn {Attribute} Next_Event = 986
@end deffn
@geindex Next_Event_A (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event_A}@anchor{8c7}
-@deffn {Attribute} Next_Event_A = 985
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event_A}@anchor{db4}
+@deffn {Attribute} Next_Event_A = 987
@end deffn
@geindex Next_Event_E (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event_E}@anchor{8c8}
-@deffn {Attribute} Next_Event_E = 986
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Next_Event_E}@anchor{db5}
+@deffn {Attribute} Next_Event_E = 988
@end deffn
@geindex Onehot (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Onehot}@anchor{8c9}
-@deffn {Attribute} Onehot = 987
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Onehot}@anchor{db6}
+@deffn {Attribute} Onehot = 989
@end deffn
@geindex Onehot0 (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Onehot0}@anchor{8ca}
-@deffn {Attribute} Onehot0 = 988
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Onehot0}@anchor{db7}
+@deffn {Attribute} Onehot0 = 990
@end deffn
@geindex Prev (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Prev}@anchor{8cb}
-@deffn {Attribute} Prev = 989
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Prev}@anchor{db8}
+@deffn {Attribute} Prev = 991
@end deffn
@geindex Rose (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rose}@anchor{8cc}
-@deffn {Attribute} Rose = 990
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rose}@anchor{db9}
+@deffn {Attribute} Rose = 992
@end deffn
@geindex Strong (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong}@anchor{8cd}
-@deffn {Attribute} Strong = 991
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Strong}@anchor{dba}
+@deffn {Attribute} Strong = 993
@end deffn
@geindex Sync_Abort (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sync_Abort}@anchor{8ce}
-@deffn {Attribute} Sync_Abort = 992
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Sync_Abort}@anchor{dbb}
+@deffn {Attribute} Sync_Abort = 994
@end deffn
@geindex W (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name W}@anchor{8cf}
-@deffn {Attribute} W = 993
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name W}@anchor{dbc}
+@deffn {Attribute} W = 995
@end deffn
@geindex Whilenot (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Whilenot}@anchor{8d0}
-@deffn {Attribute} Whilenot = 994
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Whilenot}@anchor{dbd}
+@deffn {Attribute} Whilenot = 996
@end deffn
@geindex Within (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Within}@anchor{8d1}
-@deffn {Attribute} Within = 995
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Within}@anchor{dbe}
+@deffn {Attribute} Within = 997
@end deffn
@geindex X (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name X}@anchor{8d2}
-@deffn {Attribute} X = 996
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name X}@anchor{dbf}
+@deffn {Attribute} X = 998
@end deffn
@geindex Last_PSL (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_PSL}@anchor{8d3}
-@deffn {Attribute} Last_PSL = 996
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_PSL}@anchor{dc0}
+@deffn {Attribute} Last_PSL = 998
@end deffn
@geindex First_Edif (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Edif}@anchor{8d4}
-@deffn {Attribute} First_Edif = 997
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name First_Edif}@anchor{dc1}
+@deffn {Attribute} First_Edif = 999
@end deffn
@geindex Celltype (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Celltype}@anchor{8d5}
-@deffn {Attribute} Celltype = 1007
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Celltype}@anchor{dc2}
+@deffn {Attribute} Celltype = 1009
@end deffn
@geindex View (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name View}@anchor{8d6}
-@deffn {Attribute} View = 1008
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name View}@anchor{dc3}
+@deffn {Attribute} View = 1010
@end deffn
@geindex Viewtype (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Viewtype}@anchor{8d7}
-@deffn {Attribute} Viewtype = 1009
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Viewtype}@anchor{dc4}
+@deffn {Attribute} Viewtype = 1011
@end deffn
@geindex Direction (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Direction}@anchor{8d8}
-@deffn {Attribute} Direction = 1010
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Direction}@anchor{dc5}
+@deffn {Attribute} Direction = 1012
@end deffn
@geindex Contents (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Contents}@anchor{8d9}
-@deffn {Attribute} Contents = 1011
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Contents}@anchor{dc6}
+@deffn {Attribute} Contents = 1013
@end deffn
@geindex Net (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Net}@anchor{8da}
-@deffn {Attribute} Net = 1012
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Net}@anchor{dc7}
+@deffn {Attribute} Net = 1014
@end deffn
@geindex Viewref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Viewref}@anchor{8db}
-@deffn {Attribute} Viewref = 1013
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Viewref}@anchor{dc8}
+@deffn {Attribute} Viewref = 1015
@end deffn
@geindex Cellref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cellref}@anchor{8dc}
-@deffn {Attribute} Cellref = 1014
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Cellref}@anchor{dc9}
+@deffn {Attribute} Cellref = 1016
@end deffn
@geindex Libraryref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Libraryref}@anchor{8dd}
-@deffn {Attribute} Libraryref = 1015
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Libraryref}@anchor{dca}
+@deffn {Attribute} Libraryref = 1017
@end deffn
@geindex Portinstance (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Portinstance}@anchor{8de}
-@deffn {Attribute} Portinstance = 1016
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Portinstance}@anchor{dcb}
+@deffn {Attribute} Portinstance = 1018
@end deffn
@geindex Joined (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Joined}@anchor{8df}
-@deffn {Attribute} Joined = 1017
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Joined}@anchor{dcc}
+@deffn {Attribute} Joined = 1019
@end deffn
@geindex Portref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Portref}@anchor{8e0}
-@deffn {Attribute} Portref = 1018
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Portref}@anchor{dcd}
+@deffn {Attribute} Portref = 1020
@end deffn
@geindex Instanceref (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instanceref}@anchor{8e1}
-@deffn {Attribute} Instanceref = 1019
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Instanceref}@anchor{dce}
+@deffn {Attribute} Instanceref = 1021
@end deffn
@geindex Design (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Design}@anchor{8e2}
-@deffn {Attribute} Design = 1020
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Design}@anchor{dcf}
+@deffn {Attribute} Design = 1022
@end deffn
@geindex Designator (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Designator}@anchor{8e3}
-@deffn {Attribute} Designator = 1021
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Designator}@anchor{dd0}
+@deffn {Attribute} Designator = 1023
@end deffn
@geindex Owner (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Owner}@anchor{8e4}
-@deffn {Attribute} Owner = 1022
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Owner}@anchor{dd1}
+@deffn {Attribute} Owner = 1024
@end deffn
@geindex Member (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Member}@anchor{8e5}
-@deffn {Attribute} Member = 1023
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Member}@anchor{dd2}
+@deffn {Attribute} Member = 1025
@end deffn
@geindex Number (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Number}@anchor{8e6}
-@deffn {Attribute} Number = 1024
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Number}@anchor{dd3}
+@deffn {Attribute} Number = 1026
@end deffn
@geindex Rename (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rename}@anchor{8e7}
-@deffn {Attribute} Rename = 1025
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Rename}@anchor{dd4}
+@deffn {Attribute} Rename = 1027
@end deffn
@geindex Userdata (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Userdata}@anchor{8e8}
-@deffn {Attribute} Userdata = 1026
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Userdata}@anchor{dd5}
+@deffn {Attribute} Userdata = 1028
@end deffn
@geindex Last_Edif (pyGHDL.libghdl.std_names.Name attribute)
-@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Edif}@anchor{8e9}
-@deffn {Attribute} Last_Edif = 1026
+@anchor{pyGHDL/pyGHDL libghdl std_names pyGHDL libghdl std_names Name Last_Edif}@anchor{dd6}
+@deffn {Attribute} Last_Edif = 1028
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -21100,8 +30921,84 @@ Undocumented.
@c # define a hard line break for HTML
-@node pyGHDL libghdl utils,pyGHDL libghdl version,pyGHDL libghdl std_names,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl utils doc}@anchor{8ea}@anchor{pyGHDL/pyGHDL libghdl utils module-pyGHDL libghdl utils}@anchor{22}@anchor{pyGHDL/pyGHDL libghdl utils pyghdl-libghdl-utils}@anchor{8eb}
+@node pyGHDL libghdl str_table,pyGHDL libghdl utils,pyGHDL libghdl std_names,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl str_table doc}@anchor{dd7}@anchor{pyGHDL/pyGHDL libghdl str_table module-pyGHDL libghdl str_table}@anchor{26}@anchor{pyGHDL/pyGHDL libghdl str_table pyghdl-libghdl-str-table}@anchor{dd8}
+@subsection pyGHDL.libghdl.str_table
+
+
+@geindex module; pyGHDL.libghdl.str_table
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{dd9,,_String8_Address()}:
+
+@item
+@ref{dda,,Get_String8_Ptr()}:
+Get the address of string8 ID. Note that as soon as a character is appended
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex _String8_Address() (in module pyGHDL.libghdl.str_table)
+@anchor{pyGHDL/pyGHDL libghdl str_table pyGHDL libghdl str_table _String8_Address}@anchor{dd9}
+@deffn {Function} pyGHDL.libghdl.str_table._String8_Address (Id)
+
+@*Return type:
+c_char_p@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_char_p}
+
+@end deffn
+
+@geindex Get_String8_Ptr() (in module pyGHDL.libghdl.str_table)
+@anchor{pyGHDL/pyGHDL libghdl str_table pyGHDL libghdl str_table Get_String8_Ptr}@anchor{dda}
+@deffn {Function} pyGHDL.libghdl.str_table.Get_String8_Ptr (Id, Length)
+
+Get the address of string8 ID. Note that as soon as a character is appended
+(using Append_String8) or a string8 is resized (using Resize_String8), an
+address previously returned is not valid anymore.
+
+@*Parameters:
+@code{Id} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{String8Id}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – String8Id for the string to query.
+
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+
+@*Returns:
+String8 as string.
+
+@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl utils,pyGHDL libghdl vhdl,pyGHDL libghdl str_table,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl utils doc}@anchor{ddb}@anchor{pyGHDL/pyGHDL libghdl utils module-pyGHDL libghdl utils}@anchor{27}@anchor{pyGHDL/pyGHDL libghdl utils pyghdl-libghdl-utils}@anchor{ddc}
@subsection pyGHDL.libghdl.utils
@@ -21115,67 +31012,67 @@ Undocumented.
@itemize -
@item
-@ref{8ec,,name_image()}:
+@ref{ddd,,name_image()}:
Lookup a @code{Id} and return its string.
@item
-@ref{8ed,,fields_image()}:
+@ref{dde,,fields_image()}:
String representation of Nodes_Meta.fields @code{idx}.
@item
-@ref{8ee,,kind_image()}:
+@ref{ddf,,kind_image()}:
String representation of Nodes.Iir_Kind @code{k}.
@item
-@ref{8ef,,types_image()}:
+@ref{de0,,types_image()}:
String representation of Nodes_Meta.Types @code{t}.
@item
-@ref{8f0,,attr_image()}:
+@ref{de1,,attr_image()}:
String representation of Nodes_Meta.Attr @code{a}.
@item
-@ref{8f1,,leftest_location()}:
+@ref{de2,,leftest_location()}:
Undocumented.
@item
-@ref{8f2,,fields_iter()}:
+@ref{de3,,fields_iter()}:
Iterate on fields of node @code{n}.
@item
-@ref{8f3,,chain_iter()}:
+@ref{de4,,chain_iter()}:
Iterate of a chain headed by node @code{n}.
@item
-@ref{8f4,,chain_to_list()}:
+@ref{de5,,chain_to_list()}:
Convert a chain headed by node @code{n} to a Python list.
@item
-@ref{8f5,,nodes_iter()}:
+@ref{de6,,nodes_iter()}:
Iterate all nodes of @code{n}, including @code{n}.
@item
-@ref{8f6,,list_iter()}:
+@ref{de7,,list_iter()}:
Iterate all element of Iir_List @code{lst}.
@item
-@ref{8f7,,flist_iter()}:
+@ref{de8,,flist_iter()}:
Iterate all element of Iir_List @code{lst}.
@item
-@ref{8f8,,declarations_iter()}:
+@ref{de9,,declarations_iter()}:
Iterate all declarations in node @code{n}.
@item
-@ref{8f9,,concurrent_stmts_iter()}:
+@ref{dea,,concurrent_stmts_iter()}:
Iterate concurrent statements in node @code{n}.
@item
-@ref{8fa,,constructs_iter()}:
+@ref{deb,,constructs_iter()}:
Iterate library units, concurrent statements and declarations
@item
-@ref{8fb,,sequential_iter()}:
+@ref{dec,,sequential_iter()}:
Iterate sequential statements. The first node must be either
@end itemize
@@ -21184,10 +31081,9 @@ Iterate sequential statements. The first node must be either
@strong{Functions}
@geindex name_image() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils name_image}@anchor{8ec}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils name_image}@anchor{ddd}
@deffn {Function} pyGHDL.libghdl.utils.name_image (Id)
-
Lookup a @code{Id} and return its string.
@*Return type:
@@ -21196,10 +31092,9 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex fields_image() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_image}@anchor{8ed}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_image}@anchor{dde}
@deffn {Function} pyGHDL.libghdl.utils.fields_image (idx)
-
String representation of Nodes_Meta.fields @code{idx}.
@*Return type:
@@ -21208,10 +31103,9 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex kind_image() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils kind_image}@anchor{8ee}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils kind_image}@anchor{ddf}
@deffn {Function} pyGHDL.libghdl.utils.kind_image (k)
-
String representation of Nodes.Iir_Kind @code{k}.
@*Return type:
@@ -21220,10 +31114,9 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex types_image() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils types_image}@anchor{8ef}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils types_image}@anchor{de0}
@deffn {Function} pyGHDL.libghdl.utils.types_image (t)
-
String representation of Nodes_Meta.Types @code{t}.
@*Return type:
@@ -21232,10 +31125,9 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex attr_image() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils attr_image}@anchor{8f0}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils attr_image}@anchor{de1}
@deffn {Function} pyGHDL.libghdl.utils.attr_image (a)
-
String representation of Nodes_Meta.Attr @code{a}.
@*Return type:
@@ -21244,16 +31136,14 @@ str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
@end deffn
@geindex leftest_location() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils leftest_location}@anchor{8f1}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils leftest_location}@anchor{de2}
@deffn {Function} pyGHDL.libghdl.utils.leftest_location (n)
-
@end deffn
@geindex fields_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_iter}@anchor{8f2}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils fields_iter}@anchor{de3}
@deffn {Function} pyGHDL.libghdl.utils.fields_iter (n)
-
Iterate on fields of node @code{n}.
@*Return type:
@@ -21262,10 +31152,9 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@end deffn
@geindex chain_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_iter}@anchor{8f3}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_iter}@anchor{de4}
@deffn {Function} pyGHDL.libghdl.utils.chain_iter (n)
-
Iterate of a chain headed by node @code{n}.
@*Return type:
@@ -21274,10 +31163,9 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@end deffn
@geindex chain_to_list() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_to_list}@anchor{8f4}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils chain_to_list}@anchor{de5}
@deffn {Function} pyGHDL.libghdl.utils.chain_to_list (n)
-
Convert a chain headed by node @code{n} to a Python list.
@*Return type:
@@ -21286,10 +31174,9 @@ List@footnote{https://docs.python.org/3.6/library/typing.html#typing.List}[Any@f
@end deffn
@geindex nodes_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils nodes_iter}@anchor{8f5}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils nodes_iter}@anchor{de6}
@deffn {Function} pyGHDL.libghdl.utils.nodes_iter (n)
-
Iterate all nodes of @code{n}, including @code{n}.
Nodes are returned only once.
@@ -21299,10 +31186,9 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@end deffn
@geindex list_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils list_iter}@anchor{8f6}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils list_iter}@anchor{de7}
@deffn {Function} pyGHDL.libghdl.utils.list_iter (lst)
-
Iterate all element of Iir_List @code{lst}.
@*Return type:
@@ -21311,10 +31197,9 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@end deffn
@geindex flist_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils flist_iter}@anchor{8f7}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils flist_iter}@anchor{de8}
@deffn {Function} pyGHDL.libghdl.utils.flist_iter (lst)
-
Iterate all element of Iir_List @code{lst}.
@*Return type:
@@ -21323,10 +31208,9 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@end deffn
@geindex declarations_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils declarations_iter}@anchor{8f8}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils declarations_iter}@anchor{de9}
@deffn {Function} pyGHDL.libghdl.utils.declarations_iter (n)
-
Iterate all declarations in node @code{n}.
@*Return type:
@@ -21335,10 +31219,9 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@end deffn
@geindex concurrent_stmts_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils concurrent_stmts_iter}@anchor{8f9}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils concurrent_stmts_iter}@anchor{dea}
@deffn {Function} pyGHDL.libghdl.utils.concurrent_stmts_iter (n)
-
Iterate concurrent statements in node @code{n}.
@*Return type:
@@ -21347,10 +31230,9 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@end deffn
@geindex constructs_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils constructs_iter}@anchor{8fa}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils constructs_iter}@anchor{deb}
@deffn {Function} pyGHDL.libghdl.utils.constructs_iter (n)
-
Iterate library units, concurrent statements and declarations
that appear directly within a declarative part.
@@ -21360,10 +31242,9 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@end deffn
@geindex sequential_iter() (in module pyGHDL.libghdl.utils)
-@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils sequential_iter}@anchor{8fb}
+@anchor{pyGHDL/pyGHDL libghdl utils pyGHDL libghdl utils sequential_iter}@anchor{dec}
@deffn {Function} pyGHDL.libghdl.utils.sequential_iter (n)
-
Iterate sequential statements. The first node must be either
a process or a subprogram body.
@@ -21372,36 +31253,7 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
-@c # <file> is used to denote the special path
-@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
-
-@c This data file has been placed in the public domain.
-
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
-
-@c This data file has been placed in the public domain.
-
-@c Derived from the Unicode character mappings available from
-@c <http://www.w3.org/2003/entities/xml/>.
-@c Processed by unicode2rstsubs.py, part of Docutils:
-@c <http://docutils.sourceforge.net>.
-
-@c # define a hard line break for HTML
-
-@node pyGHDL libghdl version,pyGHDL libghdl vhdl,pyGHDL libghdl utils,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl version doc}@anchor{8fc}@anchor{pyGHDL/pyGHDL libghdl version module-pyGHDL libghdl version}@anchor{23}@anchor{pyGHDL/pyGHDL libghdl version pyghdl-libghdl-version}@anchor{8fd}
-@subsection pyGHDL.libghdl.version
-
-
-@geindex module; pyGHDL.libghdl.version
-
-@c #-----------------------------------
-
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -21421,8 +31273,8 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@c # define a hard line break for HTML
-@node pyGHDL libghdl vhdl,,pyGHDL libghdl version,pyGHDL libghdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl doc}@anchor{8fe}@anchor{pyGHDL/pyGHDL libghdl vhdl module-pyGHDL libghdl vhdl}@anchor{24}@anchor{pyGHDL/pyGHDL libghdl vhdl pyghdl-libghdl-vhdl}@anchor{8ff}
+@node pyGHDL libghdl vhdl,,pyGHDL libghdl utils,pyGHDL libghdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl doc}@anchor{ded}@anchor{pyGHDL/pyGHDL libghdl vhdl module-pyGHDL libghdl vhdl}@anchor{28}@anchor{pyGHDL/pyGHDL libghdl vhdl pyghdl-libghdl-vhdl}@anchor{dee}
@subsection pyGHDL.libghdl.vhdl
@@ -21432,7 +31284,7 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@strong{Submodules}
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -21467,11 +31319,12 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
* pyGHDL.libghdl.vhdl.sem_lib: pyGHDL libghdl vhdl sem_lib.
* pyGHDL.libghdl.vhdl.std_package: pyGHDL libghdl vhdl std_package.
* pyGHDL.libghdl.vhdl.tokens: pyGHDL libghdl vhdl tokens.
+* pyGHDL.libghdl.vhdl.utils: pyGHDL libghdl vhdl utils.
@end menu
@node pyGHDL libghdl vhdl canon,pyGHDL libghdl vhdl elocations,,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl canon doc}@anchor{900}@anchor{pyGHDL/pyGHDL libghdl vhdl canon module-pyGHDL libghdl vhdl canon}@anchor{25}@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyghdl-libghdl-vhdl-canon}@anchor{901}
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon doc}@anchor{def}@anchor{pyGHDL/pyGHDL libghdl vhdl canon module-pyGHDL libghdl vhdl canon}@anchor{29}@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyghdl-libghdl-vhdl-canon}@anchor{df0}
@subsubsection pyGHDL.libghdl.vhdl.canon
@@ -21485,17 +31338,17 @@ Generator@footnote{https://docs.python.org/3.6/library/typing.html#typing.Genera
@itemize -
@item
-@ref{902,,Flag_Concurrent_Stmts}
+@ref{df1,,Flag_Concurrent_Stmts}
@item
-@ref{903,,Flag_Configurations}
+@ref{df2,,Flag_Configurations}
@item
-@ref{904,,Flag_Associations}
+@ref{df3,,Flag_Associations}
@end itemize
@geindex Flag_Concurrent_Stmts (in module pyGHDL.libghdl.vhdl.canon)
-@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Concurrent_Stmts}@anchor{902}
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Concurrent_Stmts}@anchor{df1}
@deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Concurrent_Stmts
@example
@@ -21504,7 +31357,7 @@ c_bool(True)
@end deffn
@geindex Flag_Configurations (in module pyGHDL.libghdl.vhdl.canon)
-@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Configurations}@anchor{903}
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Configurations}@anchor{df2}
@deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Configurations
@example
@@ -21513,7 +31366,7 @@ c_bool(True)
@end deffn
@geindex Flag_Associations (in module pyGHDL.libghdl.vhdl.canon)
-@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Associations}@anchor{904}
+@anchor{pyGHDL/pyGHDL libghdl vhdl canon pyGHDL libghdl vhdl canon Flag_Associations}@anchor{df3}
@deffn {Data} pyGHDL.libghdl.vhdl.canon.Flag_Associations
@example
@@ -21521,7 +31374,7 @@ c_bool(True)
@end example
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -21542,7 +31395,7 @@ c_bool(True)
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl elocations,pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl canon,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations doc}@anchor{905}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations module-pyGHDL libghdl vhdl elocations}@anchor{26}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyghdl-libghdl-vhdl-elocations}@anchor{906}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations doc}@anchor{df4}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations module-pyGHDL libghdl vhdl elocations}@anchor{2a}@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyghdl-libghdl-vhdl-elocations}@anchor{df5}
@subsubsection pyGHDL.libghdl.vhdl.elocations
@@ -21556,131 +31409,131 @@ c_bool(True)
@itemize -
@item
-@ref{907,,Get_Start_Location()}:
+@ref{df6,,Get_Start_Location()}:
Undocumented.
@item
-@ref{908,,Set_Start_Location()}:
+@ref{df7,,Set_Start_Location()}:
Undocumented.
@item
-@ref{909,,Get_Right_Paren_Location()}:
+@ref{df8,,Get_Right_Paren_Location()}:
Undocumented.
@item
-@ref{90a,,Set_Right_Paren_Location()}:
+@ref{df9,,Set_Right_Paren_Location()}:
Undocumented.
@item
-@ref{90b,,Get_End_Location()}:
+@ref{dfa,,Get_End_Location()}:
Undocumented.
@item
-@ref{90c,,Set_End_Location()}:
+@ref{dfb,,Set_End_Location()}:
Undocumented.
@item
-@ref{90d,,Get_Is_Location()}:
+@ref{dfc,,Get_Is_Location()}:
Undocumented.
@item
-@ref{90e,,Set_Is_Location()}:
+@ref{dfd,,Set_Is_Location()}:
Undocumented.
@item
-@ref{90f,,Get_Begin_Location()}:
+@ref{dfe,,Get_Begin_Location()}:
Undocumented.
@item
-@ref{910,,Set_Begin_Location()}:
+@ref{dff,,Set_Begin_Location()}:
Undocumented.
@item
-@ref{911,,Get_Then_Location()}:
+@ref{e00,,Get_Then_Location()}:
Undocumented.
@item
-@ref{912,,Set_Then_Location()}:
+@ref{e01,,Set_Then_Location()}:
Undocumented.
@item
-@ref{913,,Get_Use_Location()}:
+@ref{e02,,Get_Use_Location()}:
Undocumented.
@item
-@ref{914,,Set_Use_Location()}:
+@ref{e03,,Set_Use_Location()}:
Undocumented.
@item
-@ref{915,,Get_Loop_Location()}:
+@ref{e04,,Get_Loop_Location()}:
Undocumented.
@item
-@ref{916,,Set_Loop_Location()}:
+@ref{e05,,Set_Loop_Location()}:
Undocumented.
@item
-@ref{917,,Get_Generate_Location()}:
+@ref{e06,,Get_Generate_Location()}:
Undocumented.
@item
-@ref{918,,Set_Generate_Location()}:
+@ref{e07,,Set_Generate_Location()}:
Undocumented.
@item
-@ref{919,,Get_Generic_Location()}:
+@ref{e08,,Get_Generic_Location()}:
Undocumented.
@item
-@ref{91a,,Set_Generic_Location()}:
+@ref{e09,,Set_Generic_Location()}:
Undocumented.
@item
-@ref{91b,,Get_Port_Location()}:
+@ref{e0a,,Get_Port_Location()}:
Undocumented.
@item
-@ref{91c,,Set_Port_Location()}:
+@ref{e0b,,Set_Port_Location()}:
Undocumented.
@item
-@ref{91d,,Get_Generic_Map_Location()}:
+@ref{e0c,,Get_Generic_Map_Location()}:
Undocumented.
@item
-@ref{91e,,Set_Generic_Map_Location()}:
+@ref{e0d,,Set_Generic_Map_Location()}:
Undocumented.
@item
-@ref{91f,,Get_Port_Map_Location()}:
+@ref{e0e,,Get_Port_Map_Location()}:
Undocumented.
@item
-@ref{920,,Set_Port_Map_Location()}:
+@ref{e0f,,Set_Port_Map_Location()}:
Undocumented.
@item
-@ref{921,,Get_Arrow_Location()}:
+@ref{e10,,Get_Arrow_Location()}:
Undocumented.
@item
-@ref{922,,Set_Arrow_Location()}:
+@ref{e11,,Set_Arrow_Location()}:
Undocumented.
@item
-@ref{923,,Get_Colon_Location()}:
+@ref{e12,,Get_Colon_Location()}:
Undocumented.
@item
-@ref{924,,Set_Colon_Location()}:
+@ref{e13,,Set_Colon_Location()}:
Undocumented.
@item
-@ref{925,,Get_Assign_Location()}:
+@ref{e14,,Get_Assign_Location()}:
Undocumented.
@item
-@ref{926,,Set_Assign_Location()}:
+@ref{e15,,Set_Assign_Location()}:
Undocumented.
@end itemize
@@ -21689,262 +31542,230 @@ Undocumented.
@strong{Functions}
@geindex Get_Start_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Start_Location}@anchor{907}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Start_Location}@anchor{df6}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Start_Location (obj)
-
@end deffn
@geindex Set_Start_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Start_Location}@anchor{908}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Start_Location}@anchor{df7}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Start_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Right_Paren_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Right_Paren_Location}@anchor{909}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Right_Paren_Location}@anchor{df8}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Right_Paren_Location (obj)
-
@end deffn
@geindex Set_Right_Paren_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Right_Paren_Location}@anchor{90a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Right_Paren_Location}@anchor{df9}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Right_Paren_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_End_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_End_Location}@anchor{90b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_End_Location}@anchor{dfa}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_End_Location (obj)
-
@end deffn
@geindex Set_End_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_End_Location}@anchor{90c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_End_Location}@anchor{dfb}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_End_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Is_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Is_Location}@anchor{90d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Is_Location}@anchor{dfc}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Is_Location (obj)
-
@end deffn
@geindex Set_Is_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Is_Location}@anchor{90e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Is_Location}@anchor{dfd}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Is_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Begin_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Begin_Location}@anchor{90f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Begin_Location}@anchor{dfe}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Begin_Location (obj)
-
@end deffn
@geindex Set_Begin_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Begin_Location}@anchor{910}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Begin_Location}@anchor{dff}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Begin_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Then_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Then_Location}@anchor{911}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Then_Location}@anchor{e00}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Then_Location (obj)
-
@end deffn
@geindex Set_Then_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Then_Location}@anchor{912}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Then_Location}@anchor{e01}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Then_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Use_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Use_Location}@anchor{913}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Use_Location}@anchor{e02}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Use_Location (obj)
-
@end deffn
@geindex Set_Use_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Use_Location}@anchor{914}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Use_Location}@anchor{e03}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Use_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Loop_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Loop_Location}@anchor{915}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Loop_Location}@anchor{e04}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Loop_Location (obj)
-
@end deffn
@geindex Set_Loop_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Loop_Location}@anchor{916}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Loop_Location}@anchor{e05}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Loop_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Generate_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generate_Location}@anchor{917}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generate_Location}@anchor{e06}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generate_Location (obj)
-
@end deffn
@geindex Set_Generate_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generate_Location}@anchor{918}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generate_Location}@anchor{e07}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generate_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Generic_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Location}@anchor{919}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Location}@anchor{e08}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generic_Location (obj)
-
@end deffn
@geindex Set_Generic_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Location}@anchor{91a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Location}@anchor{e09}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generic_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Port_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Location}@anchor{91b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Location}@anchor{e0a}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Port_Location (obj)
-
@end deffn
@geindex Set_Port_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Location}@anchor{91c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Location}@anchor{e0b}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Port_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Generic_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Map_Location}@anchor{91d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Generic_Map_Location}@anchor{e0c}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Generic_Map_Location (obj)
-
@end deffn
@geindex Set_Generic_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Map_Location}@anchor{91e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Generic_Map_Location}@anchor{e0d}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Generic_Map_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Port_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Map_Location}@anchor{91f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Port_Map_Location}@anchor{e0e}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Port_Map_Location (obj)
-
@end deffn
@geindex Set_Port_Map_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Map_Location}@anchor{920}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Port_Map_Location}@anchor{e0f}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Port_Map_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Arrow_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Arrow_Location}@anchor{921}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Arrow_Location}@anchor{e10}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Arrow_Location (obj)
-
@end deffn
@geindex Set_Arrow_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Arrow_Location}@anchor{922}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Arrow_Location}@anchor{e11}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Arrow_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Colon_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Colon_Location}@anchor{923}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Colon_Location}@anchor{e12}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Colon_Location (obj)
-
@end deffn
@geindex Set_Colon_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Colon_Location}@anchor{924}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Colon_Location}@anchor{e13}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Colon_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Assign_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Assign_Location}@anchor{925}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Get_Assign_Location}@anchor{e14}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Get_Assign_Location (obj)
-
@end deffn
@geindex Set_Assign_Location() (in module pyGHDL.libghdl.vhdl.elocations)
-@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Assign_Location}@anchor{926}
+@anchor{pyGHDL/pyGHDL libghdl vhdl elocations pyGHDL libghdl vhdl elocations Set_Assign_Location}@anchor{e15}
@deffn {Function} pyGHDL.libghdl.vhdl.elocations.Set_Assign_Location (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -21965,7 +31786,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl elocations,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists doc}@anchor{927}@anchor{pyGHDL/pyGHDL libghdl vhdl flists module-pyGHDL libghdl vhdl flists}@anchor{27}@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyghdl-libghdl-vhdl-flists}@anchor{928}
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists doc}@anchor{e16}@anchor{pyGHDL/pyGHDL libghdl vhdl flists module-pyGHDL libghdl vhdl flists}@anchor{2b}@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyghdl-libghdl-vhdl-flists}@anchor{e17}
@subsubsection pyGHDL.libghdl.vhdl.flists
@@ -21979,7 +31800,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{929,,Ffirst}
+@ref{e18,,Ffirst}
@end itemize
@strong{Classes}
@@ -21988,7 +31809,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{92a,,Flist_Type}:
+@ref{e19,,Flist_Type}:
XXX to be provided
@end itemize
@@ -21998,20 +31819,20 @@ XXX to be provided
@itemize -
@item
-@ref{92b,,Flast()}:
+@ref{e1a,,Flast()}:
Last index of @code{FList}.
@item
-@ref{92c,,Length()}:
+@ref{e1b,,Length()}:
Get the length of @code{FList}.
@item
-@ref{92d,,Get_Nth_Element()}:
+@ref{e1c,,Get_Nth_Element()}:
Get the N-th element of @code{FList}.
@end itemize
@geindex Ffirst (in module pyGHDL.libghdl.vhdl.flists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Ffirst}@anchor{929}
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Ffirst}@anchor{e18}
@deffn {Data} pyGHDL.libghdl.vhdl.flists.Ffirst
int([x]) -> integer
@@ -22037,10 +31858,17 @@ Base 0 means to interpret the base from the string as an integer literal.
@c #-----------------------------------
@geindex Flist_Type (in module pyGHDL.libghdl.vhdl.flists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flist_Type}@anchor{92a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flist_Type}@anchor{e19}
@deffn {Attribute} pyGHDL.libghdl.vhdl.flists.Flist_Type
-alias of ctypes.c_long@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_long}
+First index of a @code{FList}.
+
+@subsubheading Inheritance
+
+@image{inheritance-fe95ca1711485f06f2669f0640c0cacc60fd33bc,,,[graphviz],png}
+
+@subsubheading Members
+
@end deffn
@c #-----------------------------------
@@ -22048,10 +31876,9 @@ alias of ctypes.c_long@footnote{https://docs.python.org/3.6/library/ctypes.html#
@strong{Functions}
@geindex Flast() (in module pyGHDL.libghdl.vhdl.flists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flast}@anchor{92b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Flast}@anchor{e1a}
@deffn {Function} pyGHDL.libghdl.vhdl.flists.Flast (FList)
-
Last index of @code{FList}.
@cartouche
@@ -22074,10 +31901,9 @@ Index of the last element in the list.
@end deffn
@geindex Length() (in module pyGHDL.libghdl.vhdl.flists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Length}@anchor{92c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Length}@anchor{e1b}
@deffn {Function} pyGHDL.libghdl.vhdl.flists.Length (FList)
-
Get the length of @code{FList}.
@*Parameters:
@@ -22094,10 +31920,9 @@ Number of elements in the list.
@end deffn
@geindex Get_Nth_Element() (in module pyGHDL.libghdl.vhdl.flists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Get_Nth_Element}@anchor{92d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl flists pyGHDL libghdl vhdl flists Get_Nth_Element}@anchor{e1c}
@deffn {Function} pyGHDL.libghdl.vhdl.flists.Get_Nth_Element (FList, N)
-
Get the N-th element of @code{FList}.
First element has index 0.
@@ -22115,7 +31940,7 @@ Type: @code{El_Type}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -22136,7 +31961,7 @@ Type: @code{El_Type}
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl flists,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters doc}@anchor{92e}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters module-pyGHDL libghdl vhdl formatters}@anchor{28}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyghdl-libghdl-vhdl-formatters}@anchor{92f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters doc}@anchor{e1d}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters module-pyGHDL libghdl vhdl formatters}@anchor{2c}@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyghdl-libghdl-vhdl-formatters}@anchor{e1e}
@subsubsection pyGHDL.libghdl.vhdl.formatters
@@ -22150,23 +31975,23 @@ Type: @code{El_Type}
@itemize -
@item
-@ref{930,,Indent_String()}:
+@ref{e1f,,Indent_String()}:
Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
@item
-@ref{931,,Allocate_Handle()}:
+@ref{e20,,Allocate_Handle()}:
.. todo:: Undocumented in Ada code.
@item
-@ref{932,,Get_Length()}:
+@ref{e21,,Get_Length()}:
.. todo:: Undocumented in Ada code.
@item
-@ref{933,,Get_C_String()}:
+@ref{e22,,Get_C_String()}:
.. todo:: Undocumented in Ada code.
@item
-@ref{934,,Free_Handle()}:
+@ref{e23,,Free_Handle()}:
.. todo:: Undocumented in Ada code.
@end itemize
@@ -22175,10 +32000,9 @@ Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
@strong{Functions}
@geindex Indent_String() (in module pyGHDL.libghdl.vhdl.formatters)
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Indent_String}@anchor{930}
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Indent_String}@anchor{e1f}
@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Indent_String (File, Handle, FirstLine, LastLine)
-
Reindent all lines of F between [First_Line; Last_Line] to @code{Handle}.
@*Parameters:
@@ -22205,10 +32029,9 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Allocate_Handle() (in module pyGHDL.libghdl.vhdl.formatters)
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Allocate_Handle}@anchor{931}
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Allocate_Handle}@anchor{e20}
@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Allocate_Handle ()
-
@cartouche
@quotation Todo
Undocumented in Ada code.
@@ -22221,10 +32044,9 @@ undocumented. Type: @code{Vstring_Acc}
@end deffn
@geindex Get_Length() (in module pyGHDL.libghdl.vhdl.formatters)
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Get_Length}@anchor{932}
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Get_Length}@anchor{e21}
@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Get_Length (Handle)
-
@cartouche
@quotation Todo
Undocumented in Ada code.
@@ -22245,10 +32067,9 @@ undocumented.
@end deffn
@geindex Get_C_String() (in module pyGHDL.libghdl.vhdl.formatters)
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Get_C_String}@anchor{933}
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Get_C_String}@anchor{e22}
@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Get_C_String (Handle)
-
@cartouche
@quotation Todo
Undocumented in Ada code.
@@ -22265,10 +32086,9 @@ Type: @code{Grt.Types.Ghdl_C_String}
@end deffn
@geindex Free_Handle() (in module pyGHDL.libghdl.vhdl.formatters)
-@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Free_Handle}@anchor{934}
+@anchor{pyGHDL/pyGHDL libghdl vhdl formatters pyGHDL libghdl vhdl formatters Free_Handle}@anchor{e23}
@deffn {Function} pyGHDL.libghdl.vhdl.formatters.Free_Handle (Handle)
-
@cartouche
@quotation Todo
Undocumented in Ada code.
@@ -22284,7 +32104,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -22305,7 +32125,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl formatters,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl ieee doc}@anchor{935}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee module-pyGHDL libghdl vhdl ieee}@anchor{29}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyghdl-libghdl-vhdl-ieee}@anchor{936}
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee doc}@anchor{e24}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee module-pyGHDL libghdl vhdl ieee}@anchor{2d}@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyghdl-libghdl-vhdl-ieee}@anchor{e25}
@subsubsection pyGHDL.libghdl.vhdl.ieee
@@ -22319,43 +32139,43 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{937,,Std_Logic_1164_Pkg}
+@ref{e26,,Std_Logic_1164_Pkg}
@item
-@ref{938,,Std_Logic_Type}
+@ref{e27,,Std_Logic_Type}
@item
-@ref{939,,Std_Logic_Vector_Type}
+@ref{e28,,Std_Logic_Vector_Type}
@end itemize
@geindex Std_Logic_1164_Pkg (in module pyGHDL.libghdl.vhdl.ieee)
-@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_1164_Pkg}@anchor{937}
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_1164_Pkg}@anchor{e26}
@deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_1164_Pkg
@example
-c_long(0)
+c_int(0)
@end example
@end deffn
@geindex Std_Logic_Type (in module pyGHDL.libghdl.vhdl.ieee)
-@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Type}@anchor{938}
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Type}@anchor{e27}
@deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_Type
@example
-c_long(0)
+c_int(0)
@end example
@end deffn
@geindex Std_Logic_Vector_Type (in module pyGHDL.libghdl.vhdl.ieee)
-@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Vector_Type}@anchor{939}
+@anchor{pyGHDL/pyGHDL libghdl vhdl ieee pyGHDL libghdl vhdl ieee Std_Logic_Vector_Type}@anchor{e28}
@deffn {Data} pyGHDL.libghdl.vhdl.ieee.Std_Logic_Vector_Type
@example
-c_long(0)
+c_int(0)
@end example
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -22376,7 +32196,7 @@ c_long(0)
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl ieee,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists doc}@anchor{93a}@anchor{pyGHDL/pyGHDL libghdl vhdl lists module-pyGHDL libghdl vhdl lists}@anchor{2a}@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyghdl-libghdl-vhdl-lists}@anchor{93b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists doc}@anchor{e29}@anchor{pyGHDL/pyGHDL libghdl vhdl lists module-pyGHDL libghdl vhdl lists}@anchor{2e}@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyghdl-libghdl-vhdl-lists}@anchor{e2a}
@subsubsection pyGHDL.libghdl.vhdl.lists
@@ -22390,7 +32210,7 @@ c_long(0)
@itemize -
@item
-@ref{93c,,Iterator}:
+@ref{e2b,,Iterator}:
Structure base class
@end itemize
@@ -22400,41 +32220,40 @@ Structure base class
@itemize -
@item
-@ref{93d,,Iterate()}:
+@ref{e2c,,Iterate()}:
Create an iterator for a given list.
@item
-@ref{93e,,Is_Valid()}:
+@ref{e2d,,Is_Valid()}:
Check if iterator reached the end.
@item
-@ref{93f,,Next()}:
+@ref{e2e,,Next()}:
Move iterator to the next element.
@item
-@ref{940,,Get_Element()}:
+@ref{e2f,,Get_Element()}:
Get the current element from iterator.
@item
-@ref{941,,Get_Nbr_Elements()}:
+@ref{e30,,Get_Nbr_Elements()}:
Return the number of elements in the list.
@item
-@ref{942,,Create_Iir_List()}:
+@ref{e31,,Create_Iir_List()}:
Create a list.
@item
-@ref{943,,Destroy_Iir_List()}:
+@ref{e32,,Destroy_Iir_List()}:
Destroy a list.
@end itemize
@c #-----------------------------------
@geindex Iterator (class in pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator}@anchor{93c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator}@anchor{e2b}
@deffn {Class} pyGHDL.libghdl.vhdl.lists.Iterator
-
@subsubheading Inheritance
@image{inheritance-09d94610fb1b7bb222ec2d8c9ba69f958a6e2158,,,[graphviz],png}
@@ -22443,47 +32262,47 @@ Destroy a list.
@geindex _fields_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _fields_}@anchor{944}
-@deffn {Attribute} _fields_ = [('chunk', <class 'ctypes.c_long'>), ('chunk_idx', <class 'ctypes.c_long'>), ('remain', <class 'ctypes.c_long'>)]
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _fields_}@anchor{e33}
+@deffn {Attribute} _fields_ = [('chunk', <class 'ctypes.c_int'>), ('chunk_idx', <class 'ctypes.c_int'>), ('remain', <class 'ctypes.c_int'>)]
@end deffn
@geindex _b_base_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_base_}@anchor{945}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_base_}@anchor{e34}
@deffn {Attribute} _b_base_
the base object
@end deffn
@geindex _b_needsfree_ (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_needsfree_}@anchor{946}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _b_needsfree_}@anchor{e35}
@deffn {Attribute} _b_needsfree_
whether the object owns the memory or not
@end deffn
@geindex _objects (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _objects}@anchor{947}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator _objects}@anchor{e36}
@deffn {Attribute} _objects
internal objects tree (NEVER CHANGE THIS OBJECT!)
@end deffn
@geindex chunk (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator chunk}@anchor{948}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator chunk}@anchor{e37}
@deffn {Attribute} chunk
Structure/Union member
@end deffn
@geindex chunk_idx (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator chunk_idx}@anchor{949}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator chunk_idx}@anchor{e38}
@deffn {Attribute} chunk_idx
Structure/Union member
@end deffn
@geindex remain (pyGHDL.libghdl.vhdl.lists.Iterator attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator remain}@anchor{94a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterator remain}@anchor{e39}
@deffn {Attribute} remain
Structure/Union member
@@ -22495,10 +32314,9 @@ Structure/Union member
@strong{Functions}
@geindex Iterate() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterate}@anchor{93d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Iterate}@anchor{e2c}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Iterate (List)
-
Create an iterator for a given list.
The idiomatic way to iterate is:
@@ -22516,7 +32334,7 @@ while Is_Valid(It):
@*Return type:
-@ref{93c,,Iterator}
+@ref{e2b,,Iterator}
@*Returns:
@@ -22525,14 +32343,13 @@ Iterator structure.
@end deffn
@geindex Is_Valid() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Is_Valid}@anchor{93e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Is_Valid}@anchor{e2d}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Is_Valid (it)
-
Check if iterator reached the end.
@*Parameters:
-@code{it} (@ref{93c,,Iterator}) – Iterator to check.
+@code{it} (@ref{e2b,,Iterator}) – Iterator to check.
@*Return type:
@@ -22545,14 +32362,13 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Next() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Next}@anchor{93f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Next}@anchor{e2e}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Next (it)
-
Move iterator to the next element.
@*Parameters:
-@code{it} (@ref{93c,,Iterator}) – Iterator to increment.
+@code{it} (@ref{e2b,,Iterator}) – Iterator to increment.
@*Return type:
@@ -22565,14 +32381,13 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Get_Element() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Element}@anchor{940}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Element}@anchor{e2f}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Get_Element (it)
-
Get the current element from iterator.
@*Parameters:
-@code{it} (@ref{93c,,Iterator}) – Iterator the get the element from.
+@code{it} (@ref{e2b,,Iterator}) – Iterator the get the element from.
@*Return type:
@@ -22585,10 +32400,9 @@ The current element the iterator points to. Type: @code{El_Type}
@end deffn
@geindex Get_Nbr_Elements() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Nbr_Elements}@anchor{941}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Get_Nbr_Elements}@anchor{e30}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Get_Nbr_Elements (List)
-
Return the number of elements in the list.
@cartouche
@@ -22611,10 +32425,9 @@ Number of list elements.
@end deffn
@geindex Create_Iir_List() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Create_Iir_List}@anchor{942}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Create_Iir_List}@anchor{e31}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Create_Iir_List ()
-
Create a list.
@*Return type:
@@ -22627,10 +32440,9 @@ undocumented; Type: @code{List_Type}
@end deffn
@geindex Destroy_Iir_List() (in module pyGHDL.libghdl.vhdl.lists)
-@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Destroy_Iir_List}@anchor{943}
+@anchor{pyGHDL/pyGHDL libghdl vhdl lists pyGHDL libghdl vhdl lists Destroy_Iir_List}@anchor{e32}
@deffn {Function} pyGHDL.libghdl.vhdl.lists.Destroy_Iir_List (List)
-
Destroy a list.
@*Parameters:
@@ -22642,7 +32454,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -22663,7 +32475,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl lists,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes doc}@anchor{94b}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes module-pyGHDL libghdl vhdl nodes}@anchor{2b}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyghdl-libghdl-vhdl-nodes}@anchor{94c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes doc}@anchor{e3a}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes module-pyGHDL libghdl vhdl nodes}@anchor{2f}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyghdl-libghdl-vhdl-nodes}@anchor{e3b}
@subsubsection pyGHDL.libghdl.vhdl.nodes
@@ -22677,43 +32489,43 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{517,,Iir_Kind}:
+@ref{9ee,,Iir_Kind}:
An enumeration.
@item
-@ref{94d,,Iir_Kinds}:
+@ref{e3c,,Iir_Kinds}:
Undocumented.
@item
-@ref{94e,,Iir_Mode}:
+@ref{e3d,,Iir_Mode}:
An enumeration.
@item
-@ref{94f,,ScalarSize}:
+@ref{e3e,,ScalarSize}:
An enumeration.
@item
-@ref{950,,Iir_Staticness}:
+@ref{e3f,,Iir_Staticness}:
An enumeration.
@item
-@ref{951,,Iir_Constraint}:
+@ref{e40,,Iir_Constraint}:
An enumeration.
@item
-@ref{952,,Iir_Delay_Mechanism}:
+@ref{e41,,Iir_Delay_Mechanism}:
An enumeration.
@item
-@ref{953,,DateStateType}:
+@ref{e42,,DateStateType}:
An enumeration.
@item
-@ref{954,,NumberBaseType}:
+@ref{e43,,NumberBaseType}:
An enumeration.
@item
-@ref{955,,Iir_Predefined}:
+@ref{e44,,Iir_Predefined}:
An enumeration.
@end itemize
@@ -22723,2240 +32535,2251 @@ An enumeration.
@itemize -
@item
-@ref{956,,Get_Kind()}:
+@ref{e45,,Get_Kind()}:
Get node kind.
@item
-@ref{957,,Get_Location()}:
+@ref{e46,,Get_Location()}:
+
+@item
+@ref{e47,,Get_First_Design_Unit()}:
+
+@item
+@ref{e48,,Set_First_Design_Unit()}:
+
+@item
+@ref{e49,,Get_Last_Design_Unit()}:
+
+@item
+@ref{e4a,,Set_Last_Design_Unit()}:
@item
-@ref{958,,Get_First_Design_Unit()}:
+@ref{e4b,,Get_Library_Declaration()}:
@item
-@ref{959,,Set_First_Design_Unit()}:
+@ref{e4c,,Set_Library_Declaration()}:
@item
-@ref{95a,,Get_Last_Design_Unit()}:
+@ref{e4d,,Get_File_Checksum()}:
@item
-@ref{95b,,Set_Last_Design_Unit()}:
+@ref{e4e,,Set_File_Checksum()}:
@item
-@ref{95c,,Get_Library_Declaration()}:
+@ref{e4f,,Get_Analysis_Time_Stamp()}:
@item
-@ref{95d,,Set_Library_Declaration()}:
+@ref{e50,,Set_Analysis_Time_Stamp()}:
@item
-@ref{95e,,Get_File_Checksum()}:
+@ref{e51,,Get_Design_File_Source()}:
@item
-@ref{95f,,Set_File_Checksum()}:
+@ref{e52,,Set_Design_File_Source()}:
@item
-@ref{960,,Get_Analysis_Time_Stamp()}:
+@ref{e53,,Get_Library()}:
@item
-@ref{961,,Set_Analysis_Time_Stamp()}:
+@ref{e54,,Set_Library()}:
@item
-@ref{962,,Get_Design_File_Source()}:
+@ref{e55,,Get_File_Dependence_List()}:
@item
-@ref{963,,Set_Design_File_Source()}:
+@ref{e56,,Set_File_Dependence_List()}:
@item
-@ref{964,,Get_Library()}:
+@ref{e57,,Get_Design_File_Filename()}:
@item
-@ref{965,,Set_Library()}:
+@ref{e58,,Set_Design_File_Filename()}:
@item
-@ref{966,,Get_File_Dependence_List()}:
+@ref{e59,,Get_Design_File_Directory()}:
@item
-@ref{967,,Set_File_Dependence_List()}:
+@ref{e5a,,Set_Design_File_Directory()}:
@item
-@ref{968,,Get_Design_File_Filename()}:
+@ref{e5b,,Get_Design_File()}:
@item
-@ref{969,,Set_Design_File_Filename()}:
+@ref{e5c,,Set_Design_File()}:
@item
-@ref{96a,,Get_Design_File_Directory()}:
+@ref{e5d,,Get_Design_File_Chain()}:
@item
-@ref{96b,,Set_Design_File_Directory()}:
+@ref{e5e,,Set_Design_File_Chain()}:
@item
-@ref{96c,,Get_Design_File()}:
+@ref{e5f,,Get_Library_Directory()}:
@item
-@ref{96d,,Set_Design_File()}:
+@ref{e60,,Set_Library_Directory()}:
@item
-@ref{96e,,Get_Design_File_Chain()}:
+@ref{e61,,Get_Date()}:
@item
-@ref{96f,,Set_Design_File_Chain()}:
+@ref{e62,,Set_Date()}:
@item
-@ref{970,,Get_Library_Directory()}:
+@ref{e63,,Get_Context_Items()}:
@item
-@ref{971,,Set_Library_Directory()}:
+@ref{e64,,Set_Context_Items()}:
@item
-@ref{972,,Get_Date()}:
+@ref{e65,,Get_Dependence_List()}:
@item
-@ref{973,,Set_Date()}:
+@ref{e66,,Set_Dependence_List()}:
@item
-@ref{974,,Get_Context_Items()}:
+@ref{e67,,Get_Analysis_Checks_List()}:
@item
-@ref{975,,Set_Context_Items()}:
+@ref{e68,,Set_Analysis_Checks_List()}:
@item
-@ref{976,,Get_Dependence_List()}:
+@ref{e69,,Get_Date_State()}:
@item
-@ref{977,,Set_Dependence_List()}:
+@ref{e6a,,Set_Date_State()}:
@item
-@ref{978,,Get_Analysis_Checks_List()}:
+@ref{e6b,,Get_Guarded_Target_State()}:
@item
-@ref{979,,Set_Analysis_Checks_List()}:
+@ref{e6c,,Set_Guarded_Target_State()}:
@item
-@ref{97a,,Get_Date_State()}:
+@ref{e6d,,Get_Library_Unit()}:
@item
-@ref{97b,,Set_Date_State()}:
+@ref{e6e,,Set_Library_Unit()}:
@item
-@ref{97c,,Get_Guarded_Target_State()}:
+@ref{e6f,,Get_Hash_Chain()}:
@item
-@ref{97d,,Set_Guarded_Target_State()}:
+@ref{e70,,Set_Hash_Chain()}:
@item
-@ref{97e,,Get_Library_Unit()}:
+@ref{e71,,Get_Design_Unit_Source_Pos()}:
@item
-@ref{97f,,Set_Library_Unit()}:
+@ref{e72,,Set_Design_Unit_Source_Pos()}:
@item
-@ref{980,,Get_Hash_Chain()}:
+@ref{e73,,Get_Design_Unit_Source_Line()}:
@item
-@ref{981,,Set_Hash_Chain()}:
+@ref{e74,,Set_Design_Unit_Source_Line()}:
@item
-@ref{982,,Get_Design_Unit_Source_Pos()}:
+@ref{e75,,Get_Design_Unit_Source_Col()}:
@item
-@ref{983,,Set_Design_Unit_Source_Pos()}:
+@ref{e76,,Set_Design_Unit_Source_Col()}:
@item
-@ref{984,,Get_Design_Unit_Source_Line()}:
+@ref{e77,,Get_Value()}:
@item
-@ref{985,,Set_Design_Unit_Source_Line()}:
+@ref{e78,,Set_Value()}:
@item
-@ref{986,,Get_Design_Unit_Source_Col()}:
+@ref{e79,,Get_Enum_Pos()}:
@item
-@ref{987,,Set_Design_Unit_Source_Col()}:
+@ref{e7a,,Set_Enum_Pos()}:
@item
-@ref{988,,Get_Value()}:
+@ref{e7b,,Get_Physical_Literal()}:
@item
-@ref{989,,Set_Value()}:
+@ref{e7c,,Set_Physical_Literal()}:
@item
-@ref{98a,,Get_Enum_Pos()}:
+@ref{e7d,,Get_Fp_Value()}:
@item
-@ref{98b,,Set_Enum_Pos()}:
+@ref{e7e,,Set_Fp_Value()}:
@item
-@ref{98c,,Get_Physical_Literal()}:
+@ref{e7f,,Get_Simple_Aggregate_List()}:
@item
-@ref{98d,,Set_Physical_Literal()}:
+@ref{e80,,Set_Simple_Aggregate_List()}:
@item
-@ref{98e,,Get_Fp_Value()}:
+@ref{e81,,Get_String8_Id()}:
@item
-@ref{98f,,Set_Fp_Value()}:
+@ref{e82,,Set_String8_Id()}:
@item
-@ref{990,,Get_Simple_Aggregate_List()}:
+@ref{e83,,Get_String_Length()}:
@item
-@ref{991,,Set_Simple_Aggregate_List()}:
+@ref{e84,,Set_String_Length()}:
@item
-@ref{992,,Get_String8_Id()}:
+@ref{e85,,Get_Bit_String_Base()}:
@item
-@ref{993,,Set_String8_Id()}:
+@ref{e86,,Set_Bit_String_Base()}:
@item
-@ref{994,,Get_String_Length()}:
+@ref{e87,,Get_Has_Signed()}:
@item
-@ref{995,,Set_String_Length()}:
+@ref{e88,,Set_Has_Signed()}:
@item
-@ref{996,,Get_Bit_String_Base()}:
+@ref{e89,,Get_Has_Sign()}:
@item
-@ref{997,,Set_Bit_String_Base()}:
+@ref{e8a,,Set_Has_Sign()}:
@item
-@ref{998,,Get_Has_Signed()}:
+@ref{e8b,,Get_Has_Length()}:
@item
-@ref{999,,Set_Has_Signed()}:
+@ref{e8c,,Set_Has_Length()}:
@item
-@ref{99a,,Get_Has_Sign()}:
+@ref{e8d,,Get_Literal_Length()}:
@item
-@ref{99b,,Set_Has_Sign()}:
+@ref{e8e,,Set_Literal_Length()}:
@item
-@ref{99c,,Get_Has_Length()}:
+@ref{e8f,,Get_Literal_Origin()}:
@item
-@ref{99d,,Set_Has_Length()}:
+@ref{e90,,Set_Literal_Origin()}:
@item
-@ref{99e,,Get_Literal_Length()}:
+@ref{e91,,Get_Range_Origin()}:
@item
-@ref{99f,,Set_Literal_Length()}:
+@ref{e92,,Set_Range_Origin()}:
@item
-@ref{9a0,,Get_Literal_Origin()}:
+@ref{e93,,Get_Literal_Subtype()}:
@item
-@ref{9a1,,Set_Literal_Origin()}:
+@ref{e94,,Set_Literal_Subtype()}:
@item
-@ref{9a2,,Get_Range_Origin()}:
+@ref{e95,,Get_Allocator_Subtype()}:
@item
-@ref{9a3,,Set_Range_Origin()}:
+@ref{e96,,Set_Allocator_Subtype()}:
@item
-@ref{9a4,,Get_Literal_Subtype()}:
+@ref{e97,,Get_Entity_Class()}:
@item
-@ref{9a5,,Set_Literal_Subtype()}:
+@ref{e98,,Set_Entity_Class()}:
@item
-@ref{9a6,,Get_Allocator_Subtype()}:
+@ref{e99,,Get_Entity_Name_List()}:
@item
-@ref{9a7,,Set_Allocator_Subtype()}:
+@ref{e9a,,Set_Entity_Name_List()}:
@item
-@ref{9a8,,Get_Entity_Class()}:
+@ref{e9b,,Get_Attribute_Designator()}:
@item
-@ref{9a9,,Set_Entity_Class()}:
+@ref{e9c,,Set_Attribute_Designator()}:
@item
-@ref{9aa,,Get_Entity_Name_List()}:
+@ref{e9d,,Get_Attribute_Specification_Chain()}:
@item
-@ref{9ab,,Set_Entity_Name_List()}:
+@ref{e9e,,Set_Attribute_Specification_Chain()}:
@item
-@ref{9ac,,Get_Attribute_Designator()}:
+@ref{e9f,,Get_Attribute_Specification()}:
@item
-@ref{9ad,,Set_Attribute_Designator()}:
+@ref{ea0,,Set_Attribute_Specification()}:
@item
-@ref{9ae,,Get_Attribute_Specification_Chain()}:
+@ref{ea1,,Get_Static_Attribute_Flag()}:
@item
-@ref{9af,,Set_Attribute_Specification_Chain()}:
+@ref{ea2,,Set_Static_Attribute_Flag()}:
@item
-@ref{9b0,,Get_Attribute_Specification()}:
+@ref{ea3,,Get_Signal_List()}:
@item
-@ref{9b1,,Set_Attribute_Specification()}:
+@ref{ea4,,Set_Signal_List()}:
@item
-@ref{9b2,,Get_Static_Attribute_Flag()}:
+@ref{ea5,,Get_Quantity_List()}:
@item
-@ref{9b3,,Set_Static_Attribute_Flag()}:
+@ref{ea6,,Set_Quantity_List()}:
@item
-@ref{9b4,,Get_Signal_List()}:
+@ref{ea7,,Get_Designated_Entity()}:
@item
-@ref{9b5,,Set_Signal_List()}:
+@ref{ea8,,Set_Designated_Entity()}:
@item
-@ref{9b6,,Get_Quantity_List()}:
+@ref{ea9,,Get_Formal()}:
@item
-@ref{9b7,,Set_Quantity_List()}:
+@ref{eaa,,Set_Formal()}:
@item
-@ref{9b8,,Get_Designated_Entity()}:
+@ref{eab,,Get_Actual()}:
@item
-@ref{9b9,,Set_Designated_Entity()}:
+@ref{eac,,Set_Actual()}:
@item
-@ref{9ba,,Get_Formal()}:
+@ref{ead,,Get_Actual_Conversion()}:
@item
-@ref{9bb,,Set_Formal()}:
+@ref{eae,,Set_Actual_Conversion()}:
@item
-@ref{9bc,,Get_Actual()}:
+@ref{eaf,,Get_Formal_Conversion()}:
@item
-@ref{9bd,,Set_Actual()}:
+@ref{eb0,,Set_Formal_Conversion()}:
@item
-@ref{9be,,Get_Actual_Conversion()}:
+@ref{eb1,,Get_Whole_Association_Flag()}:
@item
-@ref{9bf,,Set_Actual_Conversion()}:
+@ref{eb2,,Set_Whole_Association_Flag()}:
@item
-@ref{9c0,,Get_Formal_Conversion()}:
+@ref{eb3,,Get_Collapse_Signal_Flag()}:
@item
-@ref{9c1,,Set_Formal_Conversion()}:
+@ref{eb4,,Set_Collapse_Signal_Flag()}:
@item
-@ref{9c2,,Get_Whole_Association_Flag()}:
+@ref{eb5,,Get_Artificial_Flag()}:
@item
-@ref{9c3,,Set_Whole_Association_Flag()}:
+@ref{eb6,,Set_Artificial_Flag()}:
@item
-@ref{9c4,,Get_Collapse_Signal_Flag()}:
+@ref{eb7,,Get_Open_Flag()}:
@item
-@ref{9c5,,Set_Collapse_Signal_Flag()}:
+@ref{eb8,,Set_Open_Flag()}:
@item
-@ref{9c6,,Get_Artificial_Flag()}:
+@ref{eb9,,Get_After_Drivers_Flag()}:
@item
-@ref{9c7,,Set_Artificial_Flag()}:
+@ref{eba,,Set_After_Drivers_Flag()}:
@item
-@ref{9c8,,Get_Open_Flag()}:
+@ref{ebb,,Get_We_Value()}:
@item
-@ref{9c9,,Set_Open_Flag()}:
+@ref{ebc,,Set_We_Value()}:
@item
-@ref{9ca,,Get_After_Drivers_Flag()}:
+@ref{ebd,,Get_Time()}:
@item
-@ref{9cb,,Set_After_Drivers_Flag()}:
+@ref{ebe,,Set_Time()}:
@item
-@ref{9cc,,Get_We_Value()}:
+@ref{ebf,,Get_Associated_Expr()}:
@item
-@ref{9cd,,Set_We_Value()}:
+@ref{ec0,,Set_Associated_Expr()}:
@item
-@ref{9ce,,Get_Time()}:
+@ref{ec1,,Get_Associated_Block()}:
@item
-@ref{9cf,,Set_Time()}:
+@ref{ec2,,Set_Associated_Block()}:
@item
-@ref{9d0,,Get_Associated_Expr()}:
+@ref{ec3,,Get_Associated_Chain()}:
@item
-@ref{9d1,,Set_Associated_Expr()}:
+@ref{ec4,,Set_Associated_Chain()}:
@item
-@ref{9d2,,Get_Associated_Block()}:
+@ref{ec5,,Get_Choice_Name()}:
@item
-@ref{9d3,,Set_Associated_Block()}:
+@ref{ec6,,Set_Choice_Name()}:
@item
-@ref{9d4,,Get_Associated_Chain()}:
+@ref{ec7,,Get_Choice_Expression()}:
@item
-@ref{9d5,,Set_Associated_Chain()}:
+@ref{ec8,,Set_Choice_Expression()}:
@item
-@ref{9d6,,Get_Choice_Name()}:
+@ref{ec9,,Get_Choice_Range()}:
@item
-@ref{9d7,,Set_Choice_Name()}:
+@ref{eca,,Set_Choice_Range()}:
@item
-@ref{9d8,,Get_Choice_Expression()}:
+@ref{ecb,,Get_Same_Alternative_Flag()}:
@item
-@ref{9d9,,Set_Choice_Expression()}:
+@ref{ecc,,Set_Same_Alternative_Flag()}:
@item
-@ref{9da,,Get_Choice_Range()}:
+@ref{ecd,,Get_Element_Type_Flag()}:
@item
-@ref{9db,,Set_Choice_Range()}:
+@ref{ece,,Set_Element_Type_Flag()}:
@item
-@ref{9dc,,Get_Same_Alternative_Flag()}:
+@ref{ecf,,Get_Architecture()}:
@item
-@ref{9dd,,Set_Same_Alternative_Flag()}:
+@ref{ed0,,Set_Architecture()}:
@item
-@ref{9de,,Get_Element_Type_Flag()}:
+@ref{ed1,,Get_Block_Specification()}:
@item
-@ref{9df,,Set_Element_Type_Flag()}:
+@ref{ed2,,Set_Block_Specification()}:
@item
-@ref{9e0,,Get_Architecture()}:
+@ref{ed3,,Get_Prev_Block_Configuration()}:
@item
-@ref{9e1,,Set_Architecture()}:
+@ref{ed4,,Set_Prev_Block_Configuration()}:
@item
-@ref{9e2,,Get_Block_Specification()}:
+@ref{ed5,,Get_Configuration_Item_Chain()}:
@item
-@ref{9e3,,Set_Block_Specification()}:
+@ref{ed6,,Set_Configuration_Item_Chain()}:
@item
-@ref{9e4,,Get_Prev_Block_Configuration()}:
+@ref{ed7,,Get_Attribute_Value_Chain()}:
@item
-@ref{9e5,,Set_Prev_Block_Configuration()}:
+@ref{ed8,,Set_Attribute_Value_Chain()}:
@item
-@ref{9e6,,Get_Configuration_Item_Chain()}:
+@ref{ed9,,Get_Spec_Chain()}:
@item
-@ref{9e7,,Set_Configuration_Item_Chain()}:
+@ref{eda,,Set_Spec_Chain()}:
@item
-@ref{9e8,,Get_Attribute_Value_Chain()}:
+@ref{edb,,Get_Value_Chain()}:
@item
-@ref{9e9,,Set_Attribute_Value_Chain()}:
+@ref{edc,,Set_Value_Chain()}:
@item
-@ref{9ea,,Get_Spec_Chain()}:
+@ref{edd,,Get_Attribute_Value_Spec_Chain()}:
@item
-@ref{9eb,,Set_Spec_Chain()}:
+@ref{ede,,Set_Attribute_Value_Spec_Chain()}:
@item
-@ref{9ec,,Get_Value_Chain()}:
+@ref{edf,,Get_Entity_Name()}:
@item
-@ref{9ed,,Set_Value_Chain()}:
+@ref{ee0,,Set_Entity_Name()}:
@item
-@ref{9ee,,Get_Attribute_Value_Spec_Chain()}:
+@ref{ee1,,Get_Package()}:
@item
-@ref{9ef,,Set_Attribute_Value_Spec_Chain()}:
+@ref{ee2,,Set_Package()}:
@item
-@ref{9f0,,Get_Entity_Name()}:
+@ref{ee3,,Get_Package_Body()}:
@item
-@ref{9f1,,Set_Entity_Name()}:
+@ref{ee4,,Set_Package_Body()}:
@item
-@ref{9f2,,Get_Package()}:
+@ref{ee5,,Get_Instance_Package_Body()}:
@item
-@ref{9f3,,Set_Package()}:
+@ref{ee6,,Set_Instance_Package_Body()}:
@item
-@ref{9f4,,Get_Package_Body()}:
+@ref{ee7,,Get_Need_Body()}:
@item
-@ref{9f5,,Set_Package_Body()}:
+@ref{ee8,,Set_Need_Body()}:
@item
-@ref{9f6,,Get_Instance_Package_Body()}:
+@ref{ee9,,Get_Macro_Expanded_Flag()}:
@item
-@ref{9f7,,Set_Instance_Package_Body()}:
+@ref{eea,,Set_Macro_Expanded_Flag()}:
@item
-@ref{9f8,,Get_Need_Body()}:
+@ref{eeb,,Get_Need_Instance_Bodies()}:
@item
-@ref{9f9,,Set_Need_Body()}:
+@ref{eec,,Set_Need_Instance_Bodies()}:
@item
-@ref{9fa,,Get_Macro_Expanded_Flag()}:
+@ref{eed,,Get_Hierarchical_Name()}:
@item
-@ref{9fb,,Set_Macro_Expanded_Flag()}:
+@ref{eee,,Set_Hierarchical_Name()}:
@item
-@ref{9fc,,Get_Need_Instance_Bodies()}:
+@ref{eef,,Get_Vunit_Item_Chain()}:
@item
-@ref{9fd,,Set_Need_Instance_Bodies()}:
+@ref{ef0,,Set_Vunit_Item_Chain()}:
@item
-@ref{9fe,,Get_Hierarchical_Name()}:
+@ref{ef1,,Get_Bound_Vunit_Chain()}:
@item
-@ref{9ff,,Set_Hierarchical_Name()}:
+@ref{ef2,,Set_Bound_Vunit_Chain()}:
@item
-@ref{a00,,Get_Inherit_Spec_Chain()}:
+@ref{ef3,,Get_Verification_Block_Configuration()}:
@item
-@ref{a01,,Set_Inherit_Spec_Chain()}:
+@ref{ef4,,Set_Verification_Block_Configuration()}:
@item
-@ref{a02,,Get_Vunit_Item_Chain()}:
+@ref{ef5,,Get_Block_Configuration()}:
@item
-@ref{a03,,Set_Vunit_Item_Chain()}:
+@ref{ef6,,Set_Block_Configuration()}:
@item
-@ref{a04,,Get_Bound_Vunit_Chain()}:
+@ref{ef7,,Get_Concurrent_Statement_Chain()}:
@item
-@ref{a05,,Set_Bound_Vunit_Chain()}:
+@ref{ef8,,Set_Concurrent_Statement_Chain()}:
@item
-@ref{a06,,Get_Verification_Block_Configuration()}:
+@ref{ef9,,Get_Chain()}:
@item
-@ref{a07,,Set_Verification_Block_Configuration()}:
+@ref{efa,,Set_Chain()}:
@item
-@ref{a08,,Get_Block_Configuration()}:
+@ref{efb,,Get_Port_Chain()}:
@item
-@ref{a09,,Set_Block_Configuration()}:
+@ref{efc,,Set_Port_Chain()}:
@item
-@ref{a0a,,Get_Concurrent_Statement_Chain()}:
+@ref{efd,,Get_Generic_Chain()}:
@item
-@ref{a0b,,Set_Concurrent_Statement_Chain()}:
+@ref{efe,,Set_Generic_Chain()}:
@item
-@ref{a0c,,Get_Chain()}:
+@ref{eff,,Get_Type()}:
@item
-@ref{a0d,,Set_Chain()}:
+@ref{f00,,Set_Type()}:
@item
-@ref{a0e,,Get_Port_Chain()}:
+@ref{f01,,Get_Subtype_Indication()}:
@item
-@ref{a0f,,Set_Port_Chain()}:
+@ref{f02,,Set_Subtype_Indication()}:
@item
-@ref{a10,,Get_Generic_Chain()}:
+@ref{f03,,Get_Discrete_Range()}:
@item
-@ref{a11,,Set_Generic_Chain()}:
+@ref{f04,,Set_Discrete_Range()}:
@item
-@ref{a12,,Get_Type()}:
+@ref{f05,,Get_Type_Definition()}:
@item
-@ref{a13,,Set_Type()}:
+@ref{f06,,Set_Type_Definition()}:
@item
-@ref{a14,,Get_Subtype_Indication()}:
+@ref{f07,,Get_Subtype_Definition()}:
@item
-@ref{a15,,Set_Subtype_Indication()}:
+@ref{f08,,Set_Subtype_Definition()}:
@item
-@ref{a16,,Get_Discrete_Range()}:
+@ref{f09,,Get_Incomplete_Type_Declaration()}:
@item
-@ref{a17,,Set_Discrete_Range()}:
+@ref{f0a,,Set_Incomplete_Type_Declaration()}:
@item
-@ref{a18,,Get_Type_Definition()}:
+@ref{f0b,,Get_Interface_Type_Subprograms()}:
@item
-@ref{a19,,Set_Type_Definition()}:
+@ref{f0c,,Set_Interface_Type_Subprograms()}:
@item
-@ref{a1a,,Get_Subtype_Definition()}:
+@ref{f0d,,Get_Nature_Definition()}:
@item
-@ref{a1b,,Set_Subtype_Definition()}:
+@ref{f0e,,Set_Nature_Definition()}:
@item
-@ref{a1c,,Get_Incomplete_Type_Declaration()}:
+@ref{f0f,,Get_Nature()}:
@item
-@ref{a1d,,Set_Incomplete_Type_Declaration()}:
+@ref{f10,,Set_Nature()}:
@item
-@ref{a1e,,Get_Interface_Type_Subprograms()}:
+@ref{f11,,Get_Subnature_Indication()}:
@item
-@ref{a1f,,Set_Interface_Type_Subprograms()}:
+@ref{f12,,Set_Subnature_Indication()}:
@item
-@ref{a20,,Get_Nature_Definition()}:
+@ref{f13,,Get_Mode()}:
@item
-@ref{a21,,Set_Nature_Definition()}:
+@ref{f14,,Set_Mode()}:
@item
-@ref{a22,,Get_Nature()}:
+@ref{f15,,Get_Guarded_Signal_Flag()}:
@item
-@ref{a23,,Set_Nature()}:
+@ref{f16,,Set_Guarded_Signal_Flag()}:
@item
-@ref{a24,,Get_Subnature_Indication()}:
+@ref{f17,,Get_Signal_Kind()}:
@item
-@ref{a25,,Set_Subnature_Indication()}:
+@ref{f18,,Set_Signal_Kind()}:
@item
-@ref{a26,,Get_Mode()}:
+@ref{f19,,Get_Base_Name()}:
@item
-@ref{a27,,Set_Mode()}:
+@ref{f1a,,Set_Base_Name()}:
@item
-@ref{a28,,Get_Guarded_Signal_Flag()}:
+@ref{f1b,,Get_Interface_Declaration_Chain()}:
@item
-@ref{a29,,Set_Guarded_Signal_Flag()}:
+@ref{f1c,,Set_Interface_Declaration_Chain()}:
@item
-@ref{a2a,,Get_Signal_Kind()}:
+@ref{f1d,,Get_Subprogram_Specification()}:
@item
-@ref{a2b,,Set_Signal_Kind()}:
+@ref{f1e,,Set_Subprogram_Specification()}:
@item
-@ref{a2c,,Get_Base_Name()}:
+@ref{f1f,,Get_Sequential_Statement_Chain()}:
@item
-@ref{a2d,,Set_Base_Name()}:
+@ref{f20,,Set_Sequential_Statement_Chain()}:
@item
-@ref{a2e,,Get_Interface_Declaration_Chain()}:
+@ref{f21,,Get_Simultaneous_Statement_Chain()}:
@item
-@ref{a2f,,Set_Interface_Declaration_Chain()}:
+@ref{f22,,Set_Simultaneous_Statement_Chain()}:
@item
-@ref{a30,,Get_Subprogram_Specification()}:
+@ref{f23,,Get_Subprogram_Body()}:
@item
-@ref{a31,,Set_Subprogram_Specification()}:
+@ref{f24,,Set_Subprogram_Body()}:
@item
-@ref{a32,,Get_Sequential_Statement_Chain()}:
+@ref{f25,,Get_Overload_Number()}:
@item
-@ref{a33,,Set_Sequential_Statement_Chain()}:
+@ref{f26,,Set_Overload_Number()}:
@item
-@ref{a34,,Get_Simultaneous_Statement_Chain()}:
+@ref{f27,,Get_Subprogram_Depth()}:
@item
-@ref{a35,,Set_Simultaneous_Statement_Chain()}:
+@ref{f28,,Set_Subprogram_Depth()}:
@item
-@ref{a36,,Get_Subprogram_Body()}:
+@ref{f29,,Get_Subprogram_Hash()}:
@item
-@ref{a37,,Set_Subprogram_Body()}:
+@ref{f2a,,Set_Subprogram_Hash()}:
@item
-@ref{a38,,Get_Overload_Number()}:
+@ref{f2b,,Get_Impure_Depth()}:
@item
-@ref{a39,,Set_Overload_Number()}:
+@ref{f2c,,Set_Impure_Depth()}:
@item
-@ref{a3a,,Get_Subprogram_Depth()}:
+@ref{f2d,,Get_Return_Type()}:
@item
-@ref{a3b,,Set_Subprogram_Depth()}:
+@ref{f2e,,Set_Return_Type()}:
@item
-@ref{a3c,,Get_Subprogram_Hash()}:
+@ref{f2f,,Get_Implicit_Definition()}:
@item
-@ref{a3d,,Set_Subprogram_Hash()}:
+@ref{f30,,Set_Implicit_Definition()}:
@item
-@ref{a3e,,Get_Impure_Depth()}:
+@ref{f31,,Get_Uninstantiated_Subprogram_Name()}:
@item
-@ref{a3f,,Set_Impure_Depth()}:
+@ref{f32,,Set_Uninstantiated_Subprogram_Name()}:
@item
-@ref{a40,,Get_Return_Type()}:
+@ref{f33,,Get_Default_Value()}:
@item
-@ref{a41,,Set_Return_Type()}:
+@ref{f34,,Set_Default_Value()}:
@item
-@ref{a42,,Get_Implicit_Definition()}:
+@ref{f35,,Get_Deferred_Declaration()}:
@item
-@ref{a43,,Set_Implicit_Definition()}:
+@ref{f36,,Set_Deferred_Declaration()}:
@item
-@ref{a44,,Get_Uninstantiated_Subprogram_Name()}:
+@ref{f37,,Get_Deferred_Declaration_Flag()}:
@item
-@ref{a45,,Set_Uninstantiated_Subprogram_Name()}:
+@ref{f38,,Set_Deferred_Declaration_Flag()}:
@item
-@ref{a46,,Get_Default_Value()}:
+@ref{f39,,Get_Shared_Flag()}:
@item
-@ref{a47,,Set_Default_Value()}:
+@ref{f3a,,Set_Shared_Flag()}:
@item
-@ref{a48,,Get_Deferred_Declaration()}:
+@ref{f3b,,Get_Design_Unit()}:
@item
-@ref{a49,,Set_Deferred_Declaration()}:
+@ref{f3c,,Set_Design_Unit()}:
@item
-@ref{a4a,,Get_Deferred_Declaration_Flag()}:
+@ref{f3d,,Get_Block_Statement()}:
@item
-@ref{a4b,,Set_Deferred_Declaration_Flag()}:
+@ref{f3e,,Set_Block_Statement()}:
@item
-@ref{a4c,,Get_Shared_Flag()}:
+@ref{f3f,,Get_Signal_Driver()}:
@item
-@ref{a4d,,Set_Shared_Flag()}:
+@ref{f40,,Set_Signal_Driver()}:
@item
-@ref{a4e,,Get_Design_Unit()}:
+@ref{f41,,Get_Declaration_Chain()}:
@item
-@ref{a4f,,Set_Design_Unit()}:
+@ref{f42,,Set_Declaration_Chain()}:
@item
-@ref{a50,,Get_Block_Statement()}:
+@ref{f43,,Get_File_Logical_Name()}:
@item
-@ref{a51,,Set_Block_Statement()}:
+@ref{f44,,Set_File_Logical_Name()}:
@item
-@ref{a52,,Get_Signal_Driver()}:
+@ref{f45,,Get_File_Open_Kind()}:
@item
-@ref{a53,,Set_Signal_Driver()}:
+@ref{f46,,Set_File_Open_Kind()}:
@item
-@ref{a54,,Get_Declaration_Chain()}:
+@ref{f47,,Get_Element_Position()}:
@item
-@ref{a55,,Set_Declaration_Chain()}:
+@ref{f48,,Set_Element_Position()}:
@item
-@ref{a56,,Get_File_Logical_Name()}:
+@ref{f49,,Get_Use_Clause_Chain()}:
@item
-@ref{a57,,Set_File_Logical_Name()}:
+@ref{f4a,,Set_Use_Clause_Chain()}:
@item
-@ref{a58,,Get_File_Open_Kind()}:
+@ref{f4b,,Get_Context_Reference_Chain()}:
@item
-@ref{a59,,Set_File_Open_Kind()}:
+@ref{f4c,,Set_Context_Reference_Chain()}:
@item
-@ref{a5a,,Get_Element_Position()}:
+@ref{f4d,,Get_Inherit_Spec_Chain()}:
@item
-@ref{a5b,,Set_Element_Position()}:
+@ref{f4e,,Set_Inherit_Spec_Chain()}:
@item
-@ref{a5c,,Get_Use_Clause_Chain()}:
+@ref{f4f,,Get_Selected_Name()}:
@item
-@ref{a5d,,Set_Use_Clause_Chain()}:
+@ref{f50,,Set_Selected_Name()}:
@item
-@ref{a5e,,Get_Context_Reference_Chain()}:
+@ref{f51,,Get_Type_Declarator()}:
@item
-@ref{a5f,,Set_Context_Reference_Chain()}:
+@ref{f52,,Set_Type_Declarator()}:
@item
-@ref{a60,,Get_Selected_Name()}:
+@ref{f53,,Get_Complete_Type_Definition()}:
@item
-@ref{a61,,Set_Selected_Name()}:
+@ref{f54,,Set_Complete_Type_Definition()}:
@item
-@ref{a62,,Get_Type_Declarator()}:
+@ref{f55,,Get_Incomplete_Type_Ref_Chain()}:
@item
-@ref{a63,,Set_Type_Declarator()}:
+@ref{f56,,Set_Incomplete_Type_Ref_Chain()}:
@item
-@ref{a64,,Get_Complete_Type_Definition()}:
+@ref{f57,,Get_Associated_Type()}:
@item
-@ref{a65,,Set_Complete_Type_Definition()}:
+@ref{f58,,Set_Associated_Type()}:
@item
-@ref{a66,,Get_Incomplete_Type_Ref_Chain()}:
+@ref{f59,,Get_Enumeration_Literal_List()}:
@item
-@ref{a67,,Set_Incomplete_Type_Ref_Chain()}:
+@ref{f5a,,Set_Enumeration_Literal_List()}:
@item
-@ref{a68,,Get_Associated_Type()}:
+@ref{f5b,,Get_Entity_Class_Entry_Chain()}:
@item
-@ref{a69,,Set_Associated_Type()}:
+@ref{f5c,,Set_Entity_Class_Entry_Chain()}:
@item
-@ref{a6a,,Get_Enumeration_Literal_List()}:
+@ref{f5d,,Get_Group_Constituent_List()}:
@item
-@ref{a6b,,Set_Enumeration_Literal_List()}:
+@ref{f5e,,Set_Group_Constituent_List()}:
@item
-@ref{a6c,,Get_Entity_Class_Entry_Chain()}:
+@ref{f5f,,Get_Unit_Chain()}:
@item
-@ref{a6d,,Set_Entity_Class_Entry_Chain()}:
+@ref{f60,,Set_Unit_Chain()}:
@item
-@ref{a6e,,Get_Group_Constituent_List()}:
+@ref{f61,,Get_Primary_Unit()}:
@item
-@ref{a6f,,Set_Group_Constituent_List()}:
+@ref{f62,,Set_Primary_Unit()}:
@item
-@ref{a70,,Get_Unit_Chain()}:
+@ref{f63,,Get_Identifier()}:
@item
-@ref{a71,,Set_Unit_Chain()}:
+@ref{f64,,Set_Identifier()}:
@item
-@ref{a72,,Get_Primary_Unit()}:
+@ref{f65,,Get_Label()}:
@item
-@ref{a73,,Set_Primary_Unit()}:
+@ref{f66,,Set_Label()}:
@item
-@ref{a74,,Get_Identifier()}:
+@ref{f67,,Get_Visible_Flag()}:
@item
-@ref{a75,,Set_Identifier()}:
+@ref{f68,,Set_Visible_Flag()}:
@item
-@ref{a76,,Get_Label()}:
+@ref{f69,,Get_Range_Constraint()}:
@item
-@ref{a77,,Set_Label()}:
+@ref{f6a,,Set_Range_Constraint()}:
@item
-@ref{a78,,Get_Visible_Flag()}:
+@ref{f6b,,Get_Direction()}:
@item
-@ref{a79,,Set_Visible_Flag()}:
+@ref{f6c,,Set_Direction()}:
@item
-@ref{a7a,,Get_Range_Constraint()}:
+@ref{f6d,,Get_Left_Limit()}:
@item
-@ref{a7b,,Set_Range_Constraint()}:
+@ref{f6e,,Set_Left_Limit()}:
@item
-@ref{a7c,,Get_Direction()}:
+@ref{f6f,,Get_Right_Limit()}:
@item
-@ref{a7d,,Set_Direction()}:
+@ref{f70,,Set_Right_Limit()}:
@item
-@ref{a7e,,Get_Left_Limit()}:
+@ref{f71,,Get_Left_Limit_Expr()}:
@item
-@ref{a7f,,Set_Left_Limit()}:
+@ref{f72,,Set_Left_Limit_Expr()}:
@item
-@ref{a80,,Get_Right_Limit()}:
+@ref{f73,,Get_Right_Limit_Expr()}:
@item
-@ref{a81,,Set_Right_Limit()}:
+@ref{f74,,Set_Right_Limit_Expr()}:
@item
-@ref{a82,,Get_Left_Limit_Expr()}:
+@ref{f75,,Get_Parent_Type()}:
@item
-@ref{a83,,Set_Left_Limit_Expr()}:
+@ref{f76,,Set_Parent_Type()}:
@item
-@ref{a84,,Get_Right_Limit_Expr()}:
+@ref{f77,,Get_Simple_Nature()}:
@item
-@ref{a85,,Set_Right_Limit_Expr()}:
+@ref{f78,,Set_Simple_Nature()}:
@item
-@ref{a86,,Get_Parent_Type()}:
+@ref{f79,,Get_Base_Nature()}:
@item
-@ref{a87,,Set_Parent_Type()}:
+@ref{f7a,,Set_Base_Nature()}:
@item
-@ref{a88,,Get_Simple_Nature()}:
+@ref{f7b,,Get_Resolution_Indication()}:
@item
-@ref{a89,,Set_Simple_Nature()}:
+@ref{f7c,,Set_Resolution_Indication()}:
@item
-@ref{a8a,,Get_Base_Nature()}:
+@ref{f7d,,Get_Record_Element_Resolution_Chain()}:
@item
-@ref{a8b,,Set_Base_Nature()}:
+@ref{f7e,,Set_Record_Element_Resolution_Chain()}:
@item
-@ref{a8c,,Get_Resolution_Indication()}:
+@ref{f7f,,Get_Tolerance()}:
@item
-@ref{a8d,,Set_Resolution_Indication()}:
+@ref{f80,,Set_Tolerance()}:
@item
-@ref{a8e,,Get_Record_Element_Resolution_Chain()}:
+@ref{f81,,Get_Plus_Terminal_Name()}:
@item
-@ref{a8f,,Set_Record_Element_Resolution_Chain()}:
+@ref{f82,,Set_Plus_Terminal_Name()}:
@item
-@ref{a90,,Get_Tolerance()}:
+@ref{f83,,Get_Minus_Terminal_Name()}:
@item
-@ref{a91,,Set_Tolerance()}:
+@ref{f84,,Set_Minus_Terminal_Name()}:
@item
-@ref{a92,,Get_Plus_Terminal_Name()}:
+@ref{f85,,Get_Plus_Terminal()}:
@item
-@ref{a93,,Set_Plus_Terminal_Name()}:
+@ref{f86,,Set_Plus_Terminal()}:
@item
-@ref{a94,,Get_Minus_Terminal_Name()}:
+@ref{f87,,Get_Minus_Terminal()}:
@item
-@ref{a95,,Set_Minus_Terminal_Name()}:
+@ref{f88,,Set_Minus_Terminal()}:
@item
-@ref{a96,,Get_Plus_Terminal()}:
+@ref{f89,,Get_Magnitude_Expression()}:
@item
-@ref{a97,,Set_Plus_Terminal()}:
+@ref{f8a,,Set_Magnitude_Expression()}:
@item
-@ref{a98,,Get_Minus_Terminal()}:
+@ref{f8b,,Get_Phase_Expression()}:
@item
-@ref{a99,,Set_Minus_Terminal()}:
+@ref{f8c,,Set_Phase_Expression()}:
@item
-@ref{a9a,,Get_Magnitude_Expression()}:
+@ref{f8d,,Get_Power_Expression()}:
@item
-@ref{a9b,,Set_Magnitude_Expression()}:
+@ref{f8e,,Set_Power_Expression()}:
@item
-@ref{a9c,,Get_Phase_Expression()}:
+@ref{f8f,,Get_Simultaneous_Left()}:
@item
-@ref{a9d,,Set_Phase_Expression()}:
+@ref{f90,,Set_Simultaneous_Left()}:
@item
-@ref{a9e,,Get_Power_Expression()}:
+@ref{f91,,Get_Simultaneous_Right()}:
@item
-@ref{a9f,,Set_Power_Expression()}:
+@ref{f92,,Set_Simultaneous_Right()}:
@item
-@ref{aa0,,Get_Simultaneous_Left()}:
+@ref{f93,,Get_Text_File_Flag()}:
@item
-@ref{aa1,,Set_Simultaneous_Left()}:
+@ref{f94,,Set_Text_File_Flag()}:
@item
-@ref{aa2,,Get_Simultaneous_Right()}:
+@ref{f95,,Get_Only_Characters_Flag()}:
@item
-@ref{aa3,,Set_Simultaneous_Right()}:
+@ref{f96,,Set_Only_Characters_Flag()}:
@item
-@ref{aa4,,Get_Text_File_Flag()}:
+@ref{f97,,Get_Is_Character_Type()}:
@item
-@ref{aa5,,Set_Text_File_Flag()}:
+@ref{f98,,Set_Is_Character_Type()}:
@item
-@ref{aa6,,Get_Only_Characters_Flag()}:
+@ref{f99,,Get_Nature_Staticness()}:
@item
-@ref{aa7,,Set_Only_Characters_Flag()}:
+@ref{f9a,,Set_Nature_Staticness()}:
@item
-@ref{aa8,,Get_Is_Character_Type()}:
+@ref{f9b,,Get_Type_Staticness()}:
@item
-@ref{aa9,,Set_Is_Character_Type()}:
+@ref{f9c,,Set_Type_Staticness()}:
@item
-@ref{aaa,,Get_Nature_Staticness()}:
+@ref{f9d,,Get_Constraint_State()}:
@item
-@ref{aab,,Set_Nature_Staticness()}:
+@ref{f9e,,Set_Constraint_State()}:
@item
-@ref{aac,,Get_Type_Staticness()}:
+@ref{f9f,,Get_Index_Subtype_List()}:
@item
-@ref{aad,,Set_Type_Staticness()}:
+@ref{fa0,,Set_Index_Subtype_List()}:
@item
-@ref{aae,,Get_Constraint_State()}:
+@ref{fa1,,Get_Index_Subtype_Definition_List()}:
@item
-@ref{aaf,,Set_Constraint_State()}:
+@ref{fa2,,Set_Index_Subtype_Definition_List()}:
@item
-@ref{ab0,,Get_Index_Subtype_List()}:
+@ref{fa3,,Get_Element_Subtype_Indication()}:
@item
-@ref{ab1,,Set_Index_Subtype_List()}:
+@ref{fa4,,Set_Element_Subtype_Indication()}:
@item
-@ref{ab2,,Get_Index_Subtype_Definition_List()}:
+@ref{fa5,,Get_Element_Subtype()}:
@item
-@ref{ab3,,Set_Index_Subtype_Definition_List()}:
+@ref{fa6,,Set_Element_Subtype()}:
@item
-@ref{ab4,,Get_Element_Subtype_Indication()}:
+@ref{fa7,,Get_Element_Subnature_Indication()}:
@item
-@ref{ab5,,Set_Element_Subtype_Indication()}:
+@ref{fa8,,Set_Element_Subnature_Indication()}:
@item
-@ref{ab6,,Get_Element_Subtype()}:
+@ref{fa9,,Get_Element_Subnature()}:
@item
-@ref{ab7,,Set_Element_Subtype()}:
+@ref{faa,,Set_Element_Subnature()}:
@item
-@ref{ab8,,Get_Element_Subnature_Indication()}:
+@ref{fab,,Get_Index_Constraint_List()}:
@item
-@ref{ab9,,Set_Element_Subnature_Indication()}:
+@ref{fac,,Set_Index_Constraint_List()}:
@item
-@ref{aba,,Get_Element_Subnature()}:
+@ref{fad,,Get_Array_Element_Constraint()}:
@item
-@ref{abb,,Set_Element_Subnature()}:
+@ref{fae,,Set_Array_Element_Constraint()}:
@item
-@ref{abc,,Get_Index_Constraint_List()}:
+@ref{faf,,Get_Has_Array_Constraint_Flag()}:
@item
-@ref{abd,,Set_Index_Constraint_List()}:
+@ref{fb0,,Set_Has_Array_Constraint_Flag()}:
@item
-@ref{abe,,Get_Array_Element_Constraint()}:
+@ref{fb1,,Get_Has_Element_Constraint_Flag()}:
@item
-@ref{abf,,Set_Array_Element_Constraint()}:
+@ref{fb2,,Set_Has_Element_Constraint_Flag()}:
@item
-@ref{ac0,,Get_Has_Array_Constraint_Flag()}:
+@ref{fb3,,Get_Elements_Declaration_List()}:
@item
-@ref{ac1,,Set_Has_Array_Constraint_Flag()}:
+@ref{fb4,,Set_Elements_Declaration_List()}:
@item
-@ref{ac2,,Get_Has_Element_Constraint_Flag()}:
+@ref{fb5,,Get_Owned_Elements_Chain()}:
@item
-@ref{ac3,,Set_Has_Element_Constraint_Flag()}:
+@ref{fb6,,Set_Owned_Elements_Chain()}:
@item
-@ref{ac4,,Get_Elements_Declaration_List()}:
+@ref{fb7,,Get_Designated_Type()}:
@item
-@ref{ac5,,Set_Elements_Declaration_List()}:
+@ref{fb8,,Set_Designated_Type()}:
@item
-@ref{ac6,,Get_Owned_Elements_Chain()}:
+@ref{fb9,,Get_Designated_Subtype_Indication()}:
@item
-@ref{ac7,,Set_Owned_Elements_Chain()}:
+@ref{fba,,Set_Designated_Subtype_Indication()}:
@item
-@ref{ac8,,Get_Designated_Type()}:
+@ref{fbb,,Get_Index_List()}:
@item
-@ref{ac9,,Set_Designated_Type()}:
+@ref{fbc,,Set_Index_List()}:
@item
-@ref{aca,,Get_Designated_Subtype_Indication()}:
+@ref{fbd,,Get_Reference()}:
@item
-@ref{acb,,Set_Designated_Subtype_Indication()}:
+@ref{fbe,,Set_Reference()}:
@item
-@ref{acc,,Get_Index_List()}:
+@ref{fbf,,Get_Nature_Declarator()}:
@item
-@ref{acd,,Set_Index_List()}:
+@ref{fc0,,Set_Nature_Declarator()}:
@item
-@ref{ace,,Get_Reference()}:
+@ref{fc1,,Get_Across_Type_Mark()}:
@item
-@ref{acf,,Set_Reference()}:
+@ref{fc2,,Set_Across_Type_Mark()}:
@item
-@ref{ad0,,Get_Nature_Declarator()}:
+@ref{fc3,,Get_Through_Type_Mark()}:
@item
-@ref{ad1,,Set_Nature_Declarator()}:
+@ref{fc4,,Set_Through_Type_Mark()}:
@item
-@ref{ad2,,Get_Across_Type_Mark()}:
+@ref{fc5,,Get_Across_Type_Definition()}:
@item
-@ref{ad3,,Set_Across_Type_Mark()}:
+@ref{fc6,,Set_Across_Type_Definition()}:
@item
-@ref{ad4,,Get_Through_Type_Mark()}:
+@ref{fc7,,Get_Through_Type_Definition()}:
@item
-@ref{ad5,,Set_Through_Type_Mark()}:
+@ref{fc8,,Set_Through_Type_Definition()}:
@item
-@ref{ad6,,Get_Across_Type_Definition()}:
+@ref{fc9,,Get_Across_Type()}:
@item
-@ref{ad7,,Set_Across_Type_Definition()}:
+@ref{fca,,Set_Across_Type()}:
@item
-@ref{ad8,,Get_Through_Type_Definition()}:
+@ref{fcb,,Get_Through_Type()}:
@item
-@ref{ad9,,Set_Through_Type_Definition()}:
+@ref{fcc,,Set_Through_Type()}:
@item
-@ref{ada,,Get_Across_Type()}:
+@ref{fcd,,Get_Target()}:
@item
-@ref{adb,,Set_Across_Type()}:
+@ref{fce,,Set_Target()}:
@item
-@ref{adc,,Get_Through_Type()}:
+@ref{fcf,,Get_Waveform_Chain()}:
@item
-@ref{add,,Set_Through_Type()}:
+@ref{fd0,,Set_Waveform_Chain()}:
@item
-@ref{ade,,Get_Target()}:
+@ref{fd1,,Get_Guard()}:
@item
-@ref{adf,,Set_Target()}:
+@ref{fd2,,Set_Guard()}:
@item
-@ref{ae0,,Get_Waveform_Chain()}:
+@ref{fd3,,Get_Delay_Mechanism()}:
@item
-@ref{ae1,,Set_Waveform_Chain()}:
+@ref{fd4,,Set_Delay_Mechanism()}:
@item
-@ref{ae2,,Get_Guard()}:
+@ref{fd5,,Get_Reject_Time_Expression()}:
@item
-@ref{ae3,,Set_Guard()}:
+@ref{fd6,,Set_Reject_Time_Expression()}:
@item
-@ref{ae4,,Get_Delay_Mechanism()}:
+@ref{fd7,,Get_Force_Mode()}:
@item
-@ref{ae5,,Set_Delay_Mechanism()}:
+@ref{fd8,,Set_Force_Mode()}:
@item
-@ref{ae6,,Get_Reject_Time_Expression()}:
+@ref{fd9,,Get_Has_Force_Mode()}:
@item
-@ref{ae7,,Set_Reject_Time_Expression()}:
+@ref{fda,,Set_Has_Force_Mode()}:
@item
-@ref{ae8,,Get_Force_Mode()}:
+@ref{fdb,,Get_Sensitivity_List()}:
@item
-@ref{ae9,,Set_Force_Mode()}:
+@ref{fdc,,Set_Sensitivity_List()}:
@item
-@ref{aea,,Get_Has_Force_Mode()}:
+@ref{fdd,,Get_Process_Origin()}:
@item
-@ref{aeb,,Set_Has_Force_Mode()}:
+@ref{fde,,Set_Process_Origin()}:
@item
-@ref{aec,,Get_Sensitivity_List()}:
+@ref{fdf,,Get_Package_Origin()}:
@item
-@ref{aed,,Set_Sensitivity_List()}:
+@ref{fe0,,Set_Package_Origin()}:
@item
-@ref{aee,,Get_Process_Origin()}:
+@ref{fe1,,Get_Condition_Clause()}:
@item
-@ref{aef,,Set_Process_Origin()}:
+@ref{fe2,,Set_Condition_Clause()}:
@item
-@ref{af0,,Get_Package_Origin()}:
+@ref{fe3,,Get_Break_Element()}:
@item
-@ref{af1,,Set_Package_Origin()}:
+@ref{fe4,,Set_Break_Element()}:
@item
-@ref{af2,,Get_Condition_Clause()}:
+@ref{fe5,,Get_Selector_Quantity()}:
@item
-@ref{af3,,Set_Condition_Clause()}:
+@ref{fe6,,Set_Selector_Quantity()}:
@item
-@ref{af4,,Get_Break_Element()}:
+@ref{fe7,,Get_Break_Quantity()}:
@item
-@ref{af5,,Set_Break_Element()}:
+@ref{fe8,,Set_Break_Quantity()}:
@item
-@ref{af6,,Get_Selector_Quantity()}:
+@ref{fe9,,Get_Timeout_Clause()}:
@item
-@ref{af7,,Set_Selector_Quantity()}:
+@ref{fea,,Set_Timeout_Clause()}:
@item
-@ref{af8,,Get_Break_Quantity()}:
+@ref{feb,,Get_Postponed_Flag()}:
@item
-@ref{af9,,Set_Break_Quantity()}:
+@ref{fec,,Set_Postponed_Flag()}:
@item
-@ref{afa,,Get_Timeout_Clause()}:
+@ref{fed,,Get_Callees_List()}:
@item
-@ref{afb,,Set_Timeout_Clause()}:
+@ref{fee,,Set_Callees_List()}:
@item
-@ref{afc,,Get_Postponed_Flag()}:
+@ref{fef,,Get_Passive_Flag()}:
@item
-@ref{afd,,Set_Postponed_Flag()}:
+@ref{ff0,,Set_Passive_Flag()}:
@item
-@ref{afe,,Get_Callees_List()}:
+@ref{ff1,,Get_Resolution_Function_Flag()}:
@item
-@ref{aff,,Set_Callees_List()}:
+@ref{ff2,,Set_Resolution_Function_Flag()}:
@item
-@ref{b00,,Get_Passive_Flag()}:
+@ref{ff3,,Get_Wait_State()}:
@item
-@ref{b01,,Set_Passive_Flag()}:
+@ref{ff4,,Set_Wait_State()}:
@item
-@ref{b02,,Get_Resolution_Function_Flag()}:
+@ref{ff5,,Get_All_Sensitized_State()}:
@item
-@ref{b03,,Set_Resolution_Function_Flag()}:
+@ref{ff6,,Set_All_Sensitized_State()}:
@item
-@ref{b04,,Get_Wait_State()}:
+@ref{ff7,,Get_Seen_Flag()}:
@item
-@ref{b05,,Set_Wait_State()}:
+@ref{ff8,,Set_Seen_Flag()}:
@item
-@ref{b06,,Get_All_Sensitized_State()}:
+@ref{ff9,,Get_Pure_Flag()}:
@item
-@ref{b07,,Set_All_Sensitized_State()}:
+@ref{ffa,,Set_Pure_Flag()}:
@item
-@ref{b08,,Get_Seen_Flag()}:
+@ref{ffb,,Get_Foreign_Flag()}:
@item
-@ref{b09,,Set_Seen_Flag()}:
+@ref{ffc,,Set_Foreign_Flag()}:
@item
-@ref{b0a,,Get_Pure_Flag()}:
+@ref{ffd,,Get_Resolved_Flag()}:
@item
-@ref{b0b,,Set_Pure_Flag()}:
+@ref{ffe,,Set_Resolved_Flag()}:
@item
-@ref{b0c,,Get_Foreign_Flag()}:
+@ref{fff,,Get_Signal_Type_Flag()}:
@item
-@ref{b0d,,Set_Foreign_Flag()}:
+@ref{1000,,Set_Signal_Type_Flag()}:
@item
-@ref{b0e,,Get_Resolved_Flag()}:
+@ref{1001,,Get_Has_Signal_Flag()}:
@item
-@ref{b0f,,Set_Resolved_Flag()}:
+@ref{1002,,Set_Has_Signal_Flag()}:
@item
-@ref{b10,,Get_Signal_Type_Flag()}:
+@ref{1003,,Get_Purity_State()}:
@item
-@ref{b11,,Set_Signal_Type_Flag()}:
+@ref{1004,,Set_Purity_State()}:
@item
-@ref{b12,,Get_Has_Signal_Flag()}:
+@ref{1005,,Get_Elab_Flag()}:
@item
-@ref{b13,,Set_Has_Signal_Flag()}:
+@ref{1006,,Set_Elab_Flag()}:
@item
-@ref{b14,,Get_Purity_State()}:
+@ref{1007,,Get_Vendor_Library_Flag()}:
@item
-@ref{b15,,Set_Purity_State()}:
+@ref{1008,,Set_Vendor_Library_Flag()}:
@item
-@ref{b16,,Get_Elab_Flag()}:
+@ref{1009,,Get_Configuration_Mark_Flag()}:
@item
-@ref{b17,,Set_Elab_Flag()}:
+@ref{100a,,Set_Configuration_Mark_Flag()}:
@item
-@ref{b18,,Get_Vendor_Library_Flag()}:
+@ref{100b,,Get_Configuration_Done_Flag()}:
@item
-@ref{b19,,Set_Vendor_Library_Flag()}:
+@ref{100c,,Set_Configuration_Done_Flag()}:
@item
-@ref{b1a,,Get_Configuration_Mark_Flag()}:
+@ref{100d,,Get_Index_Constraint_Flag()}:
@item
-@ref{b1b,,Set_Configuration_Mark_Flag()}:
+@ref{100e,,Set_Index_Constraint_Flag()}:
@item
-@ref{b1c,,Get_Configuration_Done_Flag()}:
+@ref{100f,,Get_Hide_Implicit_Flag()}:
@item
-@ref{b1d,,Set_Configuration_Done_Flag()}:
+@ref{1010,,Set_Hide_Implicit_Flag()}:
@item
-@ref{b1e,,Get_Index_Constraint_Flag()}:
+@ref{1011,,Get_Assertion_Condition()}:
@item
-@ref{b1f,,Set_Index_Constraint_Flag()}:
+@ref{1012,,Set_Assertion_Condition()}:
@item
-@ref{b20,,Get_Hide_Implicit_Flag()}:
+@ref{1013,,Get_Report_Expression()}:
@item
-@ref{b21,,Set_Hide_Implicit_Flag()}:
+@ref{1014,,Set_Report_Expression()}:
@item
-@ref{b22,,Get_Assertion_Condition()}:
+@ref{1015,,Get_Severity_Expression()}:
@item
-@ref{b23,,Set_Assertion_Condition()}:
+@ref{1016,,Set_Severity_Expression()}:
@item
-@ref{b24,,Get_Report_Expression()}:
+@ref{1017,,Get_Instantiated_Unit()}:
@item
-@ref{b25,,Set_Report_Expression()}:
+@ref{1018,,Set_Instantiated_Unit()}:
@item
-@ref{b26,,Get_Severity_Expression()}:
+@ref{1019,,Get_Generic_Map_Aspect_Chain()}:
@item
-@ref{b27,,Set_Severity_Expression()}:
+@ref{101a,,Set_Generic_Map_Aspect_Chain()}:
@item
-@ref{b28,,Get_Instantiated_Unit()}:
+@ref{101b,,Get_Port_Map_Aspect_Chain()}:
@item
-@ref{b29,,Set_Instantiated_Unit()}:
+@ref{101c,,Set_Port_Map_Aspect_Chain()}:
@item
-@ref{b2a,,Get_Generic_Map_Aspect_Chain()}:
+@ref{101d,,Get_Configuration_Name()}:
@item
-@ref{b2b,,Set_Generic_Map_Aspect_Chain()}:
+@ref{101e,,Set_Configuration_Name()}:
@item
-@ref{b2c,,Get_Port_Map_Aspect_Chain()}:
+@ref{101f,,Get_Component_Configuration()}:
@item
-@ref{b2d,,Set_Port_Map_Aspect_Chain()}:
+@ref{1020,,Set_Component_Configuration()}:
@item
-@ref{b2e,,Get_Configuration_Name()}:
+@ref{1021,,Get_Configuration_Specification()}:
@item
-@ref{b2f,,Set_Configuration_Name()}:
+@ref{1022,,Set_Configuration_Specification()}:
@item
-@ref{b30,,Get_Component_Configuration()}:
+@ref{1023,,Get_Default_Binding_Indication()}:
@item
-@ref{b31,,Set_Component_Configuration()}:
+@ref{1024,,Set_Default_Binding_Indication()}:
@item
-@ref{b32,,Get_Configuration_Specification()}:
+@ref{1025,,Get_Default_Configuration_Declaration()}:
@item
-@ref{b33,,Set_Configuration_Specification()}:
+@ref{1026,,Set_Default_Configuration_Declaration()}:
@item
-@ref{b34,,Get_Default_Binding_Indication()}:
+@ref{1027,,Get_Expression()}:
@item
-@ref{b35,,Set_Default_Binding_Indication()}:
+@ref{1028,,Set_Expression()}:
@item
-@ref{b36,,Get_Default_Configuration_Declaration()}:
+@ref{1029,,Get_Conditional_Expression_Chain()}:
@item
-@ref{b37,,Set_Default_Configuration_Declaration()}:
+@ref{102a,,Set_Conditional_Expression_Chain()}:
@item
-@ref{b38,,Get_Expression()}:
+@ref{102b,,Get_Allocator_Designated_Type()}:
@item
-@ref{b39,,Set_Expression()}:
+@ref{102c,,Set_Allocator_Designated_Type()}:
@item
-@ref{b3a,,Get_Conditional_Expression_Chain()}:
+@ref{102d,,Get_Selected_Waveform_Chain()}:
@item
-@ref{b3b,,Set_Conditional_Expression_Chain()}:
+@ref{102e,,Set_Selected_Waveform_Chain()}:
@item
-@ref{b3c,,Get_Allocator_Designated_Type()}:
+@ref{102f,,Get_Conditional_Waveform_Chain()}:
@item
-@ref{b3d,,Set_Allocator_Designated_Type()}:
+@ref{1030,,Set_Conditional_Waveform_Chain()}:
@item
-@ref{b3e,,Get_Selected_Waveform_Chain()}:
+@ref{1031,,Get_Guard_Expression()}:
@item
-@ref{b3f,,Set_Selected_Waveform_Chain()}:
+@ref{1032,,Set_Guard_Expression()}:
@item
-@ref{b40,,Get_Conditional_Waveform_Chain()}:
+@ref{1033,,Get_Guard_Decl()}:
@item
-@ref{b41,,Set_Conditional_Waveform_Chain()}:
+@ref{1034,,Set_Guard_Decl()}:
@item
-@ref{b42,,Get_Guard_Expression()}:
+@ref{1035,,Get_Guard_Sensitivity_List()}:
@item
-@ref{b43,,Set_Guard_Expression()}:
+@ref{1036,,Set_Guard_Sensitivity_List()}:
@item
-@ref{b44,,Get_Guard_Decl()}:
+@ref{1037,,Get_Signal_Attribute_Chain()}:
@item
-@ref{b45,,Set_Guard_Decl()}:
+@ref{1038,,Set_Signal_Attribute_Chain()}:
@item
-@ref{b46,,Get_Guard_Sensitivity_List()}:
+@ref{1039,,Get_Block_Block_Configuration()}:
@item
-@ref{b47,,Set_Guard_Sensitivity_List()}:
+@ref{103a,,Set_Block_Block_Configuration()}:
@item
-@ref{b48,,Get_Signal_Attribute_Chain()}:
+@ref{103b,,Get_Package_Header()}:
@item
-@ref{b49,,Set_Signal_Attribute_Chain()}:
+@ref{103c,,Set_Package_Header()}:
@item
-@ref{b4a,,Get_Block_Block_Configuration()}:
+@ref{103d,,Get_Block_Header()}:
@item
-@ref{b4b,,Set_Block_Block_Configuration()}:
+@ref{103e,,Set_Block_Header()}:
@item
-@ref{b4c,,Get_Package_Header()}:
+@ref{103f,,Get_Uninstantiated_Package_Name()}:
@item
-@ref{b4d,,Set_Package_Header()}:
+@ref{1040,,Set_Uninstantiated_Package_Name()}:
@item
-@ref{b4e,,Get_Block_Header()}:
+@ref{1041,,Get_Uninstantiated_Package_Decl()}:
@item
-@ref{b4f,,Set_Block_Header()}:
+@ref{1042,,Set_Uninstantiated_Package_Decl()}:
@item
-@ref{b50,,Get_Uninstantiated_Package_Name()}:
+@ref{1043,,Get_Instance_Source_File()}:
@item
-@ref{b51,,Set_Uninstantiated_Package_Name()}:
+@ref{1044,,Set_Instance_Source_File()}:
@item
-@ref{b52,,Get_Uninstantiated_Package_Decl()}:
+@ref{1045,,Get_Generate_Block_Configuration()}:
@item
-@ref{b53,,Set_Uninstantiated_Package_Decl()}:
+@ref{1046,,Set_Generate_Block_Configuration()}:
@item
-@ref{b54,,Get_Instance_Source_File()}:
+@ref{1047,,Get_Generate_Statement_Body()}:
@item
-@ref{b55,,Set_Instance_Source_File()}:
+@ref{1048,,Set_Generate_Statement_Body()}:
@item
-@ref{b56,,Get_Generate_Block_Configuration()}:
+@ref{1049,,Get_Alternative_Label()}:
@item
-@ref{b57,,Set_Generate_Block_Configuration()}:
+@ref{104a,,Set_Alternative_Label()}:
@item
-@ref{b58,,Get_Generate_Statement_Body()}:
+@ref{104b,,Get_Generate_Else_Clause()}:
@item
-@ref{b59,,Set_Generate_Statement_Body()}:
+@ref{104c,,Set_Generate_Else_Clause()}:
@item
-@ref{b5a,,Get_Alternative_Label()}:
+@ref{104d,,Get_Condition()}:
@item
-@ref{b5b,,Set_Alternative_Label()}:
+@ref{104e,,Set_Condition()}:
@item
-@ref{b5c,,Get_Generate_Else_Clause()}:
+@ref{104f,,Get_Else_Clause()}:
@item
-@ref{b5d,,Set_Generate_Else_Clause()}:
+@ref{1050,,Set_Else_Clause()}:
@item
-@ref{b5e,,Get_Condition()}:
+@ref{1051,,Get_Parameter_Specification()}:
@item
-@ref{b5f,,Set_Condition()}:
+@ref{1052,,Set_Parameter_Specification()}:
@item
-@ref{b60,,Get_Else_Clause()}:
+@ref{1053,,Get_Parent()}:
@item
-@ref{b61,,Set_Else_Clause()}:
+@ref{1054,,Set_Parent()}:
@item
-@ref{b62,,Get_Parameter_Specification()}:
+@ref{1055,,Get_Loop_Label()}:
@item
-@ref{b63,,Set_Parameter_Specification()}:
+@ref{1056,,Set_Loop_Label()}:
@item
-@ref{b64,,Get_Parent()}:
+@ref{1057,,Get_Exit_Flag()}:
@item
-@ref{b65,,Set_Parent()}:
+@ref{1058,,Set_Exit_Flag()}:
@item
-@ref{b66,,Get_Loop_Label()}:
+@ref{1059,,Get_Next_Flag()}:
@item
-@ref{b67,,Set_Loop_Label()}:
+@ref{105a,,Set_Next_Flag()}:
@item
-@ref{b68,,Get_Exit_Flag()}:
+@ref{105b,,Get_Component_Name()}:
@item
-@ref{b69,,Set_Exit_Flag()}:
+@ref{105c,,Set_Component_Name()}:
@item
-@ref{b6a,,Get_Next_Flag()}:
+@ref{105d,,Get_Instantiation_List()}:
@item
-@ref{b6b,,Set_Next_Flag()}:
+@ref{105e,,Set_Instantiation_List()}:
@item
-@ref{b6c,,Get_Component_Name()}:
+@ref{105f,,Get_Entity_Aspect()}:
@item
-@ref{b6d,,Set_Component_Name()}:
+@ref{1060,,Set_Entity_Aspect()}:
@item
-@ref{b6e,,Get_Instantiation_List()}:
+@ref{1061,,Get_Default_Entity_Aspect()}:
@item
-@ref{b6f,,Set_Instantiation_List()}:
+@ref{1062,,Set_Default_Entity_Aspect()}:
@item
-@ref{b70,,Get_Entity_Aspect()}:
+@ref{1063,,Get_Binding_Indication()}:
@item
-@ref{b71,,Set_Entity_Aspect()}:
+@ref{1064,,Set_Binding_Indication()}:
@item
-@ref{b72,,Get_Default_Entity_Aspect()}:
+@ref{1065,,Get_Named_Entity()}:
@item
-@ref{b73,,Set_Default_Entity_Aspect()}:
+@ref{1066,,Set_Named_Entity()}:
@item
-@ref{b74,,Get_Binding_Indication()}:
+@ref{1067,,Get_Referenced_Name()}:
@item
-@ref{b75,,Set_Binding_Indication()}:
+@ref{1068,,Set_Referenced_Name()}:
@item
-@ref{b76,,Get_Named_Entity()}:
+@ref{1069,,Get_Expr_Staticness()}:
@item
-@ref{b77,,Set_Named_Entity()}:
+@ref{106a,,Set_Expr_Staticness()}:
@item
-@ref{b78,,Get_Referenced_Name()}:
+@ref{106b,,Get_Scalar_Size()}:
@item
-@ref{b79,,Set_Referenced_Name()}:
+@ref{106c,,Set_Scalar_Size()}:
@item
-@ref{b7a,,Get_Expr_Staticness()}:
+@ref{106d,,Get_Error_Origin()}:
@item
-@ref{b7b,,Set_Expr_Staticness()}:
+@ref{106e,,Set_Error_Origin()}:
@item
-@ref{b7c,,Get_Scalar_Size()}:
+@ref{106f,,Get_Operand()}:
@item
-@ref{b7d,,Set_Scalar_Size()}:
+@ref{1070,,Set_Operand()}:
@item
-@ref{b7e,,Get_Error_Origin()}:
+@ref{1071,,Get_Left()}:
@item
-@ref{b7f,,Set_Error_Origin()}:
+@ref{1072,,Set_Left()}:
@item
-@ref{b80,,Get_Operand()}:
+@ref{1073,,Get_Right()}:
@item
-@ref{b81,,Set_Operand()}:
+@ref{1074,,Set_Right()}:
@item
-@ref{b82,,Get_Left()}:
+@ref{1075,,Get_Unit_Name()}:
@item
-@ref{b83,,Set_Left()}:
+@ref{1076,,Set_Unit_Name()}:
@item
-@ref{b84,,Get_Right()}:
+@ref{1077,,Get_Name()}:
@item
-@ref{b85,,Set_Right()}:
+@ref{1078,,Set_Name()}:
@item
-@ref{b86,,Get_Unit_Name()}:
+@ref{1079,,Get_Group_Template_Name()}:
@item
-@ref{b87,,Set_Unit_Name()}:
+@ref{107a,,Set_Group_Template_Name()}:
@item
-@ref{b88,,Get_Name()}:
+@ref{107b,,Get_Name_Staticness()}:
@item
-@ref{b89,,Set_Name()}:
+@ref{107c,,Set_Name_Staticness()}:
@item
-@ref{b8a,,Get_Group_Template_Name()}:
+@ref{107d,,Get_Prefix()}:
@item
-@ref{b8b,,Set_Group_Template_Name()}:
+@ref{107e,,Set_Prefix()}:
@item
-@ref{b8c,,Get_Name_Staticness()}:
+@ref{107f,,Get_Signature_Prefix()}:
@item
-@ref{b8d,,Set_Name_Staticness()}:
+@ref{1080,,Set_Signature_Prefix()}:
@item
-@ref{b8e,,Get_Prefix()}:
+@ref{1081,,Get_External_Pathname()}:
@item
-@ref{b8f,,Set_Prefix()}:
+@ref{1082,,Set_External_Pathname()}:
@item
-@ref{b90,,Get_Signature_Prefix()}:
+@ref{1083,,Get_Pathname_Suffix()}:
@item
-@ref{b91,,Set_Signature_Prefix()}:
+@ref{1084,,Set_Pathname_Suffix()}:
@item
-@ref{b92,,Get_External_Pathname()}:
+@ref{1085,,Get_Pathname_Expression()}:
@item
-@ref{b93,,Set_External_Pathname()}:
+@ref{1086,,Set_Pathname_Expression()}:
@item
-@ref{b94,,Get_Pathname_Suffix()}:
+@ref{1087,,Get_In_Formal_Flag()}:
@item
-@ref{b95,,Set_Pathname_Suffix()}:
+@ref{1088,,Set_In_Formal_Flag()}:
@item
-@ref{b96,,Get_Pathname_Expression()}:
+@ref{1089,,Get_Slice_Subtype()}:
@item
-@ref{b97,,Set_Pathname_Expression()}:
+@ref{108a,,Set_Slice_Subtype()}:
@item
-@ref{b98,,Get_In_Formal_Flag()}:
+@ref{108b,,Get_Suffix()}:
@item
-@ref{b99,,Set_In_Formal_Flag()}:
+@ref{108c,,Set_Suffix()}:
@item
-@ref{b9a,,Get_Slice_Subtype()}:
+@ref{108d,,Get_Index_Subtype()}:
@item
-@ref{b9b,,Set_Slice_Subtype()}:
+@ref{108e,,Set_Index_Subtype()}:
@item
-@ref{b9c,,Get_Suffix()}:
+@ref{108f,,Get_Parameter()}:
@item
-@ref{b9d,,Set_Suffix()}:
+@ref{1090,,Set_Parameter()}:
@item
-@ref{b9e,,Get_Index_Subtype()}:
+@ref{1091,,Get_Parameter_2()}:
@item
-@ref{b9f,,Set_Index_Subtype()}:
+@ref{1092,,Set_Parameter_2()}:
@item
-@ref{ba0,,Get_Parameter()}:
+@ref{1093,,Get_Parameter_3()}:
@item
-@ref{ba1,,Set_Parameter()}:
+@ref{1094,,Set_Parameter_3()}:
@item
-@ref{ba2,,Get_Parameter_2()}:
+@ref{1095,,Get_Parameter_4()}:
@item
-@ref{ba3,,Set_Parameter_2()}:
+@ref{1096,,Set_Parameter_4()}:
@item
-@ref{ba4,,Get_Parameter_3()}:
+@ref{1097,,Get_Attr_Chain()}:
@item
-@ref{ba5,,Set_Parameter_3()}:
+@ref{1098,,Set_Attr_Chain()}:
@item
-@ref{ba6,,Get_Parameter_4()}:
+@ref{1099,,Get_Signal_Attribute_Declaration()}:
@item
-@ref{ba7,,Set_Parameter_4()}:
+@ref{109a,,Set_Signal_Attribute_Declaration()}:
@item
-@ref{ba8,,Get_Attr_Chain()}:
+@ref{109b,,Get_Actual_Type()}:
@item
-@ref{ba9,,Set_Attr_Chain()}:
+@ref{109c,,Set_Actual_Type()}:
@item
-@ref{baa,,Get_Signal_Attribute_Declaration()}:
+@ref{109d,,Get_Actual_Type_Definition()}:
@item
-@ref{bab,,Set_Signal_Attribute_Declaration()}:
+@ref{109e,,Set_Actual_Type_Definition()}:
@item
-@ref{bac,,Get_Actual_Type()}:
+@ref{109f,,Get_Association_Chain()}:
@item
-@ref{bad,,Set_Actual_Type()}:
+@ref{10a0,,Set_Association_Chain()}:
@item
-@ref{bae,,Get_Actual_Type_Definition()}:
+@ref{10a1,,Get_Individual_Association_Chain()}:
@item
-@ref{baf,,Set_Actual_Type_Definition()}:
+@ref{10a2,,Set_Individual_Association_Chain()}:
@item
-@ref{bb0,,Get_Association_Chain()}:
+@ref{10a3,,Get_Subprogram_Association_Chain()}:
@item
-@ref{bb1,,Set_Association_Chain()}:
+@ref{10a4,,Set_Subprogram_Association_Chain()}:
@item
-@ref{bb2,,Get_Individual_Association_Chain()}:
+@ref{10a5,,Get_Aggregate_Info()}:
@item
-@ref{bb3,,Set_Individual_Association_Chain()}:
+@ref{10a6,,Set_Aggregate_Info()}:
@item
-@ref{bb4,,Get_Subprogram_Association_Chain()}:
+@ref{10a7,,Get_Sub_Aggregate_Info()}:
@item
-@ref{bb5,,Set_Subprogram_Association_Chain()}:
+@ref{10a8,,Set_Sub_Aggregate_Info()}:
@item
-@ref{bb6,,Get_Aggregate_Info()}:
+@ref{10a9,,Get_Aggr_Dynamic_Flag()}:
@item
-@ref{bb7,,Set_Aggregate_Info()}:
+@ref{10aa,,Set_Aggr_Dynamic_Flag()}:
@item
-@ref{bb8,,Get_Sub_Aggregate_Info()}:
+@ref{10ab,,Get_Aggr_Min_Length()}:
@item
-@ref{bb9,,Set_Sub_Aggregate_Info()}:
+@ref{10ac,,Set_Aggr_Min_Length()}:
@item
-@ref{bba,,Get_Aggr_Dynamic_Flag()}:
+@ref{10ad,,Get_Aggr_Low_Limit()}:
@item
-@ref{bbb,,Set_Aggr_Dynamic_Flag()}:
+@ref{10ae,,Set_Aggr_Low_Limit()}:
@item
-@ref{bbc,,Get_Aggr_Min_Length()}:
+@ref{10af,,Get_Aggr_High_Limit()}:
@item
-@ref{bbd,,Set_Aggr_Min_Length()}:
+@ref{10b0,,Set_Aggr_High_Limit()}:
@item
-@ref{bbe,,Get_Aggr_Low_Limit()}:
+@ref{10b1,,Get_Aggr_Others_Flag()}:
@item
-@ref{bbf,,Set_Aggr_Low_Limit()}:
+@ref{10b2,,Set_Aggr_Others_Flag()}:
@item
-@ref{bc0,,Get_Aggr_High_Limit()}:
+@ref{10b3,,Get_Aggr_Named_Flag()}:
@item
-@ref{bc1,,Set_Aggr_High_Limit()}:
+@ref{10b4,,Set_Aggr_Named_Flag()}:
@item
-@ref{bc2,,Get_Aggr_Others_Flag()}:
+@ref{10b5,,Get_Aggregate_Expand_Flag()}:
@item
-@ref{bc3,,Set_Aggr_Others_Flag()}:
+@ref{10b6,,Set_Aggregate_Expand_Flag()}:
@item
-@ref{bc4,,Get_Aggr_Named_Flag()}:
+@ref{10b7,,Get_Association_Choices_Chain()}:
@item
-@ref{bc5,,Set_Aggr_Named_Flag()}:
+@ref{10b8,,Set_Association_Choices_Chain()}:
@item
-@ref{bc6,,Get_Aggregate_Expand_Flag()}:
+@ref{10b9,,Get_Case_Statement_Alternative_Chain()}:
@item
-@ref{bc7,,Set_Aggregate_Expand_Flag()}:
+@ref{10ba,,Set_Case_Statement_Alternative_Chain()}:
@item
-@ref{bc8,,Get_Association_Choices_Chain()}:
+@ref{10bb,,Get_Matching_Flag()}:
@item
-@ref{bc9,,Set_Association_Choices_Chain()}:
+@ref{10bc,,Set_Matching_Flag()}:
@item
-@ref{bca,,Get_Case_Statement_Alternative_Chain()}:
+@ref{10bd,,Get_Choice_Staticness()}:
@item
-@ref{bcb,,Set_Case_Statement_Alternative_Chain()}:
+@ref{10be,,Set_Choice_Staticness()}:
@item
-@ref{bcc,,Get_Choice_Staticness()}:
+@ref{10bf,,Get_Procedure_Call()}:
@item
-@ref{bcd,,Set_Choice_Staticness()}:
+@ref{10c0,,Set_Procedure_Call()}:
@item
-@ref{bce,,Get_Procedure_Call()}:
+@ref{10c1,,Get_Implementation()}:
@item
-@ref{bcf,,Set_Procedure_Call()}:
+@ref{10c2,,Set_Implementation()}:
@item
-@ref{bd0,,Get_Implementation()}:
+@ref{10c3,,Get_Parameter_Association_Chain()}:
@item
-@ref{bd1,,Set_Implementation()}:
+@ref{10c4,,Set_Parameter_Association_Chain()}:
@item
-@ref{bd2,,Get_Parameter_Association_Chain()}:
+@ref{10c5,,Get_Method_Object()}:
@item
-@ref{bd3,,Set_Parameter_Association_Chain()}:
+@ref{10c6,,Set_Method_Object()}:
@item
-@ref{bd4,,Get_Method_Object()}:
+@ref{10c7,,Get_Subtype_Type_Mark()}:
@item
-@ref{bd5,,Set_Method_Object()}:
+@ref{10c8,,Set_Subtype_Type_Mark()}:
@item
-@ref{bd6,,Get_Subtype_Type_Mark()}:
+@ref{10c9,,Get_Subnature_Nature_Mark()}:
@item
-@ref{bd7,,Set_Subtype_Type_Mark()}:
+@ref{10ca,,Set_Subnature_Nature_Mark()}:
@item
-@ref{bd8,,Get_Subnature_Nature_Mark()}:
+@ref{10cb,,Get_Type_Conversion_Subtype()}:
@item
-@ref{bd9,,Set_Subnature_Nature_Mark()}:
+@ref{10cc,,Set_Type_Conversion_Subtype()}:
@item
-@ref{bda,,Get_Type_Conversion_Subtype()}:
+@ref{10cd,,Get_Type_Mark()}:
@item
-@ref{bdb,,Set_Type_Conversion_Subtype()}:
+@ref{10ce,,Set_Type_Mark()}:
@item
-@ref{bdc,,Get_Type_Mark()}:
+@ref{10cf,,Get_File_Type_Mark()}:
@item
-@ref{bdd,,Set_Type_Mark()}:
+@ref{10d0,,Set_File_Type_Mark()}:
@item
-@ref{bde,,Get_File_Type_Mark()}:
+@ref{10d1,,Get_Return_Type_Mark()}:
@item
-@ref{bdf,,Set_File_Type_Mark()}:
+@ref{10d2,,Set_Return_Type_Mark()}:
@item
-@ref{be0,,Get_Return_Type_Mark()}:
+@ref{10d3,,Get_Has_Disconnect_Flag()}:
@item
-@ref{be1,,Set_Return_Type_Mark()}:
+@ref{10d4,,Set_Has_Disconnect_Flag()}:
@item
-@ref{be2,,Get_Has_Disconnect_Flag()}:
+@ref{10d5,,Get_Has_Active_Flag()}:
@item
-@ref{be3,,Set_Has_Disconnect_Flag()}:
+@ref{10d6,,Set_Has_Active_Flag()}:
@item
-@ref{be4,,Get_Has_Active_Flag()}:
+@ref{10d7,,Get_Is_Within_Flag()}:
@item
-@ref{be5,,Set_Has_Active_Flag()}:
+@ref{10d8,,Set_Is_Within_Flag()}:
@item
-@ref{be6,,Get_Is_Within_Flag()}:
+@ref{10d9,,Get_Type_Marks_List()}:
@item
-@ref{be7,,Set_Is_Within_Flag()}:
+@ref{10da,,Set_Type_Marks_List()}:
@item
-@ref{be8,,Get_Type_Marks_List()}:
+@ref{10db,,Get_Implicit_Alias_Flag()}:
@item
-@ref{be9,,Set_Type_Marks_List()}:
+@ref{10dc,,Set_Implicit_Alias_Flag()}:
@item
-@ref{bea,,Get_Implicit_Alias_Flag()}:
+@ref{10dd,,Get_Alias_Signature()}:
@item
-@ref{beb,,Set_Implicit_Alias_Flag()}:
+@ref{10de,,Set_Alias_Signature()}:
@item
-@ref{bec,,Get_Alias_Signature()}:
+@ref{10df,,Get_Attribute_Signature()}:
@item
-@ref{bed,,Set_Alias_Signature()}:
+@ref{10e0,,Set_Attribute_Signature()}:
@item
-@ref{bee,,Get_Attribute_Signature()}:
+@ref{10e1,,Get_Overload_List()}:
@item
-@ref{bef,,Set_Attribute_Signature()}:
+@ref{10e2,,Set_Overload_List()}:
@item
-@ref{bf0,,Get_Overload_List()}:
+@ref{10e3,,Get_Simple_Name_Identifier()}:
@item
-@ref{bf1,,Set_Overload_List()}:
+@ref{10e4,,Set_Simple_Name_Identifier()}:
@item
-@ref{bf2,,Get_Simple_Name_Identifier()}:
+@ref{10e5,,Get_Simple_Name_Subtype()}:
@item
-@ref{bf3,,Set_Simple_Name_Identifier()}:
+@ref{10e6,,Set_Simple_Name_Subtype()}:
@item
-@ref{bf4,,Get_Simple_Name_Subtype()}:
+@ref{10e7,,Get_Protected_Type_Body()}:
@item
-@ref{bf5,,Set_Simple_Name_Subtype()}:
+@ref{10e8,,Set_Protected_Type_Body()}:
@item
-@ref{bf6,,Get_Protected_Type_Body()}:
+@ref{10e9,,Get_Protected_Type_Declaration()}:
@item
-@ref{bf7,,Set_Protected_Type_Body()}:
+@ref{10ea,,Set_Protected_Type_Declaration()}:
@item
-@ref{bf8,,Get_Protected_Type_Declaration()}:
+@ref{10eb,,Get_Use_Flag()}:
@item
-@ref{bf9,,Set_Protected_Type_Declaration()}:
+@ref{10ec,,Set_Use_Flag()}:
@item
-@ref{bfa,,Get_Use_Flag()}:
+@ref{10ed,,Get_End_Has_Reserved_Id()}:
@item
-@ref{bfb,,Set_Use_Flag()}:
+@ref{10ee,,Set_End_Has_Reserved_Id()}:
@item
-@ref{bfc,,Get_End_Has_Reserved_Id()}:
+@ref{10ef,,Get_End_Has_Identifier()}:
@item
-@ref{bfd,,Set_End_Has_Reserved_Id()}:
+@ref{10f0,,Set_End_Has_Identifier()}:
@item
-@ref{bfe,,Get_End_Has_Identifier()}:
+@ref{10f1,,Get_End_Has_Postponed()}:
@item
-@ref{bff,,Set_End_Has_Identifier()}:
+@ref{10f2,,Set_End_Has_Postponed()}:
@item
-@ref{c00,,Get_End_Has_Postponed()}:
+@ref{10f3,,Get_Has_Label()}:
@item
-@ref{c01,,Set_End_Has_Postponed()}:
+@ref{10f4,,Set_Has_Label()}:
@item
-@ref{c02,,Get_Has_Label()}:
+@ref{10f5,,Get_Has_Begin()}:
@item
-@ref{c03,,Set_Has_Label()}:
+@ref{10f6,,Set_Has_Begin()}:
@item
-@ref{c04,,Get_Has_Begin()}:
+@ref{10f7,,Get_Has_End()}:
@item
-@ref{c05,,Set_Has_Begin()}:
+@ref{10f8,,Set_Has_End()}:
@item
-@ref{c06,,Get_Has_End()}:
+@ref{10f9,,Get_Has_Is()}:
@item
-@ref{c07,,Set_Has_End()}:
+@ref{10fa,,Set_Has_Is()}:
@item
-@ref{c08,,Get_Has_Is()}:
+@ref{10fb,,Get_Has_Pure()}:
@item
-@ref{c09,,Set_Has_Is()}:
+@ref{10fc,,Set_Has_Pure()}:
@item
-@ref{c0a,,Get_Has_Pure()}:
+@ref{10fd,,Get_Has_Body()}:
@item
-@ref{c0b,,Set_Has_Pure()}:
+@ref{10fe,,Set_Has_Body()}:
@item
-@ref{c0c,,Get_Has_Body()}:
+@ref{10ff,,Get_Has_Parameter()}:
@item
-@ref{c0d,,Set_Has_Body()}:
+@ref{1100,,Set_Has_Parameter()}:
@item
-@ref{c0e,,Get_Has_Parameter()}:
+@ref{1101,,Get_Has_Component()}:
@item
-@ref{c0f,,Set_Has_Parameter()}:
+@ref{1102,,Set_Has_Component()}:
@item
-@ref{c10,,Get_Has_Component()}:
+@ref{1103,,Get_Has_Identifier_List()}:
@item
-@ref{c11,,Set_Has_Component()}:
+@ref{1104,,Set_Has_Identifier_List()}:
@item
-@ref{c12,,Get_Has_Identifier_List()}:
+@ref{1105,,Get_Has_Mode()}:
@item
-@ref{c13,,Set_Has_Identifier_List()}:
+@ref{1106,,Set_Has_Mode()}:
@item
-@ref{c14,,Get_Has_Mode()}:
+@ref{1107,,Get_Has_Class()}:
@item
-@ref{c15,,Set_Has_Mode()}:
+@ref{1108,,Set_Has_Class()}:
@item
-@ref{c16,,Get_Has_Class()}:
+@ref{1109,,Get_Has_Delay_Mechanism()}:
@item
-@ref{c17,,Set_Has_Class()}:
+@ref{110a,,Set_Has_Delay_Mechanism()}:
@item
-@ref{c18,,Get_Has_Delay_Mechanism()}:
+@ref{110b,,Get_Suspend_Flag()}:
@item
-@ref{c19,,Set_Has_Delay_Mechanism()}:
+@ref{110c,,Set_Suspend_Flag()}:
@item
-@ref{c1a,,Get_Suspend_Flag()}:
+@ref{110d,,Get_Is_Ref()}:
@item
-@ref{c1b,,Set_Suspend_Flag()}:
+@ref{110e,,Set_Is_Ref()}:
@item
-@ref{c1c,,Get_Is_Ref()}:
+@ref{110f,,Get_Is_Forward_Ref()}:
@item
-@ref{c1d,,Set_Is_Ref()}:
+@ref{1110,,Set_Is_Forward_Ref()}:
@item
-@ref{c1e,,Get_Is_Forward_Ref()}:
+@ref{1111,,Get_Psl_Property()}:
@item
-@ref{c1f,,Set_Is_Forward_Ref()}:
+@ref{1112,,Set_Psl_Property()}:
@item
-@ref{c20,,Get_Psl_Property()}:
+@ref{1113,,Get_Psl_Sequence()}:
@item
-@ref{c21,,Set_Psl_Property()}:
+@ref{1114,,Set_Psl_Sequence()}:
@item
-@ref{c22,,Get_Psl_Sequence()}:
+@ref{1115,,Get_Psl_Declaration()}:
@item
-@ref{c23,,Set_Psl_Sequence()}:
+@ref{1116,,Set_Psl_Declaration()}:
@item
-@ref{c24,,Get_Psl_Declaration()}:
+@ref{1117,,Get_Psl_Expression()}:
@item
-@ref{c25,,Set_Psl_Declaration()}:
+@ref{1118,,Set_Psl_Expression()}:
@item
-@ref{c26,,Get_Psl_Expression()}:
+@ref{1119,,Get_Psl_Boolean()}:
@item
-@ref{c27,,Set_Psl_Expression()}:
+@ref{111a,,Set_Psl_Boolean()}:
@item
-@ref{c28,,Get_Psl_Boolean()}:
+@ref{111b,,Get_PSL_Clock()}:
@item
-@ref{c29,,Set_Psl_Boolean()}:
+@ref{111c,,Set_PSL_Clock()}:
@item
-@ref{c2a,,Get_PSL_Clock()}:
+@ref{111d,,Get_PSL_NFA()}:
@item
-@ref{c2b,,Set_PSL_Clock()}:
+@ref{111e,,Set_PSL_NFA()}:
@item
-@ref{c2c,,Get_PSL_NFA()}:
+@ref{111f,,Get_PSL_Nbr_States()}:
@item
-@ref{c2d,,Set_PSL_NFA()}:
+@ref{1120,,Set_PSL_Nbr_States()}:
@item
-@ref{c2e,,Get_PSL_Nbr_States()}:
+@ref{1121,,Get_PSL_Clock_Sensitivity()}:
@item
-@ref{c2f,,Set_PSL_Nbr_States()}:
+@ref{1122,,Set_PSL_Clock_Sensitivity()}:
@item
-@ref{c30,,Get_PSL_Clock_Sensitivity()}:
+@ref{1123,,Get_PSL_EOS_Flag()}:
@item
-@ref{c31,,Set_PSL_Clock_Sensitivity()}:
+@ref{1124,,Set_PSL_EOS_Flag()}:
@item
-@ref{c32,,Get_PSL_EOS_Flag()}:
+@ref{1125,,Get_PSL_Abort_Flag()}:
@item
-@ref{c33,,Set_PSL_EOS_Flag()}:
+@ref{1126,,Set_PSL_Abort_Flag()}:
@item
-@ref{c34,,Get_Count_Expression()}:
+@ref{1127,,Get_Count_Expression()}:
@item
-@ref{c35,,Set_Count_Expression()}:
+@ref{1128,,Set_Count_Expression()}:
@item
-@ref{c36,,Get_Clock_Expression()}:
+@ref{1129,,Get_Clock_Expression()}:
@item
-@ref{c37,,Set_Clock_Expression()}:
+@ref{112a,,Set_Clock_Expression()}:
@item
-@ref{c38,,Get_Default_Clock()}:
+@ref{112b,,Get_Default_Clock()}:
@item
-@ref{c39,,Set_Default_Clock()}:
+@ref{112c,,Set_Default_Clock()}:
@item
-@ref{c3a,,Get_Foreign_Node()}:
+@ref{112d,,Get_Foreign_Node()}:
@item
-@ref{c3b,,Set_Foreign_Node()}:
+@ref{112e,,Set_Foreign_Node()}:
@end itemize
@c #-----------------------------------
@geindex Iir_Kind (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind}@anchor{517}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind}@anchor{9ee}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Kind (value)
-
An enumeration.
@subsubheading Inheritance
@@ -24967,1601 +34790,1610 @@ An enumeration.
@geindex Unused (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unused}@anchor{c3c}
-@deffn {Attribute} Unused = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unused}@anchor{112f}
+@deffn {Attribute} Unused = 0
@end deffn
@geindex Error (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Error}@anchor{c3d}
-@deffn {Attribute} Error = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Error}@anchor{1130}
+@deffn {Attribute} Error = 1
@end deffn
@geindex Design_File (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Design_File}@anchor{c3e}
-@deffn {Attribute} Design_File = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Design_File}@anchor{1131}
+@deffn {Attribute} Design_File = 2
@end deffn
@geindex Design_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Design_Unit}@anchor{c3f}
-@deffn {Attribute} Design_Unit = 3
-@end deffn
-
-@geindex Foreign_Module (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Foreign_Module}@anchor{c40}
-@deffn {Attribute} Foreign_Module = 4
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Design_Unit}@anchor{1132}
+@deffn {Attribute} Design_Unit = 3
@end deffn
@geindex Library_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Library_Clause}@anchor{c41}
-@deffn {Attribute} Library_Clause = 5
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Library_Clause}@anchor{1133}
+@deffn {Attribute} Library_Clause = 4
@end deffn
@geindex Use_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Use_Clause}@anchor{c42}
-@deffn {Attribute} Use_Clause = 6
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Use_Clause}@anchor{1134}
+@deffn {Attribute} Use_Clause = 5
@end deffn
@geindex Context_Reference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Context_Reference}@anchor{c43}
-@deffn {Attribute} Context_Reference = 7
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Context_Reference}@anchor{1135}
+@deffn {Attribute} Context_Reference = 6
+@end deffn
+
+@geindex PSL_Inherit_Spec (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind PSL_Inherit_Spec}@anchor{1136}
+@deffn {Attribute} PSL_Inherit_Spec = 7
@end deffn
@geindex Integer_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Literal}@anchor{c44}
-@deffn {Attribute} Integer_Literal = 8
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Literal}@anchor{1137}
+@deffn {Attribute} Integer_Literal = 8
@end deffn
@geindex Floating_Point_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Point_Literal}@anchor{c45}
-@deffn {Attribute} Floating_Point_Literal = 9
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Point_Literal}@anchor{1138}
+@deffn {Attribute} Floating_Point_Literal = 9
@end deffn
@geindex Null_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Null_Literal}@anchor{c46}
-@deffn {Attribute} Null_Literal = 10
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Null_Literal}@anchor{1139}
+@deffn {Attribute} Null_Literal = 10
@end deffn
@geindex String_Literal8 (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind String_Literal8}@anchor{c47}
-@deffn {Attribute} String_Literal8 = 11
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind String_Literal8}@anchor{113a}
+@deffn {Attribute} String_Literal8 = 11
@end deffn
@geindex Physical_Int_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Int_Literal}@anchor{c48}
-@deffn {Attribute} Physical_Int_Literal = 12
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Int_Literal}@anchor{113b}
+@deffn {Attribute} Physical_Int_Literal = 12
@end deffn
@geindex Physical_Fp_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Fp_Literal}@anchor{c49}
-@deffn {Attribute} Physical_Fp_Literal = 13
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Fp_Literal}@anchor{113c}
+@deffn {Attribute} Physical_Fp_Literal = 13
@end deffn
@geindex Simple_Aggregate (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Aggregate}@anchor{c4a}
-@deffn {Attribute} Simple_Aggregate = 14
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Aggregate}@anchor{113d}
+@deffn {Attribute} Simple_Aggregate = 14
@end deffn
@geindex Overflow_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Overflow_Literal}@anchor{c4b}
-@deffn {Attribute} Overflow_Literal = 15
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Overflow_Literal}@anchor{113e}
+@deffn {Attribute} Overflow_Literal = 15
@end deffn
@geindex Unaffected_Waveform (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unaffected_Waveform}@anchor{c4c}
-@deffn {Attribute} Unaffected_Waveform = 16
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unaffected_Waveform}@anchor{113f}
+@deffn {Attribute} Unaffected_Waveform = 16
@end deffn
@geindex Waveform_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Waveform_Element}@anchor{c4d}
-@deffn {Attribute} Waveform_Element = 17
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Waveform_Element}@anchor{1140}
+@deffn {Attribute} Waveform_Element = 17
@end deffn
@geindex Conditional_Waveform (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Waveform}@anchor{c4e}
-@deffn {Attribute} Conditional_Waveform = 18
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Waveform}@anchor{1141}
+@deffn {Attribute} Conditional_Waveform = 18
@end deffn
@geindex Conditional_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Expression}@anchor{c4f}
-@deffn {Attribute} Conditional_Expression = 19
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Expression}@anchor{1142}
+@deffn {Attribute} Conditional_Expression = 19
@end deffn
@geindex Association_Element_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Expression}@anchor{c50}
-@deffn {Attribute} Association_Element_By_Expression = 20
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Expression}@anchor{1143}
+@deffn {Attribute} Association_Element_By_Expression = 20
+@end deffn
+
+@geindex Association_Element_By_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Name}@anchor{1144}
+@deffn {Attribute} Association_Element_By_Name = 21
@end deffn
@geindex Association_Element_By_Individual (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Individual}@anchor{c51}
-@deffn {Attribute} Association_Element_By_Individual = 21
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_By_Individual}@anchor{1145}
+@deffn {Attribute} Association_Element_By_Individual = 22
@end deffn
@geindex Association_Element_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Open}@anchor{c52}
-@deffn {Attribute} Association_Element_Open = 22
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Open}@anchor{1146}
+@deffn {Attribute} Association_Element_Open = 23
@end deffn
@geindex Association_Element_Package (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Package}@anchor{c53}
-@deffn {Attribute} Association_Element_Package = 23
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Package}@anchor{1147}
+@deffn {Attribute} Association_Element_Package = 24
@end deffn
@geindex Association_Element_Type (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Type}@anchor{c54}
-@deffn {Attribute} Association_Element_Type = 24
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Type}@anchor{1148}
+@deffn {Attribute} Association_Element_Type = 25
@end deffn
@geindex Association_Element_Subprogram (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Subprogram}@anchor{c55}
-@deffn {Attribute} Association_Element_Subprogram = 25
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Subprogram}@anchor{1149}
+@deffn {Attribute} Association_Element_Subprogram = 26
@end deffn
@geindex Association_Element_Terminal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Terminal}@anchor{c56}
-@deffn {Attribute} Association_Element_Terminal = 26
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Association_Element_Terminal}@anchor{114a}
+@deffn {Attribute} Association_Element_Terminal = 27
@end deffn
@geindex Choice_By_Range (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Range}@anchor{c57}
-@deffn {Attribute} Choice_By_Range = 27
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Range}@anchor{114b}
+@deffn {Attribute} Choice_By_Range = 28
@end deffn
@geindex Choice_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Expression}@anchor{c58}
-@deffn {Attribute} Choice_By_Expression = 28
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Expression}@anchor{114c}
+@deffn {Attribute} Choice_By_Expression = 29
@end deffn
@geindex Choice_By_Others (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Others}@anchor{c59}
-@deffn {Attribute} Choice_By_Others = 29
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Others}@anchor{114d}
+@deffn {Attribute} Choice_By_Others = 30
@end deffn
@geindex Choice_By_None (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_None}@anchor{c5a}
-@deffn {Attribute} Choice_By_None = 30
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_None}@anchor{114e}
+@deffn {Attribute} Choice_By_None = 31
@end deffn
@geindex Choice_By_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Name}@anchor{c5b}
-@deffn {Attribute} Choice_By_Name = 31
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Choice_By_Name}@anchor{114f}
+@deffn {Attribute} Choice_By_Name = 32
@end deffn
@geindex Entity_Aspect_Entity (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Entity}@anchor{c5c}
-@deffn {Attribute} Entity_Aspect_Entity = 32
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Entity}@anchor{1150}
+@deffn {Attribute} Entity_Aspect_Entity = 33
@end deffn
@geindex Entity_Aspect_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Configuration}@anchor{c5d}
-@deffn {Attribute} Entity_Aspect_Configuration = 33
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Configuration}@anchor{1151}
+@deffn {Attribute} Entity_Aspect_Configuration = 34
@end deffn
@geindex Entity_Aspect_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Open}@anchor{c5e}
-@deffn {Attribute} Entity_Aspect_Open = 34
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Aspect_Open}@anchor{1152}
+@deffn {Attribute} Entity_Aspect_Open = 35
@end deffn
@geindex Psl_Hierarchical_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Hierarchical_Name}@anchor{c5f}
-@deffn {Attribute} Psl_Hierarchical_Name = 35
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Hierarchical_Name}@anchor{1153}
+@deffn {Attribute} Psl_Hierarchical_Name = 36
@end deffn
@geindex Block_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Configuration}@anchor{c60}
-@deffn {Attribute} Block_Configuration = 36
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Configuration}@anchor{1154}
+@deffn {Attribute} Block_Configuration = 37
@end deffn
@geindex Block_Header (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Header}@anchor{c61}
-@deffn {Attribute} Block_Header = 37
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Header}@anchor{1155}
+@deffn {Attribute} Block_Header = 38
@end deffn
@geindex Component_Configuration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Configuration}@anchor{c62}
-@deffn {Attribute} Component_Configuration = 38
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Configuration}@anchor{1156}
+@deffn {Attribute} Component_Configuration = 39
@end deffn
@geindex Binding_Indication (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Binding_Indication}@anchor{c63}
-@deffn {Attribute} Binding_Indication = 39
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Binding_Indication}@anchor{1157}
+@deffn {Attribute} Binding_Indication = 40
@end deffn
@geindex Entity_Class (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Class}@anchor{c64}
-@deffn {Attribute} Entity_Class = 40
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Class}@anchor{1158}
+@deffn {Attribute} Entity_Class = 41
@end deffn
@geindex Attribute_Value (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Value}@anchor{c65}
-@deffn {Attribute} Attribute_Value = 41
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Value}@anchor{1159}
+@deffn {Attribute} Attribute_Value = 42
@end deffn
@geindex Signature (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signature}@anchor{c66}
-@deffn {Attribute} Signature = 42
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signature}@anchor{115a}
+@deffn {Attribute} Signature = 43
@end deffn
@geindex Aggregate_Info (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Aggregate_Info}@anchor{c67}
-@deffn {Attribute} Aggregate_Info = 43
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Aggregate_Info}@anchor{115b}
+@deffn {Attribute} Aggregate_Info = 44
@end deffn
@geindex Procedure_Call (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Call}@anchor{c68}
-@deffn {Attribute} Procedure_Call = 44
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Call}@anchor{115c}
+@deffn {Attribute} Procedure_Call = 45
@end deffn
@geindex Record_Element_Constraint (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Element_Constraint}@anchor{c69}
-@deffn {Attribute} Record_Element_Constraint = 45
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Element_Constraint}@anchor{115d}
+@deffn {Attribute} Record_Element_Constraint = 46
@end deffn
@geindex Array_Element_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Element_Resolution}@anchor{c6a}
-@deffn {Attribute} Array_Element_Resolution = 46
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Element_Resolution}@anchor{115e}
+@deffn {Attribute} Array_Element_Resolution = 47
@end deffn
@geindex Record_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Resolution}@anchor{c6b}
-@deffn {Attribute} Record_Resolution = 47
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Resolution}@anchor{115f}
+@deffn {Attribute} Record_Resolution = 48
@end deffn
@geindex Record_Element_Resolution (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Element_Resolution}@anchor{c6c}
-@deffn {Attribute} Record_Element_Resolution = 48
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Element_Resolution}@anchor{1160}
+@deffn {Attribute} Record_Element_Resolution = 49
@end deffn
@geindex Break_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Break_Element}@anchor{c6d}
-@deffn {Attribute} Break_Element = 49
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Break_Element}@anchor{1161}
+@deffn {Attribute} Break_Element = 50
@end deffn
@geindex Attribute_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Specification}@anchor{c6e}
-@deffn {Attribute} Attribute_Specification = 50
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Specification}@anchor{1162}
+@deffn {Attribute} Attribute_Specification = 51
@end deffn
@geindex Disconnection_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Disconnection_Specification}@anchor{c6f}
-@deffn {Attribute} Disconnection_Specification = 51
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Disconnection_Specification}@anchor{1163}
+@deffn {Attribute} Disconnection_Specification = 52
@end deffn
@geindex Step_Limit_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Step_Limit_Specification}@anchor{c70}
-@deffn {Attribute} Step_Limit_Specification = 52
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Step_Limit_Specification}@anchor{1164}
+@deffn {Attribute} Step_Limit_Specification = 53
@end deffn
@geindex Configuration_Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Configuration_Specification}@anchor{c71}
-@deffn {Attribute} Configuration_Specification = 53
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Configuration_Specification}@anchor{1165}
+@deffn {Attribute} Configuration_Specification = 54
@end deffn
@geindex Access_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Access_Type_Definition}@anchor{c72}
-@deffn {Attribute} Access_Type_Definition = 54
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Access_Type_Definition}@anchor{1166}
+@deffn {Attribute} Access_Type_Definition = 55
@end deffn
@geindex Incomplete_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Incomplete_Type_Definition}@anchor{c73}
-@deffn {Attribute} Incomplete_Type_Definition = 55
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Incomplete_Type_Definition}@anchor{1167}
+@deffn {Attribute} Incomplete_Type_Definition = 56
@end deffn
@geindex Interface_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Type_Definition}@anchor{c74}
-@deffn {Attribute} Interface_Type_Definition = 56
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Type_Definition}@anchor{1168}
+@deffn {Attribute} Interface_Type_Definition = 57
@end deffn
@geindex File_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind File_Type_Definition}@anchor{c75}
-@deffn {Attribute} File_Type_Definition = 57
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind File_Type_Definition}@anchor{1169}
+@deffn {Attribute} File_Type_Definition = 58
@end deffn
@geindex Protected_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Protected_Type_Declaration}@anchor{c76}
-@deffn {Attribute} Protected_Type_Declaration = 58
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Protected_Type_Declaration}@anchor{116a}
+@deffn {Attribute} Protected_Type_Declaration = 59
@end deffn
@geindex Record_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Type_Definition}@anchor{c77}
-@deffn {Attribute} Record_Type_Definition = 59
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Type_Definition}@anchor{116b}
+@deffn {Attribute} Record_Type_Definition = 60
@end deffn
@geindex Array_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Type_Definition}@anchor{c78}
-@deffn {Attribute} Array_Type_Definition = 60
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Type_Definition}@anchor{116c}
+@deffn {Attribute} Array_Type_Definition = 61
@end deffn
@geindex Array_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Subtype_Definition}@anchor{c79}
-@deffn {Attribute} Array_Subtype_Definition = 61
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Subtype_Definition}@anchor{116d}
+@deffn {Attribute} Array_Subtype_Definition = 62
@end deffn
@geindex Record_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Subtype_Definition}@anchor{c7a}
-@deffn {Attribute} Record_Subtype_Definition = 62
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Subtype_Definition}@anchor{116e}
+@deffn {Attribute} Record_Subtype_Definition = 63
@end deffn
@geindex Access_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Access_Subtype_Definition}@anchor{c7b}
-@deffn {Attribute} Access_Subtype_Definition = 63
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Access_Subtype_Definition}@anchor{116f}
+@deffn {Attribute} Access_Subtype_Definition = 64
@end deffn
@geindex Physical_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Subtype_Definition}@anchor{c7c}
-@deffn {Attribute} Physical_Subtype_Definition = 64
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Subtype_Definition}@anchor{1170}
+@deffn {Attribute} Physical_Subtype_Definition = 65
@end deffn
@geindex Floating_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Subtype_Definition}@anchor{c7d}
-@deffn {Attribute} Floating_Subtype_Definition = 65
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Subtype_Definition}@anchor{1171}
+@deffn {Attribute} Floating_Subtype_Definition = 66
@end deffn
@geindex Integer_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Subtype_Definition}@anchor{c7e}
-@deffn {Attribute} Integer_Subtype_Definition = 66
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Subtype_Definition}@anchor{1172}
+@deffn {Attribute} Integer_Subtype_Definition = 67
@end deffn
@geindex Enumeration_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Subtype_Definition}@anchor{c7f}
-@deffn {Attribute} Enumeration_Subtype_Definition = 67
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Subtype_Definition}@anchor{1173}
+@deffn {Attribute} Enumeration_Subtype_Definition = 68
@end deffn
@geindex Enumeration_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Type_Definition}@anchor{c80}
-@deffn {Attribute} Enumeration_Type_Definition = 68
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Type_Definition}@anchor{1174}
+@deffn {Attribute} Enumeration_Type_Definition = 69
@end deffn
@geindex Integer_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Type_Definition}@anchor{c81}
-@deffn {Attribute} Integer_Type_Definition = 69
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integer_Type_Definition}@anchor{1175}
+@deffn {Attribute} Integer_Type_Definition = 70
@end deffn
@geindex Floating_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Type_Definition}@anchor{c82}
-@deffn {Attribute} Floating_Type_Definition = 70
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Floating_Type_Definition}@anchor{1176}
+@deffn {Attribute} Floating_Type_Definition = 71
@end deffn
@geindex Physical_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Type_Definition}@anchor{c83}
-@deffn {Attribute} Physical_Type_Definition = 71
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Physical_Type_Definition}@anchor{1177}
+@deffn {Attribute} Physical_Type_Definition = 72
@end deffn
@geindex Range_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Range_Expression}@anchor{c84}
-@deffn {Attribute} Range_Expression = 72
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Range_Expression}@anchor{1178}
+@deffn {Attribute} Range_Expression = 73
@end deffn
@geindex Protected_Type_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Protected_Type_Body}@anchor{c85}
-@deffn {Attribute} Protected_Type_Body = 73
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Protected_Type_Body}@anchor{1179}
+@deffn {Attribute} Protected_Type_Body = 74
@end deffn
@geindex Wildcard_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Wildcard_Type_Definition}@anchor{c86}
-@deffn {Attribute} Wildcard_Type_Definition = 74
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Wildcard_Type_Definition}@anchor{117a}
+@deffn {Attribute} Wildcard_Type_Definition = 75
+@end deffn
+
+@geindex Foreign_Vector_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Foreign_Vector_Type_Definition}@anchor{117b}
+@deffn {Attribute} Foreign_Vector_Type_Definition = 76
@end deffn
@geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Definition}@anchor{c87}
-@deffn {Attribute} Subtype_Definition = 75
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Definition}@anchor{117c}
+@deffn {Attribute} Subtype_Definition = 77
@end deffn
@geindex Scalar_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Scalar_Nature_Definition}@anchor{c88}
-@deffn {Attribute} Scalar_Nature_Definition = 76
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Scalar_Nature_Definition}@anchor{117d}
+@deffn {Attribute} Scalar_Nature_Definition = 78
@end deffn
@geindex Record_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Nature_Definition}@anchor{c89}
-@deffn {Attribute} Record_Nature_Definition = 77
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Record_Nature_Definition}@anchor{117e}
+@deffn {Attribute} Record_Nature_Definition = 79
@end deffn
@geindex Array_Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Nature_Definition}@anchor{c8a}
-@deffn {Attribute} Array_Nature_Definition = 78
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Nature_Definition}@anchor{117f}
+@deffn {Attribute} Array_Nature_Definition = 80
@end deffn
@geindex Array_Subnature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Subnature_Definition}@anchor{c8b}
-@deffn {Attribute} Array_Subnature_Definition = 79
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Array_Subnature_Definition}@anchor{1180}
+@deffn {Attribute} Array_Subnature_Definition = 81
@end deffn
@geindex Overload_List (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Overload_List}@anchor{c8c}
-@deffn {Attribute} Overload_List = 80
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Overload_List}@anchor{1181}
+@deffn {Attribute} Overload_List = 82
+@end deffn
+
+@geindex Foreign_Module (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Foreign_Module}@anchor{1182}
+@deffn {Attribute} Foreign_Module = 83
@end deffn
@geindex Entity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Declaration}@anchor{c8d}
-@deffn {Attribute} Entity_Declaration = 81
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Entity_Declaration}@anchor{1183}
+@deffn {Attribute} Entity_Declaration = 84
@end deffn
@geindex Configuration_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Configuration_Declaration}@anchor{c8e}
-@deffn {Attribute} Configuration_Declaration = 82
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Configuration_Declaration}@anchor{1184}
+@deffn {Attribute} Configuration_Declaration = 85
@end deffn
@geindex Context_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Context_Declaration}@anchor{c8f}
-@deffn {Attribute} Context_Declaration = 83
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Context_Declaration}@anchor{1185}
+@deffn {Attribute} Context_Declaration = 86
@end deffn
@geindex Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Declaration}@anchor{c90}
-@deffn {Attribute} Package_Declaration = 84
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Declaration}@anchor{1186}
+@deffn {Attribute} Package_Declaration = 87
@end deffn
@geindex Package_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Instantiation_Declaration}@anchor{c91}
-@deffn {Attribute} Package_Instantiation_Declaration = 85
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Instantiation_Declaration}@anchor{1187}
+@deffn {Attribute} Package_Instantiation_Declaration = 88
@end deffn
@geindex Vmode_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vmode_Declaration}@anchor{c92}
-@deffn {Attribute} Vmode_Declaration = 86
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vmode_Declaration}@anchor{1188}
+@deffn {Attribute} Vmode_Declaration = 89
@end deffn
@geindex Vprop_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vprop_Declaration}@anchor{c93}
-@deffn {Attribute} Vprop_Declaration = 87
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vprop_Declaration}@anchor{1189}
+@deffn {Attribute} Vprop_Declaration = 90
@end deffn
@geindex Vunit_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vunit_Declaration}@anchor{c94}
-@deffn {Attribute} Vunit_Declaration = 88
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Vunit_Declaration}@anchor{118a}
+@deffn {Attribute} Vunit_Declaration = 91
@end deffn
@geindex Package_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Body}@anchor{c95}
-@deffn {Attribute} Package_Body = 89
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Body}@anchor{118b}
+@deffn {Attribute} Package_Body = 92
@end deffn
@geindex Architecture_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Architecture_Body}@anchor{c96}
-@deffn {Attribute} Architecture_Body = 90
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Architecture_Body}@anchor{118c}
+@deffn {Attribute} Architecture_Body = 93
@end deffn
@geindex Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Type_Declaration}@anchor{c97}
-@deffn {Attribute} Type_Declaration = 91
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Type_Declaration}@anchor{118d}
+@deffn {Attribute} Type_Declaration = 94
@end deffn
@geindex Anonymous_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Anonymous_Type_Declaration}@anchor{c98}
-@deffn {Attribute} Anonymous_Type_Declaration = 92
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Anonymous_Type_Declaration}@anchor{118e}
+@deffn {Attribute} Anonymous_Type_Declaration = 95
@end deffn
@geindex Subtype_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Declaration}@anchor{c99}
-@deffn {Attribute} Subtype_Declaration = 93
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Declaration}@anchor{118f}
+@deffn {Attribute} Subtype_Declaration = 96
@end deffn
@geindex Nature_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Declaration}@anchor{c9a}
-@deffn {Attribute} Nature_Declaration = 94
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Declaration}@anchor{1190}
+@deffn {Attribute} Nature_Declaration = 97
@end deffn
@geindex Subnature_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subnature_Declaration}@anchor{c9b}
-@deffn {Attribute} Subnature_Declaration = 95
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subnature_Declaration}@anchor{1191}
+@deffn {Attribute} Subnature_Declaration = 98
@end deffn
@geindex Package_Header (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Header}@anchor{c9c}
-@deffn {Attribute} Package_Header = 96
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Header}@anchor{1192}
+@deffn {Attribute} Package_Header = 99
@end deffn
@geindex Unit_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unit_Declaration}@anchor{c9d}
-@deffn {Attribute} Unit_Declaration = 97
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Unit_Declaration}@anchor{1193}
+@deffn {Attribute} Unit_Declaration = 100
@end deffn
@geindex Library_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Library_Declaration}@anchor{c9e}
-@deffn {Attribute} Library_Declaration = 98
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Library_Declaration}@anchor{1194}
+@deffn {Attribute} Library_Declaration = 101
@end deffn
@geindex Component_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Declaration}@anchor{c9f}
-@deffn {Attribute} Component_Declaration = 99
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Declaration}@anchor{1195}
+@deffn {Attribute} Component_Declaration = 102
@end deffn
@geindex Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Declaration}@anchor{ca0}
-@deffn {Attribute} Attribute_Declaration = 100
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Declaration}@anchor{1196}
+@deffn {Attribute} Attribute_Declaration = 103
@end deffn
@geindex Group_Template_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Group_Template_Declaration}@anchor{ca1}
-@deffn {Attribute} Group_Template_Declaration = 101
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Group_Template_Declaration}@anchor{1197}
+@deffn {Attribute} Group_Template_Declaration = 104
@end deffn
@geindex Group_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Group_Declaration}@anchor{ca2}
-@deffn {Attribute} Group_Declaration = 102
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Group_Declaration}@anchor{1198}
+@deffn {Attribute} Group_Declaration = 105
@end deffn
@geindex Element_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Element_Declaration}@anchor{ca3}
-@deffn {Attribute} Element_Declaration = 103
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Element_Declaration}@anchor{1199}
+@deffn {Attribute} Element_Declaration = 106
@end deffn
@geindex Nature_Element_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Element_Declaration}@anchor{ca4}
-@deffn {Attribute} Nature_Element_Declaration = 104
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Element_Declaration}@anchor{119a}
+@deffn {Attribute} Nature_Element_Declaration = 107
@end deffn
@geindex Non_Object_Alias_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Non_Object_Alias_Declaration}@anchor{ca5}
-@deffn {Attribute} Non_Object_Alias_Declaration = 105
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Non_Object_Alias_Declaration}@anchor{119b}
+@deffn {Attribute} Non_Object_Alias_Declaration = 108
@end deffn
@geindex Psl_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Declaration}@anchor{ca6}
-@deffn {Attribute} Psl_Declaration = 106
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Declaration}@anchor{119c}
+@deffn {Attribute} Psl_Declaration = 109
@end deffn
@geindex Psl_Endpoint_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Endpoint_Declaration}@anchor{ca7}
-@deffn {Attribute} Psl_Endpoint_Declaration = 107
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Endpoint_Declaration}@anchor{119d}
+@deffn {Attribute} Psl_Endpoint_Declaration = 110
@end deffn
@geindex Enumeration_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Literal}@anchor{ca8}
-@deffn {Attribute} Enumeration_Literal = 108
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Enumeration_Literal}@anchor{119e}
+@deffn {Attribute} Enumeration_Literal = 111
@end deffn
@geindex Function_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Declaration}@anchor{ca9}
-@deffn {Attribute} Function_Declaration = 109
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Declaration}@anchor{119f}
+@deffn {Attribute} Function_Declaration = 112
@end deffn
@geindex Procedure_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Declaration}@anchor{caa}
-@deffn {Attribute} Procedure_Declaration = 110
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Declaration}@anchor{11a0}
+@deffn {Attribute} Procedure_Declaration = 113
@end deffn
@geindex Function_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Body}@anchor{cab}
-@deffn {Attribute} Function_Body = 111
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Body}@anchor{11a1}
+@deffn {Attribute} Function_Body = 114
@end deffn
@geindex Procedure_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Body}@anchor{cac}
-@deffn {Attribute} Procedure_Body = 112
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Body}@anchor{11a2}
+@deffn {Attribute} Procedure_Body = 115
@end deffn
@geindex Function_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Instantiation_Declaration}@anchor{cad}
-@deffn {Attribute} Function_Instantiation_Declaration = 113
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Instantiation_Declaration}@anchor{11a3}
+@deffn {Attribute} Function_Instantiation_Declaration = 116
@end deffn
@geindex Procedure_Instantiation_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Instantiation_Declaration}@anchor{cae}
-@deffn {Attribute} Procedure_Instantiation_Declaration = 114
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Instantiation_Declaration}@anchor{11a4}
+@deffn {Attribute} Procedure_Instantiation_Declaration = 117
@end deffn
@geindex Terminal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Terminal_Declaration}@anchor{caf}
-@deffn {Attribute} Terminal_Declaration = 115
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Terminal_Declaration}@anchor{11a5}
+@deffn {Attribute} Terminal_Declaration = 118
@end deffn
@geindex Object_Alias_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Object_Alias_Declaration}@anchor{cb0}
-@deffn {Attribute} Object_Alias_Declaration = 116
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Object_Alias_Declaration}@anchor{11a6}
+@deffn {Attribute} Object_Alias_Declaration = 119
@end deffn
@geindex Free_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Free_Quantity_Declaration}@anchor{cb1}
-@deffn {Attribute} Free_Quantity_Declaration = 117
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Free_Quantity_Declaration}@anchor{11a7}
+@deffn {Attribute} Free_Quantity_Declaration = 120
@end deffn
@geindex Spectrum_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Spectrum_Quantity_Declaration}@anchor{cb2}
-@deffn {Attribute} Spectrum_Quantity_Declaration = 118
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Spectrum_Quantity_Declaration}@anchor{11a8}
+@deffn {Attribute} Spectrum_Quantity_Declaration = 121
@end deffn
@geindex Noise_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Noise_Quantity_Declaration}@anchor{cb3}
-@deffn {Attribute} Noise_Quantity_Declaration = 119
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Noise_Quantity_Declaration}@anchor{11a9}
+@deffn {Attribute} Noise_Quantity_Declaration = 122
@end deffn
@geindex Across_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Across_Quantity_Declaration}@anchor{cb4}
-@deffn {Attribute} Across_Quantity_Declaration = 120
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Across_Quantity_Declaration}@anchor{11aa}
+@deffn {Attribute} Across_Quantity_Declaration = 123
@end deffn
@geindex Through_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Through_Quantity_Declaration}@anchor{cb5}
-@deffn {Attribute} Through_Quantity_Declaration = 121
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Through_Quantity_Declaration}@anchor{11ab}
+@deffn {Attribute} Through_Quantity_Declaration = 124
@end deffn
@geindex File_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind File_Declaration}@anchor{cb6}
-@deffn {Attribute} File_Declaration = 122
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind File_Declaration}@anchor{11ac}
+@deffn {Attribute} File_Declaration = 125
@end deffn
@geindex Guard_Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Guard_Signal_Declaration}@anchor{cb7}
-@deffn {Attribute} Guard_Signal_Declaration = 123
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Guard_Signal_Declaration}@anchor{11ad}
+@deffn {Attribute} Guard_Signal_Declaration = 126
@end deffn
@geindex Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Declaration}@anchor{cb8}
-@deffn {Attribute} Signal_Declaration = 124
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Declaration}@anchor{11ae}
+@deffn {Attribute} Signal_Declaration = 127
@end deffn
@geindex Variable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Variable_Declaration}@anchor{cb9}
-@deffn {Attribute} Variable_Declaration = 125
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Variable_Declaration}@anchor{11af}
+@deffn {Attribute} Variable_Declaration = 128
@end deffn
@geindex Constant_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Constant_Declaration}@anchor{cba}
-@deffn {Attribute} Constant_Declaration = 126
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Constant_Declaration}@anchor{11b0}
+@deffn {Attribute} Constant_Declaration = 129
@end deffn
@geindex Iterator_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Iterator_Declaration}@anchor{cbb}
-@deffn {Attribute} Iterator_Declaration = 127
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Iterator_Declaration}@anchor{11b1}
+@deffn {Attribute} Iterator_Declaration = 130
@end deffn
@geindex Interface_Constant_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Constant_Declaration}@anchor{cbc}
-@deffn {Attribute} Interface_Constant_Declaration = 128
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Constant_Declaration}@anchor{11b2}
+@deffn {Attribute} Interface_Constant_Declaration = 131
@end deffn
@geindex Interface_Variable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Variable_Declaration}@anchor{cbd}
-@deffn {Attribute} Interface_Variable_Declaration = 129
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Variable_Declaration}@anchor{11b3}
+@deffn {Attribute} Interface_Variable_Declaration = 132
@end deffn
@geindex Interface_Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Signal_Declaration}@anchor{cbe}
-@deffn {Attribute} Interface_Signal_Declaration = 130
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Signal_Declaration}@anchor{11b4}
+@deffn {Attribute} Interface_Signal_Declaration = 133
@end deffn
@geindex Interface_File_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_File_Declaration}@anchor{cbf}
-@deffn {Attribute} Interface_File_Declaration = 131
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_File_Declaration}@anchor{11b5}
+@deffn {Attribute} Interface_File_Declaration = 134
@end deffn
@geindex Interface_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Quantity_Declaration}@anchor{cc0}
-@deffn {Attribute} Interface_Quantity_Declaration = 132
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Quantity_Declaration}@anchor{11b6}
+@deffn {Attribute} Interface_Quantity_Declaration = 135
@end deffn
@geindex Interface_Terminal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Terminal_Declaration}@anchor{cc1}
-@deffn {Attribute} Interface_Terminal_Declaration = 133
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Terminal_Declaration}@anchor{11b7}
+@deffn {Attribute} Interface_Terminal_Declaration = 136
@end deffn
@geindex Interface_Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Type_Declaration}@anchor{cc2}
-@deffn {Attribute} Interface_Type_Declaration = 134
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Type_Declaration}@anchor{11b8}
+@deffn {Attribute} Interface_Type_Declaration = 137
@end deffn
@geindex Interface_Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Package_Declaration}@anchor{cc3}
-@deffn {Attribute} Interface_Package_Declaration = 135
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Package_Declaration}@anchor{11b9}
+@deffn {Attribute} Interface_Package_Declaration = 138
@end deffn
@geindex Interface_Function_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Function_Declaration}@anchor{cc4}
-@deffn {Attribute} Interface_Function_Declaration = 136
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Function_Declaration}@anchor{11ba}
+@deffn {Attribute} Interface_Function_Declaration = 139
@end deffn
@geindex Interface_Procedure_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Procedure_Declaration}@anchor{cc5}
-@deffn {Attribute} Interface_Procedure_Declaration = 137
-@end deffn
-
-@geindex Anonymous_Signal_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Anonymous_Signal_Declaration}@anchor{cc6}
-@deffn {Attribute} Anonymous_Signal_Declaration = 138
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Interface_Procedure_Declaration}@anchor{11bb}
+@deffn {Attribute} Interface_Procedure_Declaration = 140
@end deffn
@geindex Signal_Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Attribute_Declaration}@anchor{cc7}
-@deffn {Attribute} Signal_Attribute_Declaration = 139
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Attribute_Declaration}@anchor{11bc}
+@deffn {Attribute} Signal_Attribute_Declaration = 141
@end deffn
@geindex Identity_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Identity_Operator}@anchor{cc8}
-@deffn {Attribute} Identity_Operator = 140
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Identity_Operator}@anchor{11bd}
+@deffn {Attribute} Identity_Operator = 142
@end deffn
@geindex Negation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Negation_Operator}@anchor{cc9}
-@deffn {Attribute} Negation_Operator = 141
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Negation_Operator}@anchor{11be}
+@deffn {Attribute} Negation_Operator = 143
@end deffn
@geindex Absolute_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Absolute_Operator}@anchor{cca}
-@deffn {Attribute} Absolute_Operator = 142
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Absolute_Operator}@anchor{11bf}
+@deffn {Attribute} Absolute_Operator = 144
@end deffn
@geindex Not_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Not_Operator}@anchor{ccb}
-@deffn {Attribute} Not_Operator = 143
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Not_Operator}@anchor{11c0}
+@deffn {Attribute} Not_Operator = 145
@end deffn
@geindex Implicit_Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Implicit_Condition_Operator}@anchor{ccc}
-@deffn {Attribute} Implicit_Condition_Operator = 144
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Implicit_Condition_Operator}@anchor{11c1}
+@deffn {Attribute} Implicit_Condition_Operator = 146
@end deffn
@geindex Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Condition_Operator}@anchor{ccd}
-@deffn {Attribute} Condition_Operator = 145
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Condition_Operator}@anchor{11c2}
+@deffn {Attribute} Condition_Operator = 147
@end deffn
@geindex Reduction_And_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_And_Operator}@anchor{cce}
-@deffn {Attribute} Reduction_And_Operator = 146
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_And_Operator}@anchor{11c3}
+@deffn {Attribute} Reduction_And_Operator = 148
@end deffn
@geindex Reduction_Or_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Or_Operator}@anchor{ccf}
-@deffn {Attribute} Reduction_Or_Operator = 147
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Or_Operator}@anchor{11c4}
+@deffn {Attribute} Reduction_Or_Operator = 149
@end deffn
@geindex Reduction_Nand_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Nand_Operator}@anchor{cd0}
-@deffn {Attribute} Reduction_Nand_Operator = 148
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Nand_Operator}@anchor{11c5}
+@deffn {Attribute} Reduction_Nand_Operator = 150
@end deffn
@geindex Reduction_Nor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Nor_Operator}@anchor{cd1}
-@deffn {Attribute} Reduction_Nor_Operator = 149
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Nor_Operator}@anchor{11c6}
+@deffn {Attribute} Reduction_Nor_Operator = 151
@end deffn
@geindex Reduction_Xor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Xor_Operator}@anchor{cd2}
-@deffn {Attribute} Reduction_Xor_Operator = 150
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Xor_Operator}@anchor{11c7}
+@deffn {Attribute} Reduction_Xor_Operator = 152
@end deffn
@geindex Reduction_Xnor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Xnor_Operator}@anchor{cd3}
-@deffn {Attribute} Reduction_Xnor_Operator = 151
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reduction_Xnor_Operator}@anchor{11c8}
+@deffn {Attribute} Reduction_Xnor_Operator = 153
@end deffn
@geindex And_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind And_Operator}@anchor{cd4}
-@deffn {Attribute} And_Operator = 152
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind And_Operator}@anchor{11c9}
+@deffn {Attribute} And_Operator = 154
@end deffn
@geindex Or_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Or_Operator}@anchor{cd5}
-@deffn {Attribute} Or_Operator = 153
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Or_Operator}@anchor{11ca}
+@deffn {Attribute} Or_Operator = 155
@end deffn
@geindex Nand_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nand_Operator}@anchor{cd6}
-@deffn {Attribute} Nand_Operator = 154
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nand_Operator}@anchor{11cb}
+@deffn {Attribute} Nand_Operator = 156
@end deffn
@geindex Nor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nor_Operator}@anchor{cd7}
-@deffn {Attribute} Nor_Operator = 155
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nor_Operator}@anchor{11cc}
+@deffn {Attribute} Nor_Operator = 157
@end deffn
@geindex Xor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Xor_Operator}@anchor{cd8}
-@deffn {Attribute} Xor_Operator = 156
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Xor_Operator}@anchor{11cd}
+@deffn {Attribute} Xor_Operator = 158
@end deffn
@geindex Xnor_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Xnor_Operator}@anchor{cd9}
-@deffn {Attribute} Xnor_Operator = 157
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Xnor_Operator}@anchor{11ce}
+@deffn {Attribute} Xnor_Operator = 159
@end deffn
@geindex Equality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Equality_Operator}@anchor{cda}
-@deffn {Attribute} Equality_Operator = 158
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Equality_Operator}@anchor{11cf}
+@deffn {Attribute} Equality_Operator = 160
@end deffn
@geindex Inequality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Inequality_Operator}@anchor{cdb}
-@deffn {Attribute} Inequality_Operator = 159
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Inequality_Operator}@anchor{11d0}
+@deffn {Attribute} Inequality_Operator = 161
@end deffn
@geindex Less_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Less_Than_Operator}@anchor{cdc}
-@deffn {Attribute} Less_Than_Operator = 160
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Less_Than_Operator}@anchor{11d1}
+@deffn {Attribute} Less_Than_Operator = 162
@end deffn
@geindex Less_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Less_Than_Or_Equal_Operator}@anchor{cdd}
-@deffn {Attribute} Less_Than_Or_Equal_Operator = 161
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Less_Than_Or_Equal_Operator}@anchor{11d2}
+@deffn {Attribute} Less_Than_Or_Equal_Operator = 163
@end deffn
@geindex Greater_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Greater_Than_Operator}@anchor{cde}
-@deffn {Attribute} Greater_Than_Operator = 162
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Greater_Than_Operator}@anchor{11d3}
+@deffn {Attribute} Greater_Than_Operator = 164
@end deffn
@geindex Greater_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Greater_Than_Or_Equal_Operator}@anchor{cdf}
-@deffn {Attribute} Greater_Than_Or_Equal_Operator = 163
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Greater_Than_Or_Equal_Operator}@anchor{11d4}
+@deffn {Attribute} Greater_Than_Or_Equal_Operator = 165
@end deffn
@geindex Match_Equality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Equality_Operator}@anchor{ce0}
-@deffn {Attribute} Match_Equality_Operator = 164
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Equality_Operator}@anchor{11d5}
+@deffn {Attribute} Match_Equality_Operator = 166
@end deffn
@geindex Match_Inequality_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Inequality_Operator}@anchor{ce1}
-@deffn {Attribute} Match_Inequality_Operator = 165
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Inequality_Operator}@anchor{11d6}
+@deffn {Attribute} Match_Inequality_Operator = 167
@end deffn
@geindex Match_Less_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Less_Than_Operator}@anchor{ce2}
-@deffn {Attribute} Match_Less_Than_Operator = 166
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Less_Than_Operator}@anchor{11d7}
+@deffn {Attribute} Match_Less_Than_Operator = 168
@end deffn
@geindex Match_Less_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Less_Than_Or_Equal_Operator}@anchor{ce3}
-@deffn {Attribute} Match_Less_Than_Or_Equal_Operator = 167
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Less_Than_Or_Equal_Operator}@anchor{11d8}
+@deffn {Attribute} Match_Less_Than_Or_Equal_Operator = 169
@end deffn
@geindex Match_Greater_Than_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Greater_Than_Operator}@anchor{ce4}
-@deffn {Attribute} Match_Greater_Than_Operator = 168
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Greater_Than_Operator}@anchor{11d9}
+@deffn {Attribute} Match_Greater_Than_Operator = 170
@end deffn
@geindex Match_Greater_Than_Or_Equal_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Greater_Than_Or_Equal_Operator}@anchor{ce5}
-@deffn {Attribute} Match_Greater_Than_Or_Equal_Operator = 169
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Match_Greater_Than_Or_Equal_Operator}@anchor{11da}
+@deffn {Attribute} Match_Greater_Than_Or_Equal_Operator = 171
@end deffn
@geindex Sll_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sll_Operator}@anchor{ce6}
-@deffn {Attribute} Sll_Operator = 170
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sll_Operator}@anchor{11db}
+@deffn {Attribute} Sll_Operator = 172
@end deffn
@geindex Sla_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sla_Operator}@anchor{ce7}
-@deffn {Attribute} Sla_Operator = 171
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sla_Operator}@anchor{11dc}
+@deffn {Attribute} Sla_Operator = 173
@end deffn
@geindex Srl_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Srl_Operator}@anchor{ce8}
-@deffn {Attribute} Srl_Operator = 172
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Srl_Operator}@anchor{11dd}
+@deffn {Attribute} Srl_Operator = 174
@end deffn
@geindex Sra_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sra_Operator}@anchor{ce9}
-@deffn {Attribute} Sra_Operator = 173
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sra_Operator}@anchor{11de}
+@deffn {Attribute} Sra_Operator = 175
@end deffn
@geindex Rol_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Rol_Operator}@anchor{cea}
-@deffn {Attribute} Rol_Operator = 174
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Rol_Operator}@anchor{11df}
+@deffn {Attribute} Rol_Operator = 176
@end deffn
@geindex Ror_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ror_Operator}@anchor{ceb}
-@deffn {Attribute} Ror_Operator = 175
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ror_Operator}@anchor{11e0}
+@deffn {Attribute} Ror_Operator = 177
@end deffn
@geindex Addition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Addition_Operator}@anchor{cec}
-@deffn {Attribute} Addition_Operator = 176
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Addition_Operator}@anchor{11e1}
+@deffn {Attribute} Addition_Operator = 178
@end deffn
@geindex Substraction_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Substraction_Operator}@anchor{ced}
-@deffn {Attribute} Substraction_Operator = 177
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Substraction_Operator}@anchor{11e2}
+@deffn {Attribute} Substraction_Operator = 179
@end deffn
@geindex Concatenation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concatenation_Operator}@anchor{cee}
-@deffn {Attribute} Concatenation_Operator = 178
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concatenation_Operator}@anchor{11e3}
+@deffn {Attribute} Concatenation_Operator = 180
@end deffn
@geindex Multiplication_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Multiplication_Operator}@anchor{cef}
-@deffn {Attribute} Multiplication_Operator = 179
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Multiplication_Operator}@anchor{11e4}
+@deffn {Attribute} Multiplication_Operator = 181
@end deffn
@geindex Division_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Division_Operator}@anchor{cf0}
-@deffn {Attribute} Division_Operator = 180
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Division_Operator}@anchor{11e5}
+@deffn {Attribute} Division_Operator = 182
@end deffn
@geindex Modulus_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Modulus_Operator}@anchor{cf1}
-@deffn {Attribute} Modulus_Operator = 181
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Modulus_Operator}@anchor{11e6}
+@deffn {Attribute} Modulus_Operator = 183
@end deffn
@geindex Remainder_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Remainder_Operator}@anchor{cf2}
-@deffn {Attribute} Remainder_Operator = 182
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Remainder_Operator}@anchor{11e7}
+@deffn {Attribute} Remainder_Operator = 184
@end deffn
@geindex Exponentiation_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Exponentiation_Operator}@anchor{cf3}
-@deffn {Attribute} Exponentiation_Operator = 183
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Exponentiation_Operator}@anchor{11e8}
+@deffn {Attribute} Exponentiation_Operator = 185
@end deffn
@geindex Function_Call (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Call}@anchor{cf4}
-@deffn {Attribute} Function_Call = 184
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Function_Call}@anchor{11e9}
+@deffn {Attribute} Function_Call = 186
@end deffn
@geindex Aggregate (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Aggregate}@anchor{cf5}
-@deffn {Attribute} Aggregate = 185
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Aggregate}@anchor{11ea}
+@deffn {Attribute} Aggregate = 187
@end deffn
@geindex Parenthesis_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Parenthesis_Expression}@anchor{cf6}
-@deffn {Attribute} Parenthesis_Expression = 186
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Parenthesis_Expression}@anchor{11eb}
+@deffn {Attribute} Parenthesis_Expression = 188
@end deffn
@geindex Qualified_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Qualified_Expression}@anchor{cf7}
-@deffn {Attribute} Qualified_Expression = 187
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Qualified_Expression}@anchor{11ec}
+@deffn {Attribute} Qualified_Expression = 189
@end deffn
@geindex Type_Conversion (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Type_Conversion}@anchor{cf8}
-@deffn {Attribute} Type_Conversion = 188
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Type_Conversion}@anchor{11ed}
+@deffn {Attribute} Type_Conversion = 190
@end deffn
@geindex Allocator_By_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Allocator_By_Expression}@anchor{cf9}
-@deffn {Attribute} Allocator_By_Expression = 189
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Allocator_By_Expression}@anchor{11ee}
+@deffn {Attribute} Allocator_By_Expression = 191
@end deffn
@geindex Allocator_By_Subtype (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Allocator_By_Subtype}@anchor{cfa}
-@deffn {Attribute} Allocator_By_Subtype = 190
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Allocator_By_Subtype}@anchor{11ef}
+@deffn {Attribute} Allocator_By_Subtype = 192
@end deffn
@geindex Selected_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Element}@anchor{cfb}
-@deffn {Attribute} Selected_Element = 191
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Element}@anchor{11f0}
+@deffn {Attribute} Selected_Element = 193
@end deffn
@geindex Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Dereference}@anchor{cfc}
-@deffn {Attribute} Dereference = 192
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Dereference}@anchor{11f1}
+@deffn {Attribute} Dereference = 194
@end deffn
@geindex Implicit_Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Implicit_Dereference}@anchor{cfd}
-@deffn {Attribute} Implicit_Dereference = 193
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Implicit_Dereference}@anchor{11f2}
+@deffn {Attribute} Implicit_Dereference = 195
@end deffn
@geindex Slice_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Slice_Name}@anchor{cfe}
-@deffn {Attribute} Slice_Name = 194
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Slice_Name}@anchor{11f3}
+@deffn {Attribute} Slice_Name = 196
@end deffn
@geindex Indexed_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Indexed_Name}@anchor{cff}
-@deffn {Attribute} Indexed_Name = 195
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Indexed_Name}@anchor{11f4}
+@deffn {Attribute} Indexed_Name = 197
@end deffn
@geindex Psl_Prev (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Prev}@anchor{d00}
-@deffn {Attribute} Psl_Prev = 196
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Prev}@anchor{11f5}
+@deffn {Attribute} Psl_Prev = 198
@end deffn
@geindex Psl_Stable (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Stable}@anchor{d01}
-@deffn {Attribute} Psl_Stable = 197
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Stable}@anchor{11f6}
+@deffn {Attribute} Psl_Stable = 199
@end deffn
@geindex Psl_Rose (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Rose}@anchor{d02}
-@deffn {Attribute} Psl_Rose = 198
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Rose}@anchor{11f7}
+@deffn {Attribute} Psl_Rose = 200
@end deffn
@geindex Psl_Fell (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Fell}@anchor{d03}
-@deffn {Attribute} Psl_Fell = 199
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Fell}@anchor{11f8}
+@deffn {Attribute} Psl_Fell = 201
@end deffn
@geindex Psl_Onehot (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Onehot}@anchor{d04}
-@deffn {Attribute} Psl_Onehot = 200
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Onehot}@anchor{11f9}
+@deffn {Attribute} Psl_Onehot = 202
@end deffn
@geindex Psl_Onehot0 (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Onehot0}@anchor{d05}
-@deffn {Attribute} Psl_Onehot0 = 201
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Onehot0}@anchor{11fa}
+@deffn {Attribute} Psl_Onehot0 = 203
@end deffn
@geindex Psl_Expression (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Expression}@anchor{d06}
-@deffn {Attribute} Psl_Expression = 202
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Expression}@anchor{11fb}
+@deffn {Attribute} Psl_Expression = 204
@end deffn
@geindex Sensitized_Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sensitized_Process_Statement}@anchor{d07}
-@deffn {Attribute} Sensitized_Process_Statement = 203
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Sensitized_Process_Statement}@anchor{11fc}
+@deffn {Attribute} Sensitized_Process_Statement = 205
@end deffn
@geindex Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Process_Statement}@anchor{d08}
-@deffn {Attribute} Process_Statement = 204
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Process_Statement}@anchor{11fd}
+@deffn {Attribute} Process_Statement = 206
@end deffn
@geindex Concurrent_Simple_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Simple_Signal_Assignment}@anchor{d09}
-@deffn {Attribute} Concurrent_Simple_Signal_Assignment = 205
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Simple_Signal_Assignment}@anchor{11fe}
+@deffn {Attribute} Concurrent_Simple_Signal_Assignment = 207
@end deffn
@geindex Concurrent_Conditional_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Conditional_Signal_Assignment}@anchor{d0a}
-@deffn {Attribute} Concurrent_Conditional_Signal_Assignment = 206
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Conditional_Signal_Assignment}@anchor{11ff}
+@deffn {Attribute} Concurrent_Conditional_Signal_Assignment = 208
@end deffn
@geindex Concurrent_Selected_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Selected_Signal_Assignment}@anchor{d0b}
-@deffn {Attribute} Concurrent_Selected_Signal_Assignment = 207
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Selected_Signal_Assignment}@anchor{1200}
+@deffn {Attribute} Concurrent_Selected_Signal_Assignment = 209
@end deffn
@geindex Concurrent_Assertion_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Assertion_Statement}@anchor{d0c}
-@deffn {Attribute} Concurrent_Assertion_Statement = 208
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Assertion_Statement}@anchor{1201}
+@deffn {Attribute} Concurrent_Assertion_Statement = 210
@end deffn
@geindex Concurrent_Procedure_Call_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Procedure_Call_Statement}@anchor{d0d}
-@deffn {Attribute} Concurrent_Procedure_Call_Statement = 209
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Procedure_Call_Statement}@anchor{1202}
+@deffn {Attribute} Concurrent_Procedure_Call_Statement = 211
@end deffn
@geindex Concurrent_Break_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Break_Statement}@anchor{d0e}
-@deffn {Attribute} Concurrent_Break_Statement = 210
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Concurrent_Break_Statement}@anchor{1203}
+@deffn {Attribute} Concurrent_Break_Statement = 212
@end deffn
@geindex Psl_Assert_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Assert_Directive}@anchor{d0f}
-@deffn {Attribute} Psl_Assert_Directive = 211
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Assert_Directive}@anchor{1204}
+@deffn {Attribute} Psl_Assert_Directive = 213
@end deffn
@geindex Psl_Assume_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Assume_Directive}@anchor{d10}
-@deffn {Attribute} Psl_Assume_Directive = 212
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Assume_Directive}@anchor{1205}
+@deffn {Attribute} Psl_Assume_Directive = 214
@end deffn
@geindex Psl_Cover_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Cover_Directive}@anchor{d11}
-@deffn {Attribute} Psl_Cover_Directive = 213
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Cover_Directive}@anchor{1206}
+@deffn {Attribute} Psl_Cover_Directive = 215
@end deffn
@geindex Psl_Restrict_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Restrict_Directive}@anchor{d12}
-@deffn {Attribute} Psl_Restrict_Directive = 214
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Restrict_Directive}@anchor{1207}
+@deffn {Attribute} Psl_Restrict_Directive = 216
@end deffn
@geindex Block_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Statement}@anchor{d13}
-@deffn {Attribute} Block_Statement = 215
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Block_Statement}@anchor{1208}
+@deffn {Attribute} Block_Statement = 217
@end deffn
@geindex If_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Generate_Statement}@anchor{d14}
-@deffn {Attribute} If_Generate_Statement = 216
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Generate_Statement}@anchor{1209}
+@deffn {Attribute} If_Generate_Statement = 218
@end deffn
@geindex Case_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Case_Generate_Statement}@anchor{d15}
-@deffn {Attribute} Case_Generate_Statement = 217
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Case_Generate_Statement}@anchor{120a}
+@deffn {Attribute} Case_Generate_Statement = 219
@end deffn
@geindex For_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind For_Generate_Statement}@anchor{d16}
-@deffn {Attribute} For_Generate_Statement = 218
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind For_Generate_Statement}@anchor{120b}
+@deffn {Attribute} For_Generate_Statement = 220
@end deffn
@geindex Component_Instantiation_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Instantiation_Statement}@anchor{d17}
-@deffn {Attribute} Component_Instantiation_Statement = 219
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Component_Instantiation_Statement}@anchor{120c}
+@deffn {Attribute} Component_Instantiation_Statement = 221
@end deffn
@geindex Psl_Default_Clock (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Default_Clock}@anchor{d18}
-@deffn {Attribute} Psl_Default_Clock = 220
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Psl_Default_Clock}@anchor{120d}
+@deffn {Attribute} Psl_Default_Clock = 222
@end deffn
@geindex Generate_Statement_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Generate_Statement_Body}@anchor{d19}
-@deffn {Attribute} Generate_Statement_Body = 221
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Generate_Statement_Body}@anchor{120e}
+@deffn {Attribute} Generate_Statement_Body = 223
@end deffn
@geindex If_Generate_Else_Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Generate_Else_Clause}@anchor{d1a}
-@deffn {Attribute} If_Generate_Else_Clause = 222
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Generate_Else_Clause}@anchor{120f}
+@deffn {Attribute} If_Generate_Else_Clause = 224
@end deffn
@geindex Simple_Simultaneous_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Simultaneous_Statement}@anchor{d1b}
-@deffn {Attribute} Simple_Simultaneous_Statement = 223
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Simultaneous_Statement}@anchor{1210}
+@deffn {Attribute} Simple_Simultaneous_Statement = 225
@end deffn
@geindex Simultaneous_Null_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Null_Statement}@anchor{d1c}
-@deffn {Attribute} Simultaneous_Null_Statement = 224
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Null_Statement}@anchor{1211}
+@deffn {Attribute} Simultaneous_Null_Statement = 226
@end deffn
@geindex Simultaneous_Procedural_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Procedural_Statement}@anchor{d1d}
-@deffn {Attribute} Simultaneous_Procedural_Statement = 225
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Procedural_Statement}@anchor{1212}
+@deffn {Attribute} Simultaneous_Procedural_Statement = 227
@end deffn
@geindex Simultaneous_Case_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Case_Statement}@anchor{d1e}
-@deffn {Attribute} Simultaneous_Case_Statement = 226
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Case_Statement}@anchor{1213}
+@deffn {Attribute} Simultaneous_Case_Statement = 228
@end deffn
@geindex Simultaneous_If_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_If_Statement}@anchor{d1f}
-@deffn {Attribute} Simultaneous_If_Statement = 227
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_If_Statement}@anchor{1214}
+@deffn {Attribute} Simultaneous_If_Statement = 229
@end deffn
@geindex Simultaneous_Elsif (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Elsif}@anchor{d20}
-@deffn {Attribute} Simultaneous_Elsif = 228
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simultaneous_Elsif}@anchor{1215}
+@deffn {Attribute} Simultaneous_Elsif = 230
@end deffn
@geindex Simple_Signal_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Signal_Assignment_Statement}@anchor{d21}
-@deffn {Attribute} Simple_Signal_Assignment_Statement = 229
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Signal_Assignment_Statement}@anchor{1216}
+@deffn {Attribute} Simple_Signal_Assignment_Statement = 231
@end deffn
@geindex Conditional_Signal_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Signal_Assignment_Statement}@anchor{d22}
-@deffn {Attribute} Conditional_Signal_Assignment_Statement = 230
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Signal_Assignment_Statement}@anchor{1217}
+@deffn {Attribute} Conditional_Signal_Assignment_Statement = 232
@end deffn
@geindex Selected_Waveform_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Waveform_Assignment_Statement}@anchor{d23}
-@deffn {Attribute} Selected_Waveform_Assignment_Statement = 231
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Waveform_Assignment_Statement}@anchor{1218}
+@deffn {Attribute} Selected_Waveform_Assignment_Statement = 233
@end deffn
@geindex Signal_Force_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Force_Assignment_Statement}@anchor{d24}
-@deffn {Attribute} Signal_Force_Assignment_Statement = 232
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Force_Assignment_Statement}@anchor{1219}
+@deffn {Attribute} Signal_Force_Assignment_Statement = 234
@end deffn
@geindex Signal_Release_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Release_Assignment_Statement}@anchor{d25}
-@deffn {Attribute} Signal_Release_Assignment_Statement = 233
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Release_Assignment_Statement}@anchor{121a}
+@deffn {Attribute} Signal_Release_Assignment_Statement = 235
@end deffn
@geindex Null_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Null_Statement}@anchor{d26}
-@deffn {Attribute} Null_Statement = 234
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Null_Statement}@anchor{121b}
+@deffn {Attribute} Null_Statement = 236
@end deffn
@geindex Assertion_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Assertion_Statement}@anchor{d27}
-@deffn {Attribute} Assertion_Statement = 235
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Assertion_Statement}@anchor{121c}
+@deffn {Attribute} Assertion_Statement = 237
@end deffn
@geindex Report_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Report_Statement}@anchor{d28}
-@deffn {Attribute} Report_Statement = 236
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Report_Statement}@anchor{121d}
+@deffn {Attribute} Report_Statement = 238
@end deffn
@geindex Wait_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Wait_Statement}@anchor{d29}
-@deffn {Attribute} Wait_Statement = 237
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Wait_Statement}@anchor{121e}
+@deffn {Attribute} Wait_Statement = 239
@end deffn
@geindex Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Variable_Assignment_Statement}@anchor{d2a}
-@deffn {Attribute} Variable_Assignment_Statement = 238
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Variable_Assignment_Statement}@anchor{121f}
+@deffn {Attribute} Variable_Assignment_Statement = 240
@end deffn
@geindex Conditional_Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Variable_Assignment_Statement}@anchor{d2b}
-@deffn {Attribute} Conditional_Variable_Assignment_Statement = 239
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Conditional_Variable_Assignment_Statement}@anchor{1220}
+@deffn {Attribute} Conditional_Variable_Assignment_Statement = 241
@end deffn
@geindex Return_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Return_Statement}@anchor{d2c}
-@deffn {Attribute} Return_Statement = 240
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Return_Statement}@anchor{1221}
+@deffn {Attribute} Return_Statement = 242
@end deffn
@geindex For_Loop_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind For_Loop_Statement}@anchor{d2d}
-@deffn {Attribute} For_Loop_Statement = 241
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind For_Loop_Statement}@anchor{1222}
+@deffn {Attribute} For_Loop_Statement = 243
@end deffn
@geindex While_Loop_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind While_Loop_Statement}@anchor{d2e}
-@deffn {Attribute} While_Loop_Statement = 242
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind While_Loop_Statement}@anchor{1223}
+@deffn {Attribute} While_Loop_Statement = 244
@end deffn
@geindex Next_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Next_Statement}@anchor{d2f}
-@deffn {Attribute} Next_Statement = 243
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Next_Statement}@anchor{1224}
+@deffn {Attribute} Next_Statement = 245
@end deffn
@geindex Exit_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Exit_Statement}@anchor{d30}
-@deffn {Attribute} Exit_Statement = 244
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Exit_Statement}@anchor{1225}
+@deffn {Attribute} Exit_Statement = 246
@end deffn
@geindex Case_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Case_Statement}@anchor{d31}
-@deffn {Attribute} Case_Statement = 245
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Case_Statement}@anchor{1226}
+@deffn {Attribute} Case_Statement = 247
@end deffn
@geindex Procedure_Call_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Call_Statement}@anchor{d32}
-@deffn {Attribute} Procedure_Call_Statement = 246
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Procedure_Call_Statement}@anchor{1227}
+@deffn {Attribute} Procedure_Call_Statement = 248
@end deffn
@geindex Break_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Break_Statement}@anchor{d33}
-@deffn {Attribute} Break_Statement = 247
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Break_Statement}@anchor{1228}
+@deffn {Attribute} Break_Statement = 249
@end deffn
@geindex If_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Statement}@anchor{d34}
-@deffn {Attribute} If_Statement = 248
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind If_Statement}@anchor{1229}
+@deffn {Attribute} If_Statement = 250
@end deffn
@geindex Elsif (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Elsif}@anchor{d35}
-@deffn {Attribute} Elsif = 249
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Elsif}@anchor{122a}
+@deffn {Attribute} Elsif = 251
@end deffn
@geindex Character_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Character_Literal}@anchor{d36}
-@deffn {Attribute} Character_Literal = 250
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Character_Literal}@anchor{122b}
+@deffn {Attribute} Character_Literal = 252
@end deffn
@geindex Simple_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Name}@anchor{d37}
-@deffn {Attribute} Simple_Name = 251
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Name}@anchor{122c}
+@deffn {Attribute} Simple_Name = 253
@end deffn
@geindex Selected_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Name}@anchor{d38}
-@deffn {Attribute} Selected_Name = 252
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_Name}@anchor{122d}
+@deffn {Attribute} Selected_Name = 254
@end deffn
@geindex Operator_Symbol (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Operator_Symbol}@anchor{d39}
-@deffn {Attribute} Operator_Symbol = 253
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Operator_Symbol}@anchor{122e}
+@deffn {Attribute} Operator_Symbol = 255
@end deffn
@geindex Reference_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reference_Name}@anchor{d3a}
-@deffn {Attribute} Reference_Name = 254
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reference_Name}@anchor{122f}
+@deffn {Attribute} Reference_Name = 256
@end deffn
@geindex External_Constant_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Constant_Name}@anchor{d3b}
-@deffn {Attribute} External_Constant_Name = 255
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Constant_Name}@anchor{1230}
+@deffn {Attribute} External_Constant_Name = 257
@end deffn
@geindex External_Signal_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Signal_Name}@anchor{d3c}
-@deffn {Attribute} External_Signal_Name = 256
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Signal_Name}@anchor{1231}
+@deffn {Attribute} External_Signal_Name = 258
@end deffn
@geindex External_Variable_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Variable_Name}@anchor{d3d}
-@deffn {Attribute} External_Variable_Name = 257
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind External_Variable_Name}@anchor{1232}
+@deffn {Attribute} External_Variable_Name = 259
@end deffn
@geindex Selected_By_All_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_By_All_Name}@anchor{d3e}
-@deffn {Attribute} Selected_By_All_Name = 258
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Selected_By_All_Name}@anchor{1233}
+@deffn {Attribute} Selected_By_All_Name = 260
@end deffn
@geindex Parenthesis_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Parenthesis_Name}@anchor{d3f}
-@deffn {Attribute} Parenthesis_Name = 259
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Parenthesis_Name}@anchor{1234}
+@deffn {Attribute} Parenthesis_Name = 261
@end deffn
@geindex Package_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Pathname}@anchor{d40}
-@deffn {Attribute} Package_Pathname = 260
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Package_Pathname}@anchor{1235}
+@deffn {Attribute} Package_Pathname = 262
@end deffn
@geindex Absolute_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Absolute_Pathname}@anchor{d41}
-@deffn {Attribute} Absolute_Pathname = 261
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Absolute_Pathname}@anchor{1236}
+@deffn {Attribute} Absolute_Pathname = 263
@end deffn
@geindex Relative_Pathname (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Relative_Pathname}@anchor{d42}
-@deffn {Attribute} Relative_Pathname = 262
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Relative_Pathname}@anchor{1237}
+@deffn {Attribute} Relative_Pathname = 264
@end deffn
@geindex Pathname_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pathname_Element}@anchor{d43}
-@deffn {Attribute} Pathname_Element = 263
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pathname_Element}@anchor{1238}
+@deffn {Attribute} Pathname_Element = 265
@end deffn
@geindex Base_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Base_Attribute}@anchor{d44}
-@deffn {Attribute} Base_Attribute = 264
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Base_Attribute}@anchor{1239}
+@deffn {Attribute} Base_Attribute = 266
@end deffn
@geindex Subtype_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Attribute}@anchor{d45}
-@deffn {Attribute} Subtype_Attribute = 265
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Subtype_Attribute}@anchor{123a}
+@deffn {Attribute} Subtype_Attribute = 267
@end deffn
@geindex Element_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Element_Attribute}@anchor{d46}
-@deffn {Attribute} Element_Attribute = 266
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Element_Attribute}@anchor{123b}
+@deffn {Attribute} Element_Attribute = 268
@end deffn
@geindex Across_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Across_Attribute}@anchor{d47}
-@deffn {Attribute} Across_Attribute = 267
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Across_Attribute}@anchor{123c}
+@deffn {Attribute} Across_Attribute = 269
@end deffn
@geindex Through_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Through_Attribute}@anchor{d48}
-@deffn {Attribute} Through_Attribute = 268
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Through_Attribute}@anchor{123d}
+@deffn {Attribute} Through_Attribute = 270
@end deffn
@geindex Nature_Reference_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Reference_Attribute}@anchor{d49}
-@deffn {Attribute} Nature_Reference_Attribute = 269
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Nature_Reference_Attribute}@anchor{123e}
+@deffn {Attribute} Nature_Reference_Attribute = 271
@end deffn
@geindex Left_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Left_Type_Attribute}@anchor{d4a}
-@deffn {Attribute} Left_Type_Attribute = 270
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Left_Type_Attribute}@anchor{123f}
+@deffn {Attribute} Left_Type_Attribute = 272
@end deffn
@geindex Right_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Right_Type_Attribute}@anchor{d4b}
-@deffn {Attribute} Right_Type_Attribute = 271
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Right_Type_Attribute}@anchor{1240}
+@deffn {Attribute} Right_Type_Attribute = 273
@end deffn
@geindex High_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind High_Type_Attribute}@anchor{d4c}
-@deffn {Attribute} High_Type_Attribute = 272
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind High_Type_Attribute}@anchor{1241}
+@deffn {Attribute} High_Type_Attribute = 274
@end deffn
@geindex Low_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Low_Type_Attribute}@anchor{d4d}
-@deffn {Attribute} Low_Type_Attribute = 273
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Low_Type_Attribute}@anchor{1242}
+@deffn {Attribute} Low_Type_Attribute = 275
@end deffn
@geindex Ascending_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ascending_Type_Attribute}@anchor{d4e}
-@deffn {Attribute} Ascending_Type_Attribute = 274
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ascending_Type_Attribute}@anchor{1243}
+@deffn {Attribute} Ascending_Type_Attribute = 276
@end deffn
@geindex Image_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Image_Attribute}@anchor{d4f}
-@deffn {Attribute} Image_Attribute = 275
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Image_Attribute}@anchor{1244}
+@deffn {Attribute} Image_Attribute = 277
@end deffn
@geindex Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Value_Attribute}@anchor{d50}
-@deffn {Attribute} Value_Attribute = 276
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Value_Attribute}@anchor{1245}
+@deffn {Attribute} Value_Attribute = 278
@end deffn
@geindex Pos_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pos_Attribute}@anchor{d51}
-@deffn {Attribute} Pos_Attribute = 277
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pos_Attribute}@anchor{1246}
+@deffn {Attribute} Pos_Attribute = 279
@end deffn
@geindex Val_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Val_Attribute}@anchor{d52}
-@deffn {Attribute} Val_Attribute = 278
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Val_Attribute}@anchor{1247}
+@deffn {Attribute} Val_Attribute = 280
@end deffn
@geindex Succ_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Succ_Attribute}@anchor{d53}
-@deffn {Attribute} Succ_Attribute = 279
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Succ_Attribute}@anchor{1248}
+@deffn {Attribute} Succ_Attribute = 281
@end deffn
@geindex Pred_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pred_Attribute}@anchor{d54}
-@deffn {Attribute} Pred_Attribute = 280
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Pred_Attribute}@anchor{1249}
+@deffn {Attribute} Pred_Attribute = 282
@end deffn
@geindex Leftof_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Leftof_Attribute}@anchor{d55}
-@deffn {Attribute} Leftof_Attribute = 281
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Leftof_Attribute}@anchor{124a}
+@deffn {Attribute} Leftof_Attribute = 283
@end deffn
@geindex Rightof_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Rightof_Attribute}@anchor{d56}
-@deffn {Attribute} Rightof_Attribute = 282
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Rightof_Attribute}@anchor{124b}
+@deffn {Attribute} Rightof_Attribute = 284
@end deffn
@geindex Signal_Slew_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Slew_Attribute}@anchor{d57}
-@deffn {Attribute} Signal_Slew_Attribute = 283
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Signal_Slew_Attribute}@anchor{124c}
+@deffn {Attribute} Signal_Slew_Attribute = 285
@end deffn
@geindex Quantity_Slew_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quantity_Slew_Attribute}@anchor{d58}
-@deffn {Attribute} Quantity_Slew_Attribute = 284
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quantity_Slew_Attribute}@anchor{124d}
+@deffn {Attribute} Quantity_Slew_Attribute = 286
@end deffn
@geindex Ramp_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ramp_Attribute}@anchor{d59}
-@deffn {Attribute} Ramp_Attribute = 285
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ramp_Attribute}@anchor{124e}
+@deffn {Attribute} Ramp_Attribute = 287
@end deffn
@geindex Zoh_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Zoh_Attribute}@anchor{d5a}
-@deffn {Attribute} Zoh_Attribute = 286
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Zoh_Attribute}@anchor{124f}
+@deffn {Attribute} Zoh_Attribute = 288
@end deffn
@geindex Ltf_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ltf_Attribute}@anchor{d5b}
-@deffn {Attribute} Ltf_Attribute = 287
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ltf_Attribute}@anchor{1250}
+@deffn {Attribute} Ltf_Attribute = 289
@end deffn
@geindex Ztf_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ztf_Attribute}@anchor{d5c}
-@deffn {Attribute} Ztf_Attribute = 288
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ztf_Attribute}@anchor{1251}
+@deffn {Attribute} Ztf_Attribute = 290
@end deffn
@geindex Dot_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Dot_Attribute}@anchor{d5d}
-@deffn {Attribute} Dot_Attribute = 289
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Dot_Attribute}@anchor{1252}
+@deffn {Attribute} Dot_Attribute = 291
@end deffn
@geindex Integ_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integ_Attribute}@anchor{d5e}
-@deffn {Attribute} Integ_Attribute = 290
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Integ_Attribute}@anchor{1253}
+@deffn {Attribute} Integ_Attribute = 292
@end deffn
@geindex Above_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Above_Attribute}@anchor{d5f}
-@deffn {Attribute} Above_Attribute = 291
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Above_Attribute}@anchor{1254}
+@deffn {Attribute} Above_Attribute = 293
@end deffn
@geindex Quantity_Delayed_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quantity_Delayed_Attribute}@anchor{d60}
-@deffn {Attribute} Quantity_Delayed_Attribute = 292
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quantity_Delayed_Attribute}@anchor{1255}
+@deffn {Attribute} Quantity_Delayed_Attribute = 294
@end deffn
@geindex Delayed_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Delayed_Attribute}@anchor{d61}
-@deffn {Attribute} Delayed_Attribute = 293
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Delayed_Attribute}@anchor{1256}
+@deffn {Attribute} Delayed_Attribute = 295
@end deffn
@geindex Stable_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Stable_Attribute}@anchor{d62}
-@deffn {Attribute} Stable_Attribute = 294
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Stable_Attribute}@anchor{1257}
+@deffn {Attribute} Stable_Attribute = 296
@end deffn
@geindex Quiet_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quiet_Attribute}@anchor{d63}
-@deffn {Attribute} Quiet_Attribute = 295
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Quiet_Attribute}@anchor{1258}
+@deffn {Attribute} Quiet_Attribute = 297
@end deffn
@geindex Transaction_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Transaction_Attribute}@anchor{d64}
-@deffn {Attribute} Transaction_Attribute = 296
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Transaction_Attribute}@anchor{1259}
+@deffn {Attribute} Transaction_Attribute = 298
@end deffn
@geindex Event_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Event_Attribute}@anchor{d65}
-@deffn {Attribute} Event_Attribute = 297
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Event_Attribute}@anchor{125a}
+@deffn {Attribute} Event_Attribute = 299
@end deffn
@geindex Active_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Active_Attribute}@anchor{d66}
-@deffn {Attribute} Active_Attribute = 298
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Active_Attribute}@anchor{125b}
+@deffn {Attribute} Active_Attribute = 300
@end deffn
@geindex Last_Event_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Event_Attribute}@anchor{d67}
-@deffn {Attribute} Last_Event_Attribute = 299
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Event_Attribute}@anchor{125c}
+@deffn {Attribute} Last_Event_Attribute = 301
@end deffn
@geindex Last_Active_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Active_Attribute}@anchor{d68}
-@deffn {Attribute} Last_Active_Attribute = 300
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Active_Attribute}@anchor{125d}
+@deffn {Attribute} Last_Active_Attribute = 302
@end deffn
@geindex Last_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Value_Attribute}@anchor{d69}
-@deffn {Attribute} Last_Value_Attribute = 301
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Last_Value_Attribute}@anchor{125e}
+@deffn {Attribute} Last_Value_Attribute = 303
@end deffn
@geindex Driving_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Driving_Attribute}@anchor{d6a}
-@deffn {Attribute} Driving_Attribute = 302
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Driving_Attribute}@anchor{125f}
+@deffn {Attribute} Driving_Attribute = 304
@end deffn
@geindex Driving_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Driving_Value_Attribute}@anchor{d6b}
-@deffn {Attribute} Driving_Value_Attribute = 303
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Driving_Value_Attribute}@anchor{1260}
+@deffn {Attribute} Driving_Value_Attribute = 305
@end deffn
@geindex Behavior_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Behavior_Attribute}@anchor{d6c}
-@deffn {Attribute} Behavior_Attribute = 304
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Behavior_Attribute}@anchor{1261}
+@deffn {Attribute} Behavior_Attribute = 306
@end deffn
@geindex Structure_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Structure_Attribute}@anchor{d6d}
-@deffn {Attribute} Structure_Attribute = 305
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Structure_Attribute}@anchor{1262}
+@deffn {Attribute} Structure_Attribute = 307
@end deffn
@geindex Simple_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Name_Attribute}@anchor{d6e}
-@deffn {Attribute} Simple_Name_Attribute = 306
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Simple_Name_Attribute}@anchor{1263}
+@deffn {Attribute} Simple_Name_Attribute = 308
@end deffn
@geindex Instance_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Instance_Name_Attribute}@anchor{d6f}
-@deffn {Attribute} Instance_Name_Attribute = 307
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Instance_Name_Attribute}@anchor{1264}
+@deffn {Attribute} Instance_Name_Attribute = 309
@end deffn
@geindex Path_Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Path_Name_Attribute}@anchor{d70}
-@deffn {Attribute} Path_Name_Attribute = 308
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Path_Name_Attribute}@anchor{1265}
+@deffn {Attribute} Path_Name_Attribute = 310
@end deffn
@geindex Left_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Left_Array_Attribute}@anchor{d71}
-@deffn {Attribute} Left_Array_Attribute = 309
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Left_Array_Attribute}@anchor{1266}
+@deffn {Attribute} Left_Array_Attribute = 311
@end deffn
@geindex Right_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Right_Array_Attribute}@anchor{d72}
-@deffn {Attribute} Right_Array_Attribute = 310
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Right_Array_Attribute}@anchor{1267}
+@deffn {Attribute} Right_Array_Attribute = 312
@end deffn
@geindex High_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind High_Array_Attribute}@anchor{d73}
-@deffn {Attribute} High_Array_Attribute = 311
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind High_Array_Attribute}@anchor{1268}
+@deffn {Attribute} High_Array_Attribute = 313
@end deffn
@geindex Low_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Low_Array_Attribute}@anchor{d74}
-@deffn {Attribute} Low_Array_Attribute = 312
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Low_Array_Attribute}@anchor{1269}
+@deffn {Attribute} Low_Array_Attribute = 314
@end deffn
@geindex Length_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Length_Array_Attribute}@anchor{d75}
-@deffn {Attribute} Length_Array_Attribute = 313
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Length_Array_Attribute}@anchor{126a}
+@deffn {Attribute} Length_Array_Attribute = 315
@end deffn
@geindex Ascending_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ascending_Array_Attribute}@anchor{d76}
-@deffn {Attribute} Ascending_Array_Attribute = 314
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Ascending_Array_Attribute}@anchor{126b}
+@deffn {Attribute} Ascending_Array_Attribute = 316
@end deffn
@geindex Range_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Range_Array_Attribute}@anchor{d77}
-@deffn {Attribute} Range_Array_Attribute = 315
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Range_Array_Attribute}@anchor{126c}
+@deffn {Attribute} Range_Array_Attribute = 317
@end deffn
@geindex Reverse_Range_Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reverse_Range_Array_Attribute}@anchor{d78}
-@deffn {Attribute} Reverse_Range_Array_Attribute = 316
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Reverse_Range_Array_Attribute}@anchor{126d}
+@deffn {Attribute} Reverse_Range_Array_Attribute = 318
@end deffn
@geindex Attribute_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kind attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Name}@anchor{d79}
-@deffn {Attribute} Attribute_Name = 317
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kind Attribute_Name}@anchor{126e}
+@deffn {Attribute} Attribute_Name = 319
@end deffn
@end deffn
@geindex Iir_Kinds (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds}@anchor{94d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds}@anchor{e3c}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Kinds
-
@subsubheading Inheritance
@image{inheritance-f9eb2c0f32773b6d6194b4ca03831243ef08158e,,,[graphviz],png}
@@ -26570,366 +36402,380 @@ An enumeration.
@geindex Library_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Library_Unit}@anchor{d7a}
-@deffn {Attribute} Library_Unit = [<Iir_Kind.Entity_Declaration: 81>, <Iir_Kind.Configuration_Declaration: 82>, <Iir_Kind.Context_Declaration: 83>, <Iir_Kind.Package_Declaration: 84>, <Iir_Kind.Package_Instantiation_Declaration: 85>, <Iir_Kind.Vmode_Declaration: 86>, <Iir_Kind.Vprop_Declaration: 87>, <Iir_Kind.Vunit_Declaration: 88>, <Iir_Kind.Package_Body: 89>, <Iir_Kind.Architecture_Body: 90>]
-@end deffn
-
-@geindex Design_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Design_Unit}@anchor{d7b}
-@deffn {Attribute} Design_Unit = [<Iir_Kind.Design_Unit: 3>, <Iir_Kind.Foreign_Module: 4>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Library_Unit}@anchor{126f}
+@deffn {Attribute} Library_Unit = [<Iir_Kind.Foreign_Module: 83>, <Iir_Kind.Entity_Declaration: 84>, <Iir_Kind.Configuration_Declaration: 85>, <Iir_Kind.Context_Declaration: 86>, <Iir_Kind.Package_Declaration: 87>, <Iir_Kind.Package_Instantiation_Declaration: 88>, <Iir_Kind.Vmode_Declaration: 89>, <Iir_Kind.Vprop_Declaration: 90>, <Iir_Kind.Vunit_Declaration: 91>, <Iir_Kind.Package_Body: 92>, <Iir_Kind.Architecture_Body: 93>]
@end deffn
@geindex Primary_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Primary_Unit}@anchor{d7c}
-@deffn {Attribute} Primary_Unit = [<Iir_Kind.Entity_Declaration: 81>, <Iir_Kind.Configuration_Declaration: 82>, <Iir_Kind.Context_Declaration: 83>, <Iir_Kind.Package_Declaration: 84>, <Iir_Kind.Package_Instantiation_Declaration: 85>, <Iir_Kind.Vmode_Declaration: 86>, <Iir_Kind.Vprop_Declaration: 87>, <Iir_Kind.Vunit_Declaration: 88>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Primary_Unit}@anchor{1270}
+@deffn {Attribute} Primary_Unit = [<Iir_Kind.Entity_Declaration: 84>, <Iir_Kind.Configuration_Declaration: 85>, <Iir_Kind.Context_Declaration: 86>, <Iir_Kind.Package_Declaration: 87>, <Iir_Kind.Package_Instantiation_Declaration: 88>, <Iir_Kind.Vmode_Declaration: 89>, <Iir_Kind.Vprop_Declaration: 90>, <Iir_Kind.Vunit_Declaration: 91>]
@end deffn
@geindex Secondary_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Secondary_Unit}@anchor{d7d}
-@deffn {Attribute} Secondary_Unit = [<Iir_Kind.Package_Body: 89>, <Iir_Kind.Architecture_Body: 90>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Secondary_Unit}@anchor{1271}
+@deffn {Attribute} Secondary_Unit = [<Iir_Kind.Package_Body: 92>, <Iir_Kind.Architecture_Body: 93>]
@end deffn
@geindex Package_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Package_Declaration}@anchor{d7e}
-@deffn {Attribute} Package_Declaration = [<Iir_Kind.Package_Declaration: 84>, <Iir_Kind.Package_Instantiation_Declaration: 85>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Package_Declaration}@anchor{1272}
+@deffn {Attribute} Package_Declaration = [<Iir_Kind.Package_Declaration: 87>, <Iir_Kind.Package_Instantiation_Declaration: 88>]
@end deffn
@geindex Verification_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Verification_Unit}@anchor{d7f}
-@deffn {Attribute} Verification_Unit = [<Iir_Kind.Vmode_Declaration: 86>, <Iir_Kind.Vprop_Declaration: 87>, <Iir_Kind.Vunit_Declaration: 88>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Verification_Unit}@anchor{1273}
+@deffn {Attribute} Verification_Unit = [<Iir_Kind.Vmode_Declaration: 89>, <Iir_Kind.Vprop_Declaration: 90>, <Iir_Kind.Vunit_Declaration: 91>]
@end deffn
@geindex Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Literal}@anchor{d80}
-@deffn {Attribute} Literal = [<Iir_Kind.Integer_Literal: 8>, <Iir_Kind.Floating_Point_Literal: 9>, <Iir_Kind.Null_Literal: 10>, <Iir_Kind.String_Literal8: 11>, <Iir_Kind.Physical_Int_Literal: 12>, <Iir_Kind.Physical_Fp_Literal: 13>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Literal}@anchor{1274}
+@deffn {Attribute} Literal = [<Iir_Kind.Integer_Literal: 8>, <Iir_Kind.Floating_Point_Literal: 9>, <Iir_Kind.Null_Literal: 10>, <Iir_Kind.String_Literal8: 11>, <Iir_Kind.Physical_Int_Literal: 12>, <Iir_Kind.Physical_Fp_Literal: 13>]
@end deffn
@geindex Physical_Literal (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Physical_Literal}@anchor{d81}
-@deffn {Attribute} Physical_Literal = [<Iir_Kind.Physical_Int_Literal: 12>, <Iir_Kind.Physical_Fp_Literal: 13>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Physical_Literal}@anchor{1275}
+@deffn {Attribute} Physical_Literal = [<Iir_Kind.Physical_Int_Literal: 12>, <Iir_Kind.Physical_Fp_Literal: 13>]
@end deffn
@geindex Array_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Type_Definition}@anchor{d82}
-@deffn {Attribute} Array_Type_Definition = [<Iir_Kind.Array_Type_Definition: 60>, <Iir_Kind.Array_Subtype_Definition: 61>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Type_Definition}@anchor{1276}
+@deffn {Attribute} Array_Type_Definition = [<Iir_Kind.Array_Type_Definition: 61>, <Iir_Kind.Array_Subtype_Definition: 62>]
@end deffn
@geindex Type_And_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_And_Subtype_Definition}@anchor{d83}
-@deffn {Attribute} Type_And_Subtype_Definition = [<Iir_Kind.Access_Type_Definition: 54>, <Iir_Kind.Incomplete_Type_Definition: 55>, <Iir_Kind.Interface_Type_Definition: 56>, <Iir_Kind.File_Type_Definition: 57>, <Iir_Kind.Protected_Type_Declaration: 58>, <Iir_Kind.Record_Type_Definition: 59>, <Iir_Kind.Array_Type_Definition: 60>, <Iir_Kind.Array_Subtype_Definition: 61>, <Iir_Kind.Record_Subtype_Definition: 62>, <Iir_Kind.Access_Subtype_Definition: 63>, <Iir_Kind.Physical_Subtype_Definition: 64>, <Iir_Kind.Floating_Subtype_Definition: 65>, <Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Type_Definition: 68>, <Iir_Kind.Integer_Type_Definition: 69>, <Iir_Kind.Floating_Type_Definition: 70>, <Iir_Kind.Physical_Type_Definition: 71>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_And_Subtype_Definition}@anchor{1277}
+@deffn {Attribute} Type_And_Subtype_Definition = [<Iir_Kind.Access_Type_Definition: 55>, <Iir_Kind.Incomplete_Type_Definition: 56>, <Iir_Kind.Interface_Type_Definition: 57>, <Iir_Kind.File_Type_Definition: 58>, <Iir_Kind.Protected_Type_Declaration: 59>, <Iir_Kind.Record_Type_Definition: 60>, <Iir_Kind.Array_Type_Definition: 61>, <Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>, <Iir_Kind.Access_Subtype_Definition: 64>, <Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>, <Iir_Kind.Integer_Type_Definition: 70>, <Iir_Kind.Floating_Type_Definition: 71>, <Iir_Kind.Physical_Type_Definition: 72>]
@end deffn
@geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subtype_Definition}@anchor{d84}
-@deffn {Attribute} Subtype_Definition = [<Iir_Kind.Array_Subtype_Definition: 61>, <Iir_Kind.Record_Subtype_Definition: 62>, <Iir_Kind.Access_Subtype_Definition: 63>, <Iir_Kind.Physical_Subtype_Definition: 64>, <Iir_Kind.Floating_Subtype_Definition: 65>, <Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subtype_Definition}@anchor{1278}
+@deffn {Attribute} Subtype_Definition = [<Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>, <Iir_Kind.Access_Subtype_Definition: 64>, <Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>]
@end deffn
@geindex Scalar_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Subtype_Definition}@anchor{d85}
-@deffn {Attribute} Scalar_Subtype_Definition = [<Iir_Kind.Physical_Subtype_Definition: 64>, <Iir_Kind.Floating_Subtype_Definition: 65>, <Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Subtype_Definition}@anchor{1279}
+@deffn {Attribute} Scalar_Subtype_Definition = [<Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>]
@end deffn
@geindex Scalar_Type_And_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Type_And_Subtype_Definition}@anchor{d86}
-@deffn {Attribute} Scalar_Type_And_Subtype_Definition = [<Iir_Kind.Physical_Subtype_Definition: 64>, <Iir_Kind.Floating_Subtype_Definition: 65>, <Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Type_Definition: 68>, <Iir_Kind.Integer_Type_Definition: 69>, <Iir_Kind.Floating_Type_Definition: 70>, <Iir_Kind.Physical_Type_Definition: 71>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Type_And_Subtype_Definition}@anchor{127a}
+@deffn {Attribute} Scalar_Type_And_Subtype_Definition = [<Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>, <Iir_Kind.Integer_Type_Definition: 70>, <Iir_Kind.Floating_Type_Definition: 71>, <Iir_Kind.Physical_Type_Definition: 72>]
@end deffn
@geindex Range_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Range_Type_Definition}@anchor{d87}
-@deffn {Attribute} Range_Type_Definition = [<Iir_Kind.Physical_Subtype_Definition: 64>, <Iir_Kind.Floating_Subtype_Definition: 65>, <Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Type_Definition: 68>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Range_Type_Definition}@anchor{127b}
+@deffn {Attribute} Range_Type_Definition = [<Iir_Kind.Physical_Subtype_Definition: 65>, <Iir_Kind.Floating_Subtype_Definition: 66>, <Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>]
@end deffn
@geindex Discrete_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Discrete_Type_Definition}@anchor{d88}
-@deffn {Attribute} Discrete_Type_Definition = [<Iir_Kind.Integer_Subtype_Definition: 66>, <Iir_Kind.Enumeration_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Type_Definition: 68>, <Iir_Kind.Integer_Type_Definition: 69>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Discrete_Type_Definition}@anchor{127c}
+@deffn {Attribute} Discrete_Type_Definition = [<Iir_Kind.Integer_Subtype_Definition: 67>, <Iir_Kind.Enumeration_Subtype_Definition: 68>, <Iir_Kind.Enumeration_Type_Definition: 69>, <Iir_Kind.Integer_Type_Definition: 70>]
@end deffn
@geindex Composite_Type_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Composite_Type_Definition}@anchor{d89}
-@deffn {Attribute} Composite_Type_Definition = [<Iir_Kind.Record_Type_Definition: 59>, <Iir_Kind.Array_Type_Definition: 60>, <Iir_Kind.Array_Subtype_Definition: 61>, <Iir_Kind.Record_Subtype_Definition: 62>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Composite_Type_Definition}@anchor{127d}
+@deffn {Attribute} Composite_Type_Definition = [<Iir_Kind.Record_Type_Definition: 60>, <Iir_Kind.Array_Type_Definition: 61>, <Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>]
@end deffn
@geindex Composite_Subtype_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Composite_Subtype_Definition}@anchor{d8a}
-@deffn {Attribute} Composite_Subtype_Definition = [<Iir_Kind.Array_Subtype_Definition: 61>, <Iir_Kind.Record_Subtype_Definition: 62>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Composite_Subtype_Definition}@anchor{127e}
+@deffn {Attribute} Composite_Subtype_Definition = [<Iir_Kind.Array_Subtype_Definition: 62>, <Iir_Kind.Record_Subtype_Definition: 63>]
@end deffn
@geindex Type_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_Declaration}@anchor{d8b}
-@deffn {Attribute} Type_Declaration = [<Iir_Kind.Type_Declaration: 91>, <Iir_Kind.Anonymous_Type_Declaration: 92>, <Iir_Kind.Subtype_Declaration: 93>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_Declaration}@anchor{127f}
+@deffn {Attribute} Type_Declaration = [<Iir_Kind.Type_Declaration: 94>, <Iir_Kind.Anonymous_Type_Declaration: 95>, <Iir_Kind.Subtype_Declaration: 96>]
@end deffn
@geindex Nature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nature_Definition}@anchor{d8c}
-@deffn {Attribute} Nature_Definition = [<Iir_Kind.Scalar_Nature_Definition: 76>, <Iir_Kind.Record_Nature_Definition: 77>, <Iir_Kind.Array_Nature_Definition: 78>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nature_Definition}@anchor{1280}
+@deffn {Attribute} Nature_Definition = [<Iir_Kind.Scalar_Nature_Definition: 78>, <Iir_Kind.Record_Nature_Definition: 79>, <Iir_Kind.Array_Nature_Definition: 80>]
@end deffn
@geindex Subnature_Definition (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subnature_Definition}@anchor{d8d}
-@deffn {Attribute} Subnature_Definition = [<Iir_Kind.Array_Subnature_Definition: 79>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subnature_Definition}@anchor{1281}
+@deffn {Attribute} Subnature_Definition = [<Iir_Kind.Array_Subnature_Definition: 81>]
@end deffn
@geindex Nature_Indication (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nature_Indication}@anchor{d8e}
-@deffn {Attribute} Nature_Indication = [<Iir_Kind.Scalar_Nature_Definition: 76>, <Iir_Kind.Record_Nature_Definition: 77>, <Iir_Kind.Array_Nature_Definition: 78>, <Iir_Kind.Array_Subnature_Definition: 79>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nature_Indication}@anchor{1282}
+@deffn {Attribute} Nature_Indication = [<Iir_Kind.Scalar_Nature_Definition: 78>, <Iir_Kind.Record_Nature_Definition: 79>, <Iir_Kind.Array_Nature_Definition: 80>, <Iir_Kind.Array_Subnature_Definition: 81>]
@end deffn
@geindex Nonoverloadable_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nonoverloadable_Declaration}@anchor{d8f}
-@deffn {Attribute} Nonoverloadable_Declaration = [<Iir_Kind.Type_Declaration: 91>, <Iir_Kind.Anonymous_Type_Declaration: 92>, <Iir_Kind.Subtype_Declaration: 93>, <Iir_Kind.Nature_Declaration: 94>, <Iir_Kind.Subnature_Declaration: 95>, <Iir_Kind.Package_Header: 96>, <Iir_Kind.Unit_Declaration: 97>, <Iir_Kind.Library_Declaration: 98>, <Iir_Kind.Component_Declaration: 99>, <Iir_Kind.Attribute_Declaration: 100>, <Iir_Kind.Group_Template_Declaration: 101>, <Iir_Kind.Group_Declaration: 102>, <Iir_Kind.Element_Declaration: 103>, <Iir_Kind.Nature_Element_Declaration: 104>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Nonoverloadable_Declaration}@anchor{1283}
+@deffn {Attribute} Nonoverloadable_Declaration = [<Iir_Kind.Type_Declaration: 94>, <Iir_Kind.Anonymous_Type_Declaration: 95>, <Iir_Kind.Subtype_Declaration: 96>, <Iir_Kind.Nature_Declaration: 97>, <Iir_Kind.Subnature_Declaration: 98>, <Iir_Kind.Package_Header: 99>, <Iir_Kind.Unit_Declaration: 100>, <Iir_Kind.Library_Declaration: 101>, <Iir_Kind.Component_Declaration: 102>, <Iir_Kind.Attribute_Declaration: 103>, <Iir_Kind.Group_Template_Declaration: 104>, <Iir_Kind.Group_Declaration: 105>, <Iir_Kind.Element_Declaration: 106>, <Iir_Kind.Nature_Element_Declaration: 107>]
@end deffn
@geindex Monadic_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Monadic_Operator}@anchor{d90}
-@deffn {Attribute} Monadic_Operator = [<Iir_Kind.Identity_Operator: 140>, <Iir_Kind.Negation_Operator: 141>, <Iir_Kind.Absolute_Operator: 142>, <Iir_Kind.Not_Operator: 143>, <Iir_Kind.Implicit_Condition_Operator: 144>, <Iir_Kind.Condition_Operator: 145>, <Iir_Kind.Reduction_And_Operator: 146>, <Iir_Kind.Reduction_Or_Operator: 147>, <Iir_Kind.Reduction_Nand_Operator: 148>, <Iir_Kind.Reduction_Nor_Operator: 149>, <Iir_Kind.Reduction_Xor_Operator: 150>, <Iir_Kind.Reduction_Xnor_Operator: 151>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Monadic_Operator}@anchor{1284}
+@deffn {Attribute} Monadic_Operator = [<Iir_Kind.Identity_Operator: 142>, <Iir_Kind.Negation_Operator: 143>, <Iir_Kind.Absolute_Operator: 144>, <Iir_Kind.Not_Operator: 145>, <Iir_Kind.Implicit_Condition_Operator: 146>, <Iir_Kind.Condition_Operator: 147>, <Iir_Kind.Reduction_And_Operator: 148>, <Iir_Kind.Reduction_Or_Operator: 149>, <Iir_Kind.Reduction_Nand_Operator: 150>, <Iir_Kind.Reduction_Nor_Operator: 151>, <Iir_Kind.Reduction_Xor_Operator: 152>, <Iir_Kind.Reduction_Xnor_Operator: 153>]
@end deffn
@geindex Dyadic_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Dyadic_Operator}@anchor{d91}
-@deffn {Attribute} Dyadic_Operator = [<Iir_Kind.And_Operator: 152>, <Iir_Kind.Or_Operator: 153>, <Iir_Kind.Nand_Operator: 154>, <Iir_Kind.Nor_Operator: 155>, <Iir_Kind.Xor_Operator: 156>, <Iir_Kind.Xnor_Operator: 157>, <Iir_Kind.Equality_Operator: 158>, <Iir_Kind.Inequality_Operator: 159>, <Iir_Kind.Less_Than_Operator: 160>, <Iir_Kind.Less_Than_Or_Equal_Operator: 161>, <Iir_Kind.Greater_Than_Operator: 162>, <Iir_Kind.Greater_Than_Or_Equal_Operator: 163>, <Iir_Kind.Match_Equality_Operator: 164>, <Iir_Kind.Match_Inequality_Operator: 165>, <Iir_Kind.Match_Less_Than_Operator: 166>, <Iir_Kind.Match_Less_Than_Or_Equal_Operator: 167>, <Iir_Kind.Match_Greater_Than_Operator: 168>, <Iir_Kind.Match_Greater_Than_Or_Equal_Operator: 169>, <Iir_Kind.Sll_Operator: 170>, <Iir_Kind.Sla_Operator: 171>, <Iir_Kind.Srl_Operator: 172>, <Iir_Kind.Sra_Operator: 173>, <Iir_Kind.Rol_Operator: 174>, <Iir_Kind.Ror_Operator: 175>, <Iir_Kind.Addition_Operator: 176>, <Iir_Kind.Substraction_Operator: 177>, <Iir_Kind.Concatenation_Operator: 178>, <Iir_Kind.Multiplication_Operator: 179>, <Iir_Kind.Division_Operator: 180>, <Iir_Kind.Modulus_Operator: 181>, <Iir_Kind.Remainder_Operator: 182>, <Iir_Kind.Exponentiation_Operator: 183>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Dyadic_Operator}@anchor{1285}
+@deffn {Attribute} Dyadic_Operator = [<Iir_Kind.And_Operator: 154>, <Iir_Kind.Or_Operator: 155>, <Iir_Kind.Nand_Operator: 156>, <Iir_Kind.Nor_Operator: 157>, <Iir_Kind.Xor_Operator: 158>, <Iir_Kind.Xnor_Operator: 159>, <Iir_Kind.Equality_Operator: 160>, <Iir_Kind.Inequality_Operator: 161>, <Iir_Kind.Less_Than_Operator: 162>, <Iir_Kind.Less_Than_Or_Equal_Operator: 163>, <Iir_Kind.Greater_Than_Operator: 164>, <Iir_Kind.Greater_Than_Or_Equal_Operator: 165>, <Iir_Kind.Match_Equality_Operator: 166>, <Iir_Kind.Match_Inequality_Operator: 167>, <Iir_Kind.Match_Less_Than_Operator: 168>, <Iir_Kind.Match_Less_Than_Or_Equal_Operator: 169>, <Iir_Kind.Match_Greater_Than_Operator: 170>, <Iir_Kind.Match_Greater_Than_Or_Equal_Operator: 171>, <Iir_Kind.Sll_Operator: 172>, <Iir_Kind.Sla_Operator: 173>, <Iir_Kind.Srl_Operator: 174>, <Iir_Kind.Sra_Operator: 175>, <Iir_Kind.Rol_Operator: 176>, <Iir_Kind.Ror_Operator: 177>, <Iir_Kind.Addition_Operator: 178>, <Iir_Kind.Substraction_Operator: 179>, <Iir_Kind.Concatenation_Operator: 180>, <Iir_Kind.Multiplication_Operator: 181>, <Iir_Kind.Division_Operator: 182>, <Iir_Kind.Modulus_Operator: 183>, <Iir_Kind.Remainder_Operator: 184>, <Iir_Kind.Exponentiation_Operator: 185>]
@end deffn
@geindex Psl_Builtin (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Builtin}@anchor{d92}
-@deffn {Attribute} Psl_Builtin = [<Iir_Kind.Psl_Prev: 196>, <Iir_Kind.Psl_Stable: 197>, <Iir_Kind.Psl_Rose: 198>, <Iir_Kind.Psl_Fell: 199>, <Iir_Kind.Psl_Onehot: 200>, <Iir_Kind.Psl_Onehot0: 201>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Builtin}@anchor{1286}
+@deffn {Attribute} Psl_Builtin = [<Iir_Kind.Psl_Prev: 198>, <Iir_Kind.Psl_Stable: 199>, <Iir_Kind.Psl_Rose: 200>, <Iir_Kind.Psl_Fell: 201>, <Iir_Kind.Psl_Onehot: 202>, <Iir_Kind.Psl_Onehot0: 203>]
@end deffn
@geindex Functions_And_Literals (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Functions_And_Literals}@anchor{d93}
-@deffn {Attribute} Functions_And_Literals = [<Iir_Kind.Enumeration_Literal: 108>, <Iir_Kind.Function_Declaration: 109>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Functions_And_Literals}@anchor{1287}
+@deffn {Attribute} Functions_And_Literals = [<Iir_Kind.Enumeration_Literal: 111>, <Iir_Kind.Function_Declaration: 112>]
@end deffn
@geindex Subprogram_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subprogram_Declaration}@anchor{d94}
-@deffn {Attribute} Subprogram_Declaration = [<Iir_Kind.Function_Declaration: 109>, <Iir_Kind.Procedure_Declaration: 110>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subprogram_Declaration}@anchor{1288}
+@deffn {Attribute} Subprogram_Declaration = [<Iir_Kind.Function_Declaration: 112>, <Iir_Kind.Procedure_Declaration: 113>]
@end deffn
@geindex Subprogram_Body (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subprogram_Body}@anchor{d95}
-@deffn {Attribute} Subprogram_Body = [<Iir_Kind.Function_Body: 111>, <Iir_Kind.Procedure_Body: 112>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subprogram_Body}@anchor{1289}
+@deffn {Attribute} Subprogram_Body = [<Iir_Kind.Function_Body: 114>, <Iir_Kind.Procedure_Body: 115>]
@end deffn
@geindex Process_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Process_Statement}@anchor{d96}
-@deffn {Attribute} Process_Statement = [<Iir_Kind.Sensitized_Process_Statement: 203>, <Iir_Kind.Process_Statement: 204>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Process_Statement}@anchor{128a}
+@deffn {Attribute} Process_Statement = [<Iir_Kind.Sensitized_Process_Statement: 205>, <Iir_Kind.Process_Statement: 206>]
@end deffn
@geindex Interface_Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Object_Declaration}@anchor{d97}
-@deffn {Attribute} Interface_Object_Declaration = [<Iir_Kind.Interface_Constant_Declaration: 128>, <Iir_Kind.Interface_Variable_Declaration: 129>, <Iir_Kind.Interface_Signal_Declaration: 130>, <Iir_Kind.Interface_File_Declaration: 131>, <Iir_Kind.Interface_Quantity_Declaration: 132>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Object_Declaration}@anchor{128b}
+@deffn {Attribute} Interface_Object_Declaration = [<Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>, <Iir_Kind.Interface_Quantity_Declaration: 135>]
@end deffn
@geindex Interface_Subprogram_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Subprogram_Declaration}@anchor{d98}
-@deffn {Attribute} Interface_Subprogram_Declaration = [<Iir_Kind.Interface_Function_Declaration: 136>, <Iir_Kind.Interface_Procedure_Declaration: 137>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Subprogram_Declaration}@anchor{128c}
+@deffn {Attribute} Interface_Subprogram_Declaration = [<Iir_Kind.Interface_Function_Declaration: 139>, <Iir_Kind.Interface_Procedure_Declaration: 140>]
@end deffn
@geindex Interface_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Declaration}@anchor{d99}
-@deffn {Attribute} Interface_Declaration = [<Iir_Kind.Interface_Constant_Declaration: 128>, <Iir_Kind.Interface_Variable_Declaration: 129>, <Iir_Kind.Interface_Signal_Declaration: 130>, <Iir_Kind.Interface_File_Declaration: 131>, <Iir_Kind.Interface_Quantity_Declaration: 132>, <Iir_Kind.Interface_Terminal_Declaration: 133>, <Iir_Kind.Interface_Type_Declaration: 134>, <Iir_Kind.Interface_Package_Declaration: 135>, <Iir_Kind.Interface_Function_Declaration: 136>, <Iir_Kind.Interface_Procedure_Declaration: 137>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Interface_Declaration}@anchor{128d}
+@deffn {Attribute} Interface_Declaration = [<Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>, <Iir_Kind.Interface_Quantity_Declaration: 135>, <Iir_Kind.Interface_Terminal_Declaration: 136>, <Iir_Kind.Interface_Type_Declaration: 137>, <Iir_Kind.Interface_Package_Declaration: 138>, <Iir_Kind.Interface_Function_Declaration: 139>, <Iir_Kind.Interface_Procedure_Declaration: 140>]
@end deffn
@geindex Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Object_Declaration}@anchor{d9a}
-@deffn {Attribute} Object_Declaration = [<Iir_Kind.Object_Alias_Declaration: 116>, <Iir_Kind.Free_Quantity_Declaration: 117>, <Iir_Kind.Spectrum_Quantity_Declaration: 118>, <Iir_Kind.Noise_Quantity_Declaration: 119>, <Iir_Kind.Across_Quantity_Declaration: 120>, <Iir_Kind.Through_Quantity_Declaration: 121>, <Iir_Kind.File_Declaration: 122>, <Iir_Kind.Guard_Signal_Declaration: 123>, <Iir_Kind.Signal_Declaration: 124>, <Iir_Kind.Variable_Declaration: 125>, <Iir_Kind.Constant_Declaration: 126>, <Iir_Kind.Iterator_Declaration: 127>, <Iir_Kind.Interface_Constant_Declaration: 128>, <Iir_Kind.Interface_Variable_Declaration: 129>, <Iir_Kind.Interface_Signal_Declaration: 130>, <Iir_Kind.Interface_File_Declaration: 131>, <Iir_Kind.Interface_Quantity_Declaration: 132>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Object_Declaration}@anchor{128e}
+@deffn {Attribute} Object_Declaration = [<Iir_Kind.Object_Alias_Declaration: 119>, <Iir_Kind.Free_Quantity_Declaration: 120>, <Iir_Kind.Spectrum_Quantity_Declaration: 121>, <Iir_Kind.Noise_Quantity_Declaration: 122>, <Iir_Kind.Across_Quantity_Declaration: 123>, <Iir_Kind.Through_Quantity_Declaration: 124>, <Iir_Kind.File_Declaration: 125>, <Iir_Kind.Guard_Signal_Declaration: 126>, <Iir_Kind.Signal_Declaration: 127>, <Iir_Kind.Variable_Declaration: 128>, <Iir_Kind.Constant_Declaration: 129>, <Iir_Kind.Iterator_Declaration: 130>, <Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>, <Iir_Kind.Interface_Quantity_Declaration: 135>]
@end deffn
@geindex Branch_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Branch_Quantity_Declaration}@anchor{d9b}
-@deffn {Attribute} Branch_Quantity_Declaration = [<Iir_Kind.Across_Quantity_Declaration: 120>, <Iir_Kind.Through_Quantity_Declaration: 121>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Branch_Quantity_Declaration}@anchor{128f}
+@deffn {Attribute} Branch_Quantity_Declaration = [<Iir_Kind.Across_Quantity_Declaration: 123>, <Iir_Kind.Through_Quantity_Declaration: 124>]
@end deffn
@geindex Source_Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Source_Quantity_Declaration}@anchor{d9c}
-@deffn {Attribute} Source_Quantity_Declaration = [<Iir_Kind.Spectrum_Quantity_Declaration: 118>, <Iir_Kind.Noise_Quantity_Declaration: 119>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Source_Quantity_Declaration}@anchor{1290}
+@deffn {Attribute} Source_Quantity_Declaration = [<Iir_Kind.Spectrum_Quantity_Declaration: 121>, <Iir_Kind.Noise_Quantity_Declaration: 122>]
@end deffn
@geindex Quantity_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Quantity_Declaration}@anchor{d9d}
-@deffn {Attribute} Quantity_Declaration = [<Iir_Kind.Free_Quantity_Declaration: 117>, <Iir_Kind.Spectrum_Quantity_Declaration: 118>, <Iir_Kind.Noise_Quantity_Declaration: 119>, <Iir_Kind.Across_Quantity_Declaration: 120>, <Iir_Kind.Through_Quantity_Declaration: 121>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Quantity_Declaration}@anchor{1291}
+@deffn {Attribute} Quantity_Declaration = [<Iir_Kind.Free_Quantity_Declaration: 120>, <Iir_Kind.Spectrum_Quantity_Declaration: 121>, <Iir_Kind.Noise_Quantity_Declaration: 122>, <Iir_Kind.Across_Quantity_Declaration: 123>, <Iir_Kind.Through_Quantity_Declaration: 124>]
@end deffn
@geindex Non_Alias_Object_Declaration (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Non_Alias_Object_Declaration}@anchor{d9e}
-@deffn {Attribute} Non_Alias_Object_Declaration = [<Iir_Kind.File_Declaration: 122>, <Iir_Kind.Guard_Signal_Declaration: 123>, <Iir_Kind.Signal_Declaration: 124>, <Iir_Kind.Variable_Declaration: 125>, <Iir_Kind.Constant_Declaration: 126>, <Iir_Kind.Iterator_Declaration: 127>, <Iir_Kind.Interface_Constant_Declaration: 128>, <Iir_Kind.Interface_Variable_Declaration: 129>, <Iir_Kind.Interface_Signal_Declaration: 130>, <Iir_Kind.Interface_File_Declaration: 131>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Non_Alias_Object_Declaration}@anchor{1292}
+@deffn {Attribute} Non_Alias_Object_Declaration = [<Iir_Kind.File_Declaration: 125>, <Iir_Kind.Guard_Signal_Declaration: 126>, <Iir_Kind.Signal_Declaration: 127>, <Iir_Kind.Variable_Declaration: 128>, <Iir_Kind.Constant_Declaration: 129>, <Iir_Kind.Iterator_Declaration: 130>, <Iir_Kind.Interface_Constant_Declaration: 131>, <Iir_Kind.Interface_Variable_Declaration: 132>, <Iir_Kind.Interface_Signal_Declaration: 133>, <Iir_Kind.Interface_File_Declaration: 134>]
@end deffn
@geindex Association_Element_Parameters (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element_Parameters}@anchor{d9f}
-@deffn {Attribute} Association_Element_Parameters = [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Individual: 21>, <Iir_Kind.Association_Element_Open: 22>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element_Parameters}@anchor{1293}
+@deffn {Attribute} Association_Element_Parameters = [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Name: 21>, <Iir_Kind.Association_Element_By_Individual: 22>, <Iir_Kind.Association_Element_Open: 23>]
+@end deffn
+
+@geindex Association_Element_By_Actual (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element_By_Actual}@anchor{1294}
+@deffn {Attribute} Association_Element_By_Actual = [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Name: 21>]
@end deffn
@geindex Association_Element (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element}@anchor{da0}
-@deffn {Attribute} Association_Element = [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Individual: 21>, <Iir_Kind.Association_Element_Open: 22>, <Iir_Kind.Association_Element_Package: 23>, <Iir_Kind.Association_Element_Type: 24>, <Iir_Kind.Association_Element_Subprogram: 25>, <Iir_Kind.Association_Element_Terminal: 26>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Association_Element}@anchor{1295}
+@deffn {Attribute} Association_Element = [<Iir_Kind.Association_Element_By_Expression: 20>, <Iir_Kind.Association_Element_By_Name: 21>, <Iir_Kind.Association_Element_By_Individual: 22>, <Iir_Kind.Association_Element_Open: 23>, <Iir_Kind.Association_Element_Package: 24>, <Iir_Kind.Association_Element_Type: 25>, <Iir_Kind.Association_Element_Subprogram: 26>, <Iir_Kind.Association_Element_Terminal: 27>]
@end deffn
@geindex Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Choice}@anchor{da1}
-@deffn {Attribute} Choice = [<Iir_Kind.Choice_By_Range: 27>, <Iir_Kind.Choice_By_Expression: 28>, <Iir_Kind.Choice_By_Others: 29>, <Iir_Kind.Choice_By_None: 30>, <Iir_Kind.Choice_By_Name: 31>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Choice}@anchor{1296}
+@deffn {Attribute} Choice = [<Iir_Kind.Choice_By_Range: 28>, <Iir_Kind.Choice_By_Expression: 29>, <Iir_Kind.Choice_By_Others: 30>, <Iir_Kind.Choice_By_None: 31>, <Iir_Kind.Choice_By_Name: 32>]
@end deffn
@geindex Case_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Case_Choice}@anchor{da2}
-@deffn {Attribute} Case_Choice = [<Iir_Kind.Choice_By_Range: 27>, <Iir_Kind.Choice_By_Expression: 28>, <Iir_Kind.Choice_By_Others: 29>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Case_Choice}@anchor{1297}
+@deffn {Attribute} Case_Choice = [<Iir_Kind.Choice_By_Range: 28>, <Iir_Kind.Choice_By_Expression: 29>, <Iir_Kind.Choice_By_Others: 30>]
@end deffn
@geindex Array_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Choice}@anchor{da3}
-@deffn {Attribute} Array_Choice = [<Iir_Kind.Choice_By_Range: 27>, <Iir_Kind.Choice_By_Expression: 28>, <Iir_Kind.Choice_By_Others: 29>, <Iir_Kind.Choice_By_None: 30>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Choice}@anchor{1298}
+@deffn {Attribute} Array_Choice = [<Iir_Kind.Choice_By_Range: 28>, <Iir_Kind.Choice_By_Expression: 29>, <Iir_Kind.Choice_By_Others: 30>, <Iir_Kind.Choice_By_None: 31>]
@end deffn
@geindex Record_Choice (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Record_Choice}@anchor{da4}
-@deffn {Attribute} Record_Choice = [<Iir_Kind.Choice_By_Others: 29>, <Iir_Kind.Choice_By_None: 30>, <Iir_Kind.Choice_By_Name: 31>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Record_Choice}@anchor{1299}
+@deffn {Attribute} Record_Choice = [<Iir_Kind.Choice_By_Others: 30>, <Iir_Kind.Choice_By_None: 31>, <Iir_Kind.Choice_By_Name: 32>]
@end deffn
@geindex Entity_Aspect (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Entity_Aspect}@anchor{da5}
-@deffn {Attribute} Entity_Aspect = [<Iir_Kind.Entity_Aspect_Entity: 32>, <Iir_Kind.Entity_Aspect_Configuration: 33>, <Iir_Kind.Entity_Aspect_Open: 34>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Entity_Aspect}@anchor{129a}
+@deffn {Attribute} Entity_Aspect = [<Iir_Kind.Entity_Aspect_Entity: 33>, <Iir_Kind.Entity_Aspect_Configuration: 34>, <Iir_Kind.Entity_Aspect_Open: 35>]
@end deffn
@geindex Denoting_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Denoting_Name}@anchor{da6}
-@deffn {Attribute} Denoting_Name = [<Iir_Kind.Character_Literal: 250>, <Iir_Kind.Simple_Name: 251>, <Iir_Kind.Selected_Name: 252>, <Iir_Kind.Operator_Symbol: 253>, <Iir_Kind.Reference_Name: 254>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Denoting_Name}@anchor{129b}
+@deffn {Attribute} Denoting_Name = [<Iir_Kind.Character_Literal: 252>, <Iir_Kind.Simple_Name: 253>, <Iir_Kind.Selected_Name: 254>, <Iir_Kind.Operator_Symbol: 255>, <Iir_Kind.Reference_Name: 256>]
@end deffn
@geindex Denoting_And_External_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Denoting_And_External_Name}@anchor{da7}
-@deffn {Attribute} Denoting_And_External_Name = [<Iir_Kind.Character_Literal: 250>, <Iir_Kind.Simple_Name: 251>, <Iir_Kind.Selected_Name: 252>, <Iir_Kind.Operator_Symbol: 253>, <Iir_Kind.Reference_Name: 254>, <Iir_Kind.External_Constant_Name: 255>, <Iir_Kind.External_Signal_Name: 256>, <Iir_Kind.External_Variable_Name: 257>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Denoting_And_External_Name}@anchor{129c}
+@deffn {Attribute} Denoting_And_External_Name = [<Iir_Kind.Character_Literal: 252>, <Iir_Kind.Simple_Name: 253>, <Iir_Kind.Selected_Name: 254>, <Iir_Kind.Operator_Symbol: 255>, <Iir_Kind.Reference_Name: 256>, <Iir_Kind.External_Constant_Name: 257>, <Iir_Kind.External_Signal_Name: 258>, <Iir_Kind.External_Variable_Name: 259>]
@end deffn
@geindex Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Name}@anchor{da8}
-@deffn {Attribute} Name = [<Iir_Kind.Character_Literal: 250>, <Iir_Kind.Simple_Name: 251>, <Iir_Kind.Selected_Name: 252>, <Iir_Kind.Operator_Symbol: 253>, <Iir_Kind.Reference_Name: 254>, <Iir_Kind.External_Constant_Name: 255>, <Iir_Kind.External_Signal_Name: 256>, <Iir_Kind.External_Variable_Name: 257>, <Iir_Kind.Selected_By_All_Name: 258>, <Iir_Kind.Parenthesis_Name: 259>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Name}@anchor{129d}
+@deffn {Attribute} Name = [<Iir_Kind.Character_Literal: 252>, <Iir_Kind.Simple_Name: 253>, <Iir_Kind.Selected_Name: 254>, <Iir_Kind.Operator_Symbol: 255>, <Iir_Kind.Reference_Name: 256>, <Iir_Kind.External_Constant_Name: 257>, <Iir_Kind.External_Signal_Name: 258>, <Iir_Kind.External_Variable_Name: 259>, <Iir_Kind.Selected_By_All_Name: 260>, <Iir_Kind.Parenthesis_Name: 261>]
@end deffn
@geindex Dereference (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Dereference}@anchor{da9}
-@deffn {Attribute} Dereference = [<Iir_Kind.Dereference: 192>, <Iir_Kind.Implicit_Dereference: 193>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Dereference}@anchor{129e}
+@deffn {Attribute} Dereference = [<Iir_Kind.Dereference: 194>, <Iir_Kind.Implicit_Dereference: 195>]
@end deffn
@geindex External_Name (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds External_Name}@anchor{daa}
-@deffn {Attribute} External_Name = [<Iir_Kind.External_Constant_Name: 255>, <Iir_Kind.External_Signal_Name: 256>, <Iir_Kind.External_Variable_Name: 257>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds External_Name}@anchor{129f}
+@deffn {Attribute} External_Name = [<Iir_Kind.External_Constant_Name: 257>, <Iir_Kind.External_Signal_Name: 258>, <Iir_Kind.External_Variable_Name: 259>]
@end deffn
@geindex Expression_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Expression_Attribute}@anchor{dab}
-@deffn {Attribute} Expression_Attribute = [<Iir_Kind.Left_Type_Attribute: 270>, <Iir_Kind.Right_Type_Attribute: 271>, <Iir_Kind.High_Type_Attribute: 272>, <Iir_Kind.Low_Type_Attribute: 273>, <Iir_Kind.Ascending_Type_Attribute: 274>, <Iir_Kind.Image_Attribute: 275>, <Iir_Kind.Value_Attribute: 276>, <Iir_Kind.Pos_Attribute: 277>, <Iir_Kind.Val_Attribute: 278>, <Iir_Kind.Succ_Attribute: 279>, <Iir_Kind.Pred_Attribute: 280>, <Iir_Kind.Leftof_Attribute: 281>, <Iir_Kind.Rightof_Attribute: 282>, <Iir_Kind.Signal_Slew_Attribute: 283>, <Iir_Kind.Quantity_Slew_Attribute: 284>, <Iir_Kind.Ramp_Attribute: 285>, <Iir_Kind.Zoh_Attribute: 286>, <Iir_Kind.Ltf_Attribute: 287>, <Iir_Kind.Ztf_Attribute: 288>, <Iir_Kind.Dot_Attribute: 289>, <Iir_Kind.Integ_Attribute: 290>, <Iir_Kind.Above_Attribute: 291>, <Iir_Kind.Quantity_Delayed_Attribute: 292>, <Iir_Kind.Delayed_Attribute: 293>, <Iir_Kind.Stable_Attribute: 294>, <Iir_Kind.Quiet_Attribute: 295>, <Iir_Kind.Transaction_Attribute: 296>, <Iir_Kind.Event_Attribute: 297>, <Iir_Kind.Active_Attribute: 298>, <Iir_Kind.Last_Event_Attribute: 299>, <Iir_Kind.Last_Active_Attribute: 300>, <Iir_Kind.Last_Value_Attribute: 301>, <Iir_Kind.Driving_Attribute: 302>, <Iir_Kind.Driving_Value_Attribute: 303>, <Iir_Kind.Behavior_Attribute: 304>, <Iir_Kind.Structure_Attribute: 305>, <Iir_Kind.Simple_Name_Attribute: 306>, <Iir_Kind.Instance_Name_Attribute: 307>, <Iir_Kind.Path_Name_Attribute: 308>, <Iir_Kind.Left_Array_Attribute: 309>, <Iir_Kind.Right_Array_Attribute: 310>, <Iir_Kind.High_Array_Attribute: 311>, <Iir_Kind.Low_Array_Attribute: 312>, <Iir_Kind.Length_Array_Attribute: 313>, <Iir_Kind.Ascending_Array_Attribute: 314>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Expression_Attribute}@anchor{12a0}
+@deffn {Attribute} Expression_Attribute = [<Iir_Kind.Left_Type_Attribute: 272>, <Iir_Kind.Right_Type_Attribute: 273>, <Iir_Kind.High_Type_Attribute: 274>, <Iir_Kind.Low_Type_Attribute: 275>, <Iir_Kind.Ascending_Type_Attribute: 276>, <Iir_Kind.Image_Attribute: 277>, <Iir_Kind.Value_Attribute: 278>, <Iir_Kind.Pos_Attribute: 279>, <Iir_Kind.Val_Attribute: 280>, <Iir_Kind.Succ_Attribute: 281>, <Iir_Kind.Pred_Attribute: 282>, <Iir_Kind.Leftof_Attribute: 283>, <Iir_Kind.Rightof_Attribute: 284>, <Iir_Kind.Signal_Slew_Attribute: 285>, <Iir_Kind.Quantity_Slew_Attribute: 286>, <Iir_Kind.Ramp_Attribute: 287>, <Iir_Kind.Zoh_Attribute: 288>, <Iir_Kind.Ltf_Attribute: 289>, <Iir_Kind.Ztf_Attribute: 290>, <Iir_Kind.Dot_Attribute: 291>, <Iir_Kind.Integ_Attribute: 292>, <Iir_Kind.Above_Attribute: 293>, <Iir_Kind.Quantity_Delayed_Attribute: 294>, <Iir_Kind.Delayed_Attribute: 295>, <Iir_Kind.Stable_Attribute: 296>, <Iir_Kind.Quiet_Attribute: 297>, <Iir_Kind.Transaction_Attribute: 298>, <Iir_Kind.Event_Attribute: 299>, <Iir_Kind.Active_Attribute: 300>, <Iir_Kind.Last_Event_Attribute: 301>, <Iir_Kind.Last_Active_Attribute: 302>, <Iir_Kind.Last_Value_Attribute: 303>, <Iir_Kind.Driving_Attribute: 304>, <Iir_Kind.Driving_Value_Attribute: 305>, <Iir_Kind.Behavior_Attribute: 306>, <Iir_Kind.Structure_Attribute: 307>, <Iir_Kind.Simple_Name_Attribute: 308>, <Iir_Kind.Instance_Name_Attribute: 309>, <Iir_Kind.Path_Name_Attribute: 310>, <Iir_Kind.Left_Array_Attribute: 311>, <Iir_Kind.Right_Array_Attribute: 312>, <Iir_Kind.High_Array_Attribute: 313>, <Iir_Kind.Low_Array_Attribute: 314>, <Iir_Kind.Length_Array_Attribute: 315>, <Iir_Kind.Ascending_Array_Attribute: 316>]
@end deffn
@geindex Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Attribute}@anchor{dac}
-@deffn {Attribute} Attribute = [<Iir_Kind.Base_Attribute: 264>, <Iir_Kind.Subtype_Attribute: 265>, <Iir_Kind.Element_Attribute: 266>, <Iir_Kind.Across_Attribute: 267>, <Iir_Kind.Through_Attribute: 268>, <Iir_Kind.Nature_Reference_Attribute: 269>, <Iir_Kind.Left_Type_Attribute: 270>, <Iir_Kind.Right_Type_Attribute: 271>, <Iir_Kind.High_Type_Attribute: 272>, <Iir_Kind.Low_Type_Attribute: 273>, <Iir_Kind.Ascending_Type_Attribute: 274>, <Iir_Kind.Image_Attribute: 275>, <Iir_Kind.Value_Attribute: 276>, <Iir_Kind.Pos_Attribute: 277>, <Iir_Kind.Val_Attribute: 278>, <Iir_Kind.Succ_Attribute: 279>, <Iir_Kind.Pred_Attribute: 280>, <Iir_Kind.Leftof_Attribute: 281>, <Iir_Kind.Rightof_Attribute: 282>, <Iir_Kind.Signal_Slew_Attribute: 283>, <Iir_Kind.Quantity_Slew_Attribute: 284>, <Iir_Kind.Ramp_Attribute: 285>, <Iir_Kind.Zoh_Attribute: 286>, <Iir_Kind.Ltf_Attribute: 287>, <Iir_Kind.Ztf_Attribute: 288>, <Iir_Kind.Dot_Attribute: 289>, <Iir_Kind.Integ_Attribute: 290>, <Iir_Kind.Above_Attribute: 291>, <Iir_Kind.Quantity_Delayed_Attribute: 292>, <Iir_Kind.Delayed_Attribute: 293>, <Iir_Kind.Stable_Attribute: 294>, <Iir_Kind.Quiet_Attribute: 295>, <Iir_Kind.Transaction_Attribute: 296>, <Iir_Kind.Event_Attribute: 297>, <Iir_Kind.Active_Attribute: 298>, <Iir_Kind.Last_Event_Attribute: 299>, <Iir_Kind.Last_Active_Attribute: 300>, <Iir_Kind.Last_Value_Attribute: 301>, <Iir_Kind.Driving_Attribute: 302>, <Iir_Kind.Driving_Value_Attribute: 303>, <Iir_Kind.Behavior_Attribute: 304>, <Iir_Kind.Structure_Attribute: 305>, <Iir_Kind.Simple_Name_Attribute: 306>, <Iir_Kind.Instance_Name_Attribute: 307>, <Iir_Kind.Path_Name_Attribute: 308>, <Iir_Kind.Left_Array_Attribute: 309>, <Iir_Kind.Right_Array_Attribute: 310>, <Iir_Kind.High_Array_Attribute: 311>, <Iir_Kind.Low_Array_Attribute: 312>, <Iir_Kind.Length_Array_Attribute: 313>, <Iir_Kind.Ascending_Array_Attribute: 314>, <Iir_Kind.Range_Array_Attribute: 315>, <Iir_Kind.Reverse_Range_Array_Attribute: 316>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Attribute}@anchor{12a1}
+@deffn {Attribute} Attribute = [<Iir_Kind.Base_Attribute: 266>, <Iir_Kind.Subtype_Attribute: 267>, <Iir_Kind.Element_Attribute: 268>, <Iir_Kind.Across_Attribute: 269>, <Iir_Kind.Through_Attribute: 270>, <Iir_Kind.Nature_Reference_Attribute: 271>, <Iir_Kind.Left_Type_Attribute: 272>, <Iir_Kind.Right_Type_Attribute: 273>, <Iir_Kind.High_Type_Attribute: 274>, <Iir_Kind.Low_Type_Attribute: 275>, <Iir_Kind.Ascending_Type_Attribute: 276>, <Iir_Kind.Image_Attribute: 277>, <Iir_Kind.Value_Attribute: 278>, <Iir_Kind.Pos_Attribute: 279>, <Iir_Kind.Val_Attribute: 280>, <Iir_Kind.Succ_Attribute: 281>, <Iir_Kind.Pred_Attribute: 282>, <Iir_Kind.Leftof_Attribute: 283>, <Iir_Kind.Rightof_Attribute: 284>, <Iir_Kind.Signal_Slew_Attribute: 285>, <Iir_Kind.Quantity_Slew_Attribute: 286>, <Iir_Kind.Ramp_Attribute: 287>, <Iir_Kind.Zoh_Attribute: 288>, <Iir_Kind.Ltf_Attribute: 289>, <Iir_Kind.Ztf_Attribute: 290>, <Iir_Kind.Dot_Attribute: 291>, <Iir_Kind.Integ_Attribute: 292>, <Iir_Kind.Above_Attribute: 293>, <Iir_Kind.Quantity_Delayed_Attribute: 294>, <Iir_Kind.Delayed_Attribute: 295>, <Iir_Kind.Stable_Attribute: 296>, <Iir_Kind.Quiet_Attribute: 297>, <Iir_Kind.Transaction_Attribute: 298>, <Iir_Kind.Event_Attribute: 299>, <Iir_Kind.Active_Attribute: 300>, <Iir_Kind.Last_Event_Attribute: 301>, <Iir_Kind.Last_Active_Attribute: 302>, <Iir_Kind.Last_Value_Attribute: 303>, <Iir_Kind.Driving_Attribute: 304>, <Iir_Kind.Driving_Value_Attribute: 305>, <Iir_Kind.Behavior_Attribute: 306>, <Iir_Kind.Structure_Attribute: 307>, <Iir_Kind.Simple_Name_Attribute: 308>, <Iir_Kind.Instance_Name_Attribute: 309>, <Iir_Kind.Path_Name_Attribute: 310>, <Iir_Kind.Left_Array_Attribute: 311>, <Iir_Kind.Right_Array_Attribute: 312>, <Iir_Kind.High_Array_Attribute: 313>, <Iir_Kind.Low_Array_Attribute: 314>, <Iir_Kind.Length_Array_Attribute: 315>, <Iir_Kind.Ascending_Array_Attribute: 316>, <Iir_Kind.Range_Array_Attribute: 317>, <Iir_Kind.Reverse_Range_Array_Attribute: 318>]
@end deffn
@geindex Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_Attribute}@anchor{dad}
-@deffn {Attribute} Type_Attribute = [<Iir_Kind.Left_Type_Attribute: 270>, <Iir_Kind.Right_Type_Attribute: 271>, <Iir_Kind.High_Type_Attribute: 272>, <Iir_Kind.Low_Type_Attribute: 273>, <Iir_Kind.Ascending_Type_Attribute: 274>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Type_Attribute}@anchor{12a2}
+@deffn {Attribute} Type_Attribute = [<Iir_Kind.Left_Type_Attribute: 272>, <Iir_Kind.Right_Type_Attribute: 273>, <Iir_Kind.High_Type_Attribute: 274>, <Iir_Kind.Low_Type_Attribute: 275>, <Iir_Kind.Ascending_Type_Attribute: 276>]
@end deffn
@geindex Subtype_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subtype_Attribute}@anchor{dae}
-@deffn {Attribute} Subtype_Attribute = [<Iir_Kind.Base_Attribute: 264>, <Iir_Kind.Subtype_Attribute: 265>, <Iir_Kind.Element_Attribute: 266>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Subtype_Attribute}@anchor{12a3}
+@deffn {Attribute} Subtype_Attribute = [<Iir_Kind.Base_Attribute: 266>, <Iir_Kind.Subtype_Attribute: 267>, <Iir_Kind.Element_Attribute: 268>]
@end deffn
@geindex Scalar_Type_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Type_Attribute}@anchor{daf}
-@deffn {Attribute} Scalar_Type_Attribute = [<Iir_Kind.Pos_Attribute: 277>, <Iir_Kind.Val_Attribute: 278>, <Iir_Kind.Succ_Attribute: 279>, <Iir_Kind.Pred_Attribute: 280>, <Iir_Kind.Leftof_Attribute: 281>, <Iir_Kind.Rightof_Attribute: 282>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Scalar_Type_Attribute}@anchor{12a4}
+@deffn {Attribute} Scalar_Type_Attribute = [<Iir_Kind.Pos_Attribute: 279>, <Iir_Kind.Val_Attribute: 280>, <Iir_Kind.Succ_Attribute: 281>, <Iir_Kind.Pred_Attribute: 282>, <Iir_Kind.Leftof_Attribute: 283>, <Iir_Kind.Rightof_Attribute: 284>]
@end deffn
@geindex Array_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Attribute}@anchor{db0}
-@deffn {Attribute} Array_Attribute = [<Iir_Kind.Left_Array_Attribute: 309>, <Iir_Kind.Right_Array_Attribute: 310>, <Iir_Kind.High_Array_Attribute: 311>, <Iir_Kind.Low_Array_Attribute: 312>, <Iir_Kind.Length_Array_Attribute: 313>, <Iir_Kind.Ascending_Array_Attribute: 314>, <Iir_Kind.Range_Array_Attribute: 315>, <Iir_Kind.Reverse_Range_Array_Attribute: 316>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Array_Attribute}@anchor{12a5}
+@deffn {Attribute} Array_Attribute = [<Iir_Kind.Left_Array_Attribute: 311>, <Iir_Kind.Right_Array_Attribute: 312>, <Iir_Kind.High_Array_Attribute: 313>, <Iir_Kind.Low_Array_Attribute: 314>, <Iir_Kind.Length_Array_Attribute: 315>, <Iir_Kind.Ascending_Array_Attribute: 316>, <Iir_Kind.Range_Array_Attribute: 317>, <Iir_Kind.Reverse_Range_Array_Attribute: 318>]
@end deffn
@geindex Range_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Range_Attribute}@anchor{db1}
-@deffn {Attribute} Range_Attribute = [<Iir_Kind.Range_Array_Attribute: 315>, <Iir_Kind.Reverse_Range_Array_Attribute: 316>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Range_Attribute}@anchor{12a6}
+@deffn {Attribute} Range_Attribute = [<Iir_Kind.Range_Array_Attribute: 317>, <Iir_Kind.Reverse_Range_Array_Attribute: 318>]
@end deffn
@geindex Signal_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Signal_Attribute}@anchor{db2}
-@deffn {Attribute} Signal_Attribute = [<Iir_Kind.Delayed_Attribute: 293>, <Iir_Kind.Stable_Attribute: 294>, <Iir_Kind.Quiet_Attribute: 295>, <Iir_Kind.Transaction_Attribute: 296>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Signal_Attribute}@anchor{12a7}
+@deffn {Attribute} Signal_Attribute = [<Iir_Kind.Delayed_Attribute: 295>, <Iir_Kind.Stable_Attribute: 296>, <Iir_Kind.Quiet_Attribute: 297>, <Iir_Kind.Transaction_Attribute: 298>]
@end deffn
@geindex Signal_Value_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Signal_Value_Attribute}@anchor{db3}
-@deffn {Attribute} Signal_Value_Attribute = [<Iir_Kind.Event_Attribute: 297>, <Iir_Kind.Active_Attribute: 298>, <Iir_Kind.Last_Event_Attribute: 299>, <Iir_Kind.Last_Active_Attribute: 300>, <Iir_Kind.Last_Value_Attribute: 301>, <Iir_Kind.Driving_Attribute: 302>, <Iir_Kind.Driving_Value_Attribute: 303>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Signal_Value_Attribute}@anchor{12a8}
+@deffn {Attribute} Signal_Value_Attribute = [<Iir_Kind.Event_Attribute: 299>, <Iir_Kind.Active_Attribute: 300>, <Iir_Kind.Last_Event_Attribute: 301>, <Iir_Kind.Last_Active_Attribute: 302>, <Iir_Kind.Last_Value_Attribute: 303>, <Iir_Kind.Driving_Attribute: 304>, <Iir_Kind.Driving_Value_Attribute: 305>]
@end deffn
@geindex Name_Attribute (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Name_Attribute}@anchor{db4}
-@deffn {Attribute} Name_Attribute = [<Iir_Kind.Simple_Name_Attribute: 306>, <Iir_Kind.Instance_Name_Attribute: 307>, <Iir_Kind.Path_Name_Attribute: 308>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Name_Attribute}@anchor{12a9}
+@deffn {Attribute} Name_Attribute = [<Iir_Kind.Simple_Name_Attribute: 308>, <Iir_Kind.Instance_Name_Attribute: 309>, <Iir_Kind.Path_Name_Attribute: 310>]
@end deffn
@geindex Concurrent_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Concurrent_Statement}@anchor{db5}
-@deffn {Attribute} Concurrent_Statement = [<Iir_Kind.Sensitized_Process_Statement: 203>, <Iir_Kind.Process_Statement: 204>, <Iir_Kind.Concurrent_Simple_Signal_Assignment: 205>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 206>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Assertion_Statement: 208>, <Iir_Kind.Concurrent_Procedure_Call_Statement: 209>, <Iir_Kind.Concurrent_Break_Statement: 210>, <Iir_Kind.Psl_Assert_Directive: 211>, <Iir_Kind.Psl_Assume_Directive: 212>, <Iir_Kind.Psl_Cover_Directive: 213>, <Iir_Kind.Psl_Restrict_Directive: 214>, <Iir_Kind.Block_Statement: 215>, <Iir_Kind.If_Generate_Statement: 216>, <Iir_Kind.Case_Generate_Statement: 217>, <Iir_Kind.For_Generate_Statement: 218>, <Iir_Kind.Component_Instantiation_Statement: 219>, <Iir_Kind.Psl_Default_Clock: 220>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Concurrent_Statement}@anchor{12aa}
+@deffn {Attribute} Concurrent_Statement = [<Iir_Kind.Sensitized_Process_Statement: 205>, <Iir_Kind.Process_Statement: 206>, <Iir_Kind.Concurrent_Simple_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 208>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 209>, <Iir_Kind.Concurrent_Assertion_Statement: 210>, <Iir_Kind.Concurrent_Procedure_Call_Statement: 211>, <Iir_Kind.Concurrent_Break_Statement: 212>, <Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>, <Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>, <Iir_Kind.Block_Statement: 217>, <Iir_Kind.If_Generate_Statement: 218>, <Iir_Kind.Case_Generate_Statement: 219>, <Iir_Kind.For_Generate_Statement: 220>, <Iir_Kind.Component_Instantiation_Statement: 221>]
@end deffn
@geindex Simple_Concurrent_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Simple_Concurrent_Statement}@anchor{db6}
-@deffn {Attribute} Simple_Concurrent_Statement = [<Iir_Kind.Sensitized_Process_Statement: 203>, <Iir_Kind.Process_Statement: 204>, <Iir_Kind.Concurrent_Simple_Signal_Assignment: 205>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 206>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Assertion_Statement: 208>, <Iir_Kind.Concurrent_Procedure_Call_Statement: 209>, <Iir_Kind.Concurrent_Break_Statement: 210>, <Iir_Kind.Psl_Assert_Directive: 211>, <Iir_Kind.Psl_Assume_Directive: 212>, <Iir_Kind.Psl_Cover_Directive: 213>, <Iir_Kind.Psl_Restrict_Directive: 214>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Simple_Concurrent_Statement}@anchor{12ab}
+@deffn {Attribute} Simple_Concurrent_Statement = [<Iir_Kind.Sensitized_Process_Statement: 205>, <Iir_Kind.Process_Statement: 206>, <Iir_Kind.Concurrent_Simple_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 208>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 209>, <Iir_Kind.Concurrent_Assertion_Statement: 210>, <Iir_Kind.Concurrent_Procedure_Call_Statement: 211>, <Iir_Kind.Concurrent_Break_Statement: 212>, <Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>, <Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>]
+@end deffn
+
+@geindex Psl_Property_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Property_Directive}@anchor{12ac}
+@deffn {Attribute} Psl_Property_Directive = [<Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>]
+@end deffn
+
+@geindex Psl_Sequence_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Sequence_Directive}@anchor{12ad}
+@deffn {Attribute} Psl_Sequence_Directive = [<Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>]
+@end deffn
+
+@geindex Psl_Directive (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Psl_Directive}@anchor{12ae}
+@deffn {Attribute} Psl_Directive = [<Iir_Kind.Psl_Assert_Directive: 213>, <Iir_Kind.Psl_Assume_Directive: 214>, <Iir_Kind.Psl_Cover_Directive: 215>, <Iir_Kind.Psl_Restrict_Directive: 216>]
@end deffn
@geindex Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Generate_Statement}@anchor{db7}
-@deffn {Attribute} Generate_Statement = [<Iir_Kind.If_Generate_Statement: 216>, <Iir_Kind.Case_Generate_Statement: 217>, <Iir_Kind.For_Generate_Statement: 218>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Generate_Statement}@anchor{12af}
+@deffn {Attribute} Generate_Statement = [<Iir_Kind.If_Generate_Statement: 218>, <Iir_Kind.Case_Generate_Statement: 219>, <Iir_Kind.For_Generate_Statement: 220>]
@end deffn
@geindex Concurrent_Signal_Assignment (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Concurrent_Signal_Assignment}@anchor{db8}
-@deffn {Attribute} Concurrent_Signal_Assignment = [<Iir_Kind.Concurrent_Simple_Signal_Assignment: 205>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 206>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 207>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Concurrent_Signal_Assignment}@anchor{12b0}
+@deffn {Attribute} Concurrent_Signal_Assignment = [<Iir_Kind.Concurrent_Simple_Signal_Assignment: 207>, <Iir_Kind.Concurrent_Conditional_Signal_Assignment: 208>, <Iir_Kind.Concurrent_Selected_Signal_Assignment: 209>]
@end deffn
@geindex If_Case_Generate_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds If_Case_Generate_Statement}@anchor{db9}
-@deffn {Attribute} If_Case_Generate_Statement = [<Iir_Kind.If_Generate_Statement: 216>, <Iir_Kind.Case_Generate_Statement: 217>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds If_Case_Generate_Statement}@anchor{12b1}
+@deffn {Attribute} If_Case_Generate_Statement = [<Iir_Kind.If_Generate_Statement: 218>, <Iir_Kind.Case_Generate_Statement: 219>]
@end deffn
@geindex Simultaneous_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Simultaneous_Statement}@anchor{dba}
-@deffn {Attribute} Simultaneous_Statement = [<Iir_Kind.Simple_Simultaneous_Statement: 223>, <Iir_Kind.Simultaneous_Null_Statement: 224>, <Iir_Kind.Simultaneous_Procedural_Statement: 225>, <Iir_Kind.Simultaneous_Case_Statement: 226>, <Iir_Kind.Simultaneous_If_Statement: 227>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Simultaneous_Statement}@anchor{12b2}
+@deffn {Attribute} Simultaneous_Statement = [<Iir_Kind.Simple_Simultaneous_Statement: 225>, <Iir_Kind.Simultaneous_Null_Statement: 226>, <Iir_Kind.Simultaneous_Procedural_Statement: 227>, <Iir_Kind.Simultaneous_Case_Statement: 228>, <Iir_Kind.Simultaneous_If_Statement: 229>]
@end deffn
@geindex Sequential_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Sequential_Statement}@anchor{dbb}
-@deffn {Attribute} Sequential_Statement = [<Iir_Kind.Simple_Signal_Assignment_Statement: 229>, <Iir_Kind.Conditional_Signal_Assignment_Statement: 230>, <Iir_Kind.Selected_Waveform_Assignment_Statement: 231>, <Iir_Kind.Signal_Force_Assignment_Statement: 232>, <Iir_Kind.Signal_Release_Assignment_Statement: 233>, <Iir_Kind.Null_Statement: 234>, <Iir_Kind.Assertion_Statement: 235>, <Iir_Kind.Report_Statement: 236>, <Iir_Kind.Wait_Statement: 237>, <Iir_Kind.Variable_Assignment_Statement: 238>, <Iir_Kind.Conditional_Variable_Assignment_Statement: 239>, <Iir_Kind.Return_Statement: 240>, <Iir_Kind.For_Loop_Statement: 241>, <Iir_Kind.While_Loop_Statement: 242>, <Iir_Kind.Next_Statement: 243>, <Iir_Kind.Exit_Statement: 244>, <Iir_Kind.Case_Statement: 245>, <Iir_Kind.Procedure_Call_Statement: 246>, <Iir_Kind.Break_Statement: 247>, <Iir_Kind.If_Statement: 248>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Sequential_Statement}@anchor{12b3}
+@deffn {Attribute} Sequential_Statement = [<Iir_Kind.Simple_Signal_Assignment_Statement: 231>, <Iir_Kind.Conditional_Signal_Assignment_Statement: 232>, <Iir_Kind.Selected_Waveform_Assignment_Statement: 233>, <Iir_Kind.Signal_Force_Assignment_Statement: 234>, <Iir_Kind.Signal_Release_Assignment_Statement: 235>, <Iir_Kind.Null_Statement: 236>, <Iir_Kind.Assertion_Statement: 237>, <Iir_Kind.Report_Statement: 238>, <Iir_Kind.Wait_Statement: 239>, <Iir_Kind.Variable_Assignment_Statement: 240>, <Iir_Kind.Conditional_Variable_Assignment_Statement: 241>, <Iir_Kind.Return_Statement: 242>, <Iir_Kind.For_Loop_Statement: 243>, <Iir_Kind.While_Loop_Statement: 244>, <Iir_Kind.Next_Statement: 245>, <Iir_Kind.Exit_Statement: 246>, <Iir_Kind.Case_Statement: 247>, <Iir_Kind.Procedure_Call_Statement: 248>, <Iir_Kind.Break_Statement: 249>, <Iir_Kind.If_Statement: 250>]
@end deffn
@geindex Next_Exit_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Next_Exit_Statement}@anchor{dbc}
-@deffn {Attribute} Next_Exit_Statement = [<Iir_Kind.Next_Statement: 243>, <Iir_Kind.Exit_Statement: 244>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Next_Exit_Statement}@anchor{12b4}
+@deffn {Attribute} Next_Exit_Statement = [<Iir_Kind.Next_Statement: 245>, <Iir_Kind.Exit_Statement: 246>]
@end deffn
@geindex Variable_Assignment_Statement (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Variable_Assignment_Statement}@anchor{dbd}
-@deffn {Attribute} Variable_Assignment_Statement = [<Iir_Kind.Variable_Assignment_Statement: 238>, <Iir_Kind.Conditional_Variable_Assignment_Statement: 239>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Variable_Assignment_Statement}@anchor{12b5}
+@deffn {Attribute} Variable_Assignment_Statement = [<Iir_Kind.Variable_Assignment_Statement: 240>, <Iir_Kind.Conditional_Variable_Assignment_Statement: 241>]
@end deffn
@geindex Allocator (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Allocator}@anchor{dbe}
-@deffn {Attribute} Allocator = [<Iir_Kind.Allocator_By_Expression: 189>, <Iir_Kind.Allocator_By_Subtype: 190>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Allocator}@anchor{12b6}
+@deffn {Attribute} Allocator = [<Iir_Kind.Allocator_By_Expression: 191>, <Iir_Kind.Allocator_By_Subtype: 192>]
@end deffn
@geindex Clause (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Clause}@anchor{dbf}
-@deffn {Attribute} Clause = [<Iir_Kind.Library_Clause: 5>, <Iir_Kind.Use_Clause: 6>, <Iir_Kind.Context_Reference: 7>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Clause}@anchor{12b7}
+@deffn {Attribute} Clause = [<Iir_Kind.Library_Clause: 4>, <Iir_Kind.Use_Clause: 5>, <Iir_Kind.Context_Reference: 6>]
@end deffn
@geindex Specification (pyGHDL.libghdl.vhdl.nodes.Iir_Kinds attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Specification}@anchor{dc0}
-@deffn {Attribute} Specification = [<Iir_Kind.Attribute_Specification: 50>, <Iir_Kind.Disconnection_Specification: 51>, <Iir_Kind.Step_Limit_Specification: 52>, <Iir_Kind.Configuration_Specification: 53>]
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Kinds Specification}@anchor{12b8}
+@deffn {Attribute} Specification = [<Iir_Kind.Attribute_Specification: 51>, <Iir_Kind.Disconnection_Specification: 52>, <Iir_Kind.Step_Limit_Specification: 53>, <Iir_Kind.Configuration_Specification: 54>]
@end deffn
@end deffn
@geindex Iir_Mode (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode}@anchor{94e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode}@anchor{e3d}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Mode (value)
-
An enumeration.
@subsubheading Inheritance
@@ -26940,41 +36786,40 @@ An enumeration.
@geindex Unknown_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Unknown_Mode}@anchor{dc1}
-@deffn {Attribute} Unknown_Mode = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Unknown_Mode}@anchor{12b9}
+@deffn {Attribute} Unknown_Mode = 0
@end deffn
@geindex Linkage_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Linkage_Mode}@anchor{dc2}
-@deffn {Attribute} Linkage_Mode = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Linkage_Mode}@anchor{12ba}
+@deffn {Attribute} Linkage_Mode = 1
@end deffn
@geindex Buffer_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Buffer_Mode}@anchor{dc3}
-@deffn {Attribute} Buffer_Mode = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Buffer_Mode}@anchor{12bb}
+@deffn {Attribute} Buffer_Mode = 2
@end deffn
@geindex Out_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Out_Mode}@anchor{dc4}
-@deffn {Attribute} Out_Mode = 3
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Out_Mode}@anchor{12bc}
+@deffn {Attribute} Out_Mode = 3
@end deffn
@geindex Inout_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Inout_Mode}@anchor{dc5}
-@deffn {Attribute} Inout_Mode = 4
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode Inout_Mode}@anchor{12bd}
+@deffn {Attribute} Inout_Mode = 4
@end deffn
@geindex In_Mode (pyGHDL.libghdl.vhdl.nodes.Iir_Mode attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode In_Mode}@anchor{dc6}
-@deffn {Attribute} In_Mode = 5
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Mode In_Mode}@anchor{12be}
+@deffn {Attribute} In_Mode = 5
@end deffn
@end deffn
@geindex ScalarSize (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize}@anchor{94f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize}@anchor{e3e}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes.ScalarSize (value)
-
An enumeration.
@subsubheading Inheritance
@@ -26985,31 +36830,30 @@ An enumeration.
@geindex Scalar_8 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_8}@anchor{dc7}
-@deffn {Attribute} Scalar_8 = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_8}@anchor{12bf}
+@deffn {Attribute} Scalar_8 = 0
@end deffn
@geindex Scalar_16 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_16}@anchor{dc8}
-@deffn {Attribute} Scalar_16 = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_16}@anchor{12c0}
+@deffn {Attribute} Scalar_16 = 1
@end deffn
@geindex Scalar_32 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_32}@anchor{dc9}
-@deffn {Attribute} Scalar_32 = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_32}@anchor{12c1}
+@deffn {Attribute} Scalar_32 = 2
@end deffn
@geindex Scalar_64 (pyGHDL.libghdl.vhdl.nodes.ScalarSize attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_64}@anchor{dca}
-@deffn {Attribute} Scalar_64 = 3
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes ScalarSize Scalar_64}@anchor{12c2}
+@deffn {Attribute} Scalar_64 = 3
@end deffn
@end deffn
@geindex Iir_Staticness (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness}@anchor{950}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness}@anchor{e3f}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Staticness (value)
-
An enumeration.
@subsubheading Inheritance
@@ -27020,31 +36864,30 @@ An enumeration.
@geindex Unknown (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Unknown}@anchor{dcb}
-@deffn {Attribute} Unknown = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Unknown}@anchor{12c3}
+@deffn {Attribute} Unknown = 0
@end deffn
@geindex PNone (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness PNone}@anchor{dcc}
-@deffn {Attribute} PNone = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness PNone}@anchor{12c4}
+@deffn {Attribute} PNone = 1
@end deffn
@geindex Globally (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Globally}@anchor{dcd}
-@deffn {Attribute} Globally = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Globally}@anchor{12c5}
+@deffn {Attribute} Globally = 2
@end deffn
@geindex Locally (pyGHDL.libghdl.vhdl.nodes.Iir_Staticness attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Locally}@anchor{dce}
-@deffn {Attribute} Locally = 3
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Staticness Locally}@anchor{12c6}
+@deffn {Attribute} Locally = 3
@end deffn
@end deffn
@geindex Iir_Constraint (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint}@anchor{951}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint}@anchor{e40}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Constraint (value)
-
An enumeration.
@subsubheading Inheritance
@@ -27055,26 +36898,25 @@ An enumeration.
@geindex Unconstrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Unconstrained}@anchor{dcf}
-@deffn {Attribute} Unconstrained = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Unconstrained}@anchor{12c7}
+@deffn {Attribute} Unconstrained = 0
@end deffn
@geindex Partially_Constrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Partially_Constrained}@anchor{dd0}
-@deffn {Attribute} Partially_Constrained = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Partially_Constrained}@anchor{12c8}
+@deffn {Attribute} Partially_Constrained = 1
@end deffn
@geindex Fully_Constrained (pyGHDL.libghdl.vhdl.nodes.Iir_Constraint attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Fully_Constrained}@anchor{dd1}
-@deffn {Attribute} Fully_Constrained = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Constraint Fully_Constrained}@anchor{12c9}
+@deffn {Attribute} Fully_Constrained = 2
@end deffn
@end deffn
@geindex Iir_Delay_Mechanism (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism}@anchor{952}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism}@anchor{e41}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism (value)
-
An enumeration.
@subsubheading Inheritance
@@ -27085,21 +36927,20 @@ An enumeration.
@geindex Inertial_Delay (pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism Inertial_Delay}@anchor{dd2}
-@deffn {Attribute} Inertial_Delay = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism Inertial_Delay}@anchor{12ca}
+@deffn {Attribute} Inertial_Delay = 0
@end deffn
@geindex Transport_Delay (pyGHDL.libghdl.vhdl.nodes.Iir_Delay_Mechanism attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism Transport_Delay}@anchor{dd3}
-@deffn {Attribute} Transport_Delay = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Delay_Mechanism Transport_Delay}@anchor{12cb}
+@deffn {Attribute} Transport_Delay = 1
@end deffn
@end deffn
@geindex DateStateType (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType}@anchor{953}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType}@anchor{e42}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes.DateStateType (value)
-
An enumeration.
@subsubheading Inheritance
@@ -27110,31 +36951,30 @@ An enumeration.
@geindex Extern (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Extern}@anchor{dd4}
-@deffn {Attribute} Extern = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Extern}@anchor{12cc}
+@deffn {Attribute} Extern = 0
@end deffn
@geindex Disk (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Disk}@anchor{dd5}
-@deffn {Attribute} Disk = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Disk}@anchor{12cd}
+@deffn {Attribute} Disk = 1
@end deffn
@geindex Parse (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Parse}@anchor{dd6}
-@deffn {Attribute} Parse = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Parse}@anchor{12ce}
+@deffn {Attribute} Parse = 2
@end deffn
@geindex Analyze (pyGHDL.libghdl.vhdl.nodes.DateStateType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Analyze}@anchor{dd7}
-@deffn {Attribute} Analyze = 3
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes DateStateType Analyze}@anchor{12cf}
+@deffn {Attribute} Analyze = 3
@end deffn
@end deffn
@geindex NumberBaseType (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType}@anchor{954}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType}@anchor{e43}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes.NumberBaseType (value)
-
An enumeration.
@subsubheading Inheritance
@@ -27145,36 +36985,35 @@ An enumeration.
@geindex Base_None (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_None}@anchor{dd8}
-@deffn {Attribute} Base_None = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_None}@anchor{12d0}
+@deffn {Attribute} Base_None = 0
@end deffn
@geindex Base_2 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_2}@anchor{dd9}
-@deffn {Attribute} Base_2 = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_2}@anchor{12d1}
+@deffn {Attribute} Base_2 = 1
@end deffn
@geindex Base_8 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_8}@anchor{dda}
-@deffn {Attribute} Base_8 = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_8}@anchor{12d2}
+@deffn {Attribute} Base_8 = 2
@end deffn
@geindex Base_10 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_10}@anchor{ddb}
-@deffn {Attribute} Base_10 = 3
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_10}@anchor{12d3}
+@deffn {Attribute} Base_10 = 3
@end deffn
@geindex Base_16 (pyGHDL.libghdl.vhdl.nodes.NumberBaseType attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_16}@anchor{ddc}
-@deffn {Attribute} Base_16 = 4
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes NumberBaseType Base_16}@anchor{12d4}
+@deffn {Attribute} Base_16 = 4
@end deffn
@end deffn
@geindex Iir_Predefined (class in pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined}@anchor{955}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined}@anchor{e44}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes.Iir_Predefined (value)
-
An enumeration.
@subsubheading Inheritance
@@ -27185,3288 +37024,3318 @@ An enumeration.
@geindex Error (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Error}@anchor{ddd}
-@deffn {Attribute} Error = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Error}@anchor{12d5}
+@deffn {Attribute} Error = 0
@end deffn
@geindex Boolean_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_And}@anchor{dde}
-@deffn {Attribute} Boolean_And = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_And}@anchor{12d6}
+@deffn {Attribute} Boolean_And = 1
@end deffn
@geindex Boolean_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Or}@anchor{ddf}
-@deffn {Attribute} Boolean_Or = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Or}@anchor{12d7}
+@deffn {Attribute} Boolean_Or = 2
@end deffn
@geindex Boolean_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Nand}@anchor{de0}
-@deffn {Attribute} Boolean_Nand = 3
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Nand}@anchor{12d8}
+@deffn {Attribute} Boolean_Nand = 3
@end deffn
@geindex Boolean_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Nor}@anchor{de1}
-@deffn {Attribute} Boolean_Nor = 4
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Nor}@anchor{12d9}
+@deffn {Attribute} Boolean_Nor = 4
@end deffn
@geindex Boolean_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Xor}@anchor{de2}
-@deffn {Attribute} Boolean_Xor = 5
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Xor}@anchor{12da}
+@deffn {Attribute} Boolean_Xor = 5
@end deffn
@geindex Boolean_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Xnor}@anchor{de3}
-@deffn {Attribute} Boolean_Xnor = 6
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Xnor}@anchor{12db}
+@deffn {Attribute} Boolean_Xnor = 6
@end deffn
@geindex Boolean_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Not}@anchor{de4}
-@deffn {Attribute} Boolean_Not = 7
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Not}@anchor{12dc}
+@deffn {Attribute} Boolean_Not = 7
@end deffn
@geindex Boolean_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Rising_Edge}@anchor{de5}
-@deffn {Attribute} Boolean_Rising_Edge = 8
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Rising_Edge}@anchor{12dd}
+@deffn {Attribute} Boolean_Rising_Edge = 8
@end deffn
@geindex Boolean_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Falling_Edge}@anchor{de6}
-@deffn {Attribute} Boolean_Falling_Edge = 9
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Boolean_Falling_Edge}@anchor{12de}
+@deffn {Attribute} Boolean_Falling_Edge = 9
@end deffn
@geindex Enum_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Equality}@anchor{de7}
-@deffn {Attribute} Enum_Equality = 10
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Equality}@anchor{12df}
+@deffn {Attribute} Enum_Equality = 10
@end deffn
@geindex Enum_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Inequality}@anchor{de8}
-@deffn {Attribute} Enum_Inequality = 11
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Inequality}@anchor{12e0}
+@deffn {Attribute} Enum_Inequality = 11
@end deffn
@geindex Enum_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Less}@anchor{de9}
-@deffn {Attribute} Enum_Less = 12
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Less}@anchor{12e1}
+@deffn {Attribute} Enum_Less = 12
@end deffn
@geindex Enum_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Less_Equal}@anchor{dea}
-@deffn {Attribute} Enum_Less_Equal = 13
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Less_Equal}@anchor{12e2}
+@deffn {Attribute} Enum_Less_Equal = 13
@end deffn
@geindex Enum_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Greater}@anchor{deb}
-@deffn {Attribute} Enum_Greater = 14
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Greater}@anchor{12e3}
+@deffn {Attribute} Enum_Greater = 14
@end deffn
@geindex Enum_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Greater_Equal}@anchor{dec}
-@deffn {Attribute} Enum_Greater_Equal = 15
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Greater_Equal}@anchor{12e4}
+@deffn {Attribute} Enum_Greater_Equal = 15
@end deffn
@geindex Enum_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Minimum}@anchor{ded}
-@deffn {Attribute} Enum_Minimum = 16
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Minimum}@anchor{12e5}
+@deffn {Attribute} Enum_Minimum = 16
@end deffn
@geindex Enum_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Maximum}@anchor{dee}
-@deffn {Attribute} Enum_Maximum = 17
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_Maximum}@anchor{12e6}
+@deffn {Attribute} Enum_Maximum = 17
@end deffn
@geindex Enum_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_To_String}@anchor{def}
-@deffn {Attribute} Enum_To_String = 18
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Enum_To_String}@anchor{12e7}
+@deffn {Attribute} Enum_To_String = 18
@end deffn
@geindex Bit_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_And}@anchor{df0}
-@deffn {Attribute} Bit_And = 19
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_And}@anchor{12e8}
+@deffn {Attribute} Bit_And = 19
@end deffn
@geindex Bit_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Or}@anchor{df1}
-@deffn {Attribute} Bit_Or = 20
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Or}@anchor{12e9}
+@deffn {Attribute} Bit_Or = 20
@end deffn
@geindex Bit_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Nand}@anchor{df2}
-@deffn {Attribute} Bit_Nand = 21
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Nand}@anchor{12ea}
+@deffn {Attribute} Bit_Nand = 21
@end deffn
@geindex Bit_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Nor}@anchor{df3}
-@deffn {Attribute} Bit_Nor = 22
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Nor}@anchor{12eb}
+@deffn {Attribute} Bit_Nor = 22
@end deffn
@geindex Bit_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Xor}@anchor{df4}
-@deffn {Attribute} Bit_Xor = 23
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Xor}@anchor{12ec}
+@deffn {Attribute} Bit_Xor = 23
@end deffn
@geindex Bit_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Xnor}@anchor{df5}
-@deffn {Attribute} Bit_Xnor = 24
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Xnor}@anchor{12ed}
+@deffn {Attribute} Bit_Xnor = 24
@end deffn
@geindex Bit_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Not}@anchor{df6}
-@deffn {Attribute} Bit_Not = 25
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Not}@anchor{12ee}
+@deffn {Attribute} Bit_Not = 25
@end deffn
@geindex Bit_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Equality}@anchor{df7}
-@deffn {Attribute} Bit_Match_Equality = 26
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Equality}@anchor{12ef}
+@deffn {Attribute} Bit_Match_Equality = 26
@end deffn
@geindex Bit_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Inequality}@anchor{df8}
-@deffn {Attribute} Bit_Match_Inequality = 27
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Inequality}@anchor{12f0}
+@deffn {Attribute} Bit_Match_Inequality = 27
@end deffn
@geindex Bit_Match_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Less}@anchor{df9}
-@deffn {Attribute} Bit_Match_Less = 28
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Less}@anchor{12f1}
+@deffn {Attribute} Bit_Match_Less = 28
@end deffn
@geindex Bit_Match_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Less_Equal}@anchor{dfa}
-@deffn {Attribute} Bit_Match_Less_Equal = 29
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Less_Equal}@anchor{12f2}
+@deffn {Attribute} Bit_Match_Less_Equal = 29
@end deffn
@geindex Bit_Match_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Greater}@anchor{dfb}
-@deffn {Attribute} Bit_Match_Greater = 30
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Greater}@anchor{12f3}
+@deffn {Attribute} Bit_Match_Greater = 30
@end deffn
@geindex Bit_Match_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Greater_Equal}@anchor{dfc}
-@deffn {Attribute} Bit_Match_Greater_Equal = 31
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Match_Greater_Equal}@anchor{12f4}
+@deffn {Attribute} Bit_Match_Greater_Equal = 31
@end deffn
@geindex Bit_Condition (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Condition}@anchor{dfd}
-@deffn {Attribute} Bit_Condition = 32
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Condition}@anchor{12f5}
+@deffn {Attribute} Bit_Condition = 32
@end deffn
@geindex Bit_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Rising_Edge}@anchor{dfe}
-@deffn {Attribute} Bit_Rising_Edge = 33
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Rising_Edge}@anchor{12f6}
+@deffn {Attribute} Bit_Rising_Edge = 33
@end deffn
@geindex Bit_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Falling_Edge}@anchor{dff}
-@deffn {Attribute} Bit_Falling_Edge = 34
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Falling_Edge}@anchor{12f7}
+@deffn {Attribute} Bit_Falling_Edge = 34
@end deffn
@geindex Integer_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Equality}@anchor{e00}
-@deffn {Attribute} Integer_Equality = 35
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Equality}@anchor{12f8}
+@deffn {Attribute} Integer_Equality = 35
@end deffn
@geindex Integer_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Inequality}@anchor{e01}
-@deffn {Attribute} Integer_Inequality = 36
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Inequality}@anchor{12f9}
+@deffn {Attribute} Integer_Inequality = 36
@end deffn
@geindex Integer_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Less}@anchor{e02}
-@deffn {Attribute} Integer_Less = 37
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Less}@anchor{12fa}
+@deffn {Attribute} Integer_Less = 37
@end deffn
@geindex Integer_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Less_Equal}@anchor{e03}
-@deffn {Attribute} Integer_Less_Equal = 38
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Less_Equal}@anchor{12fb}
+@deffn {Attribute} Integer_Less_Equal = 38
@end deffn
@geindex Integer_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Greater}@anchor{e04}
-@deffn {Attribute} Integer_Greater = 39
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Greater}@anchor{12fc}
+@deffn {Attribute} Integer_Greater = 39
@end deffn
@geindex Integer_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Greater_Equal}@anchor{e05}
-@deffn {Attribute} Integer_Greater_Equal = 40
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Greater_Equal}@anchor{12fd}
+@deffn {Attribute} Integer_Greater_Equal = 40
@end deffn
@geindex Integer_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Identity}@anchor{e06}
-@deffn {Attribute} Integer_Identity = 41
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Identity}@anchor{12fe}
+@deffn {Attribute} Integer_Identity = 41
@end deffn
@geindex Integer_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Negation}@anchor{e07}
-@deffn {Attribute} Integer_Negation = 42
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Negation}@anchor{12ff}
+@deffn {Attribute} Integer_Negation = 42
@end deffn
@geindex Integer_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Absolute}@anchor{e08}
-@deffn {Attribute} Integer_Absolute = 43
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Absolute}@anchor{1300}
+@deffn {Attribute} Integer_Absolute = 43
@end deffn
@geindex Integer_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Plus}@anchor{e09}
-@deffn {Attribute} Integer_Plus = 44
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Plus}@anchor{1301}
+@deffn {Attribute} Integer_Plus = 44
@end deffn
@geindex Integer_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Minus}@anchor{e0a}
-@deffn {Attribute} Integer_Minus = 45
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Minus}@anchor{1302}
+@deffn {Attribute} Integer_Minus = 45
@end deffn
@geindex Integer_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Mul}@anchor{e0b}
-@deffn {Attribute} Integer_Mul = 46
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Mul}@anchor{1303}
+@deffn {Attribute} Integer_Mul = 46
@end deffn
@geindex Integer_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Div}@anchor{e0c}
-@deffn {Attribute} Integer_Div = 47
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Div}@anchor{1304}
+@deffn {Attribute} Integer_Div = 47
@end deffn
@geindex Integer_Mod (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Mod}@anchor{e0d}
-@deffn {Attribute} Integer_Mod = 48
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Mod}@anchor{1305}
+@deffn {Attribute} Integer_Mod = 48
@end deffn
@geindex Integer_Rem (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Rem}@anchor{e0e}
-@deffn {Attribute} Integer_Rem = 49
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Rem}@anchor{1306}
+@deffn {Attribute} Integer_Rem = 49
@end deffn
@geindex Integer_Exp (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Exp}@anchor{e0f}
-@deffn {Attribute} Integer_Exp = 50
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Exp}@anchor{1307}
+@deffn {Attribute} Integer_Exp = 50
@end deffn
@geindex Integer_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Minimum}@anchor{e10}
-@deffn {Attribute} Integer_Minimum = 51
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Minimum}@anchor{1308}
+@deffn {Attribute} Integer_Minimum = 51
@end deffn
@geindex Integer_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Maximum}@anchor{e11}
-@deffn {Attribute} Integer_Maximum = 52
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Maximum}@anchor{1309}
+@deffn {Attribute} Integer_Maximum = 52
@end deffn
@geindex Integer_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_To_String}@anchor{e12}
-@deffn {Attribute} Integer_To_String = 53
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_To_String}@anchor{130a}
+@deffn {Attribute} Integer_To_String = 53
@end deffn
@geindex Floating_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Equality}@anchor{e13}
-@deffn {Attribute} Floating_Equality = 54
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Equality}@anchor{130b}
+@deffn {Attribute} Floating_Equality = 54
@end deffn
@geindex Floating_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Inequality}@anchor{e14}
-@deffn {Attribute} Floating_Inequality = 55
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Inequality}@anchor{130c}
+@deffn {Attribute} Floating_Inequality = 55
@end deffn
@geindex Floating_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Less}@anchor{e15}
-@deffn {Attribute} Floating_Less = 56
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Less}@anchor{130d}
+@deffn {Attribute} Floating_Less = 56
@end deffn
@geindex Floating_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Less_Equal}@anchor{e16}
-@deffn {Attribute} Floating_Less_Equal = 57
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Less_Equal}@anchor{130e}
+@deffn {Attribute} Floating_Less_Equal = 57
@end deffn
@geindex Floating_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Greater}@anchor{e17}
-@deffn {Attribute} Floating_Greater = 58
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Greater}@anchor{130f}
+@deffn {Attribute} Floating_Greater = 58
@end deffn
@geindex Floating_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Greater_Equal}@anchor{e18}
-@deffn {Attribute} Floating_Greater_Equal = 59
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Greater_Equal}@anchor{1310}
+@deffn {Attribute} Floating_Greater_Equal = 59
@end deffn
@geindex Floating_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Identity}@anchor{e19}
-@deffn {Attribute} Floating_Identity = 60
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Identity}@anchor{1311}
+@deffn {Attribute} Floating_Identity = 60
@end deffn
@geindex Floating_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Negation}@anchor{e1a}
-@deffn {Attribute} Floating_Negation = 61
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Negation}@anchor{1312}
+@deffn {Attribute} Floating_Negation = 61
@end deffn
@geindex Floating_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Absolute}@anchor{e1b}
-@deffn {Attribute} Floating_Absolute = 62
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Absolute}@anchor{1313}
+@deffn {Attribute} Floating_Absolute = 62
@end deffn
@geindex Floating_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Plus}@anchor{e1c}
-@deffn {Attribute} Floating_Plus = 63
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Plus}@anchor{1314}
+@deffn {Attribute} Floating_Plus = 63
@end deffn
@geindex Floating_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Minus}@anchor{e1d}
-@deffn {Attribute} Floating_Minus = 64
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Minus}@anchor{1315}
+@deffn {Attribute} Floating_Minus = 64
@end deffn
@geindex Floating_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Mul}@anchor{e1e}
-@deffn {Attribute} Floating_Mul = 65
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Mul}@anchor{1316}
+@deffn {Attribute} Floating_Mul = 65
@end deffn
@geindex Floating_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Div}@anchor{e1f}
-@deffn {Attribute} Floating_Div = 66
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Div}@anchor{1317}
+@deffn {Attribute} Floating_Div = 66
@end deffn
@geindex Floating_Exp (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Exp}@anchor{e20}
-@deffn {Attribute} Floating_Exp = 67
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Exp}@anchor{1318}
+@deffn {Attribute} Floating_Exp = 67
@end deffn
@geindex Floating_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Minimum}@anchor{e21}
-@deffn {Attribute} Floating_Minimum = 68
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Minimum}@anchor{1319}
+@deffn {Attribute} Floating_Minimum = 68
@end deffn
@geindex Floating_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Maximum}@anchor{e22}
-@deffn {Attribute} Floating_Maximum = 69
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_Maximum}@anchor{131a}
+@deffn {Attribute} Floating_Maximum = 69
@end deffn
@geindex Floating_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_To_String}@anchor{e23}
-@deffn {Attribute} Floating_To_String = 70
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Floating_To_String}@anchor{131b}
+@deffn {Attribute} Floating_To_String = 70
@end deffn
@geindex Real_To_String_Digits (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_To_String_Digits}@anchor{e24}
-@deffn {Attribute} Real_To_String_Digits = 71
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_To_String_Digits}@anchor{131c}
+@deffn {Attribute} Real_To_String_Digits = 71
@end deffn
@geindex Real_To_String_Format (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_To_String_Format}@anchor{e25}
-@deffn {Attribute} Real_To_String_Format = 72
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_To_String_Format}@anchor{131d}
+@deffn {Attribute} Real_To_String_Format = 72
@end deffn
@geindex Universal_R_I_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_R_I_Mul}@anchor{e26}
-@deffn {Attribute} Universal_R_I_Mul = 73
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_R_I_Mul}@anchor{131e}
+@deffn {Attribute} Universal_R_I_Mul = 73
@end deffn
@geindex Universal_I_R_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_I_R_Mul}@anchor{e27}
-@deffn {Attribute} Universal_I_R_Mul = 74
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_I_R_Mul}@anchor{131f}
+@deffn {Attribute} Universal_I_R_Mul = 74
@end deffn
@geindex Universal_R_I_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_R_I_Div}@anchor{e28}
-@deffn {Attribute} Universal_R_I_Div = 75
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Universal_R_I_Div}@anchor{1320}
+@deffn {Attribute} Universal_R_I_Div = 75
@end deffn
@geindex Physical_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Equality}@anchor{e29}
-@deffn {Attribute} Physical_Equality = 76
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Equality}@anchor{1321}
+@deffn {Attribute} Physical_Equality = 76
@end deffn
@geindex Physical_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Inequality}@anchor{e2a}
-@deffn {Attribute} Physical_Inequality = 77
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Inequality}@anchor{1322}
+@deffn {Attribute} Physical_Inequality = 77
@end deffn
@geindex Physical_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Less}@anchor{e2b}
-@deffn {Attribute} Physical_Less = 78
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Less}@anchor{1323}
+@deffn {Attribute} Physical_Less = 78
@end deffn
@geindex Physical_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Less_Equal}@anchor{e2c}
-@deffn {Attribute} Physical_Less_Equal = 79
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Less_Equal}@anchor{1324}
+@deffn {Attribute} Physical_Less_Equal = 79
@end deffn
@geindex Physical_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Greater}@anchor{e2d}
-@deffn {Attribute} Physical_Greater = 80
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Greater}@anchor{1325}
+@deffn {Attribute} Physical_Greater = 80
@end deffn
@geindex Physical_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Greater_Equal}@anchor{e2e}
-@deffn {Attribute} Physical_Greater_Equal = 81
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Greater_Equal}@anchor{1326}
+@deffn {Attribute} Physical_Greater_Equal = 81
@end deffn
@geindex Physical_Identity (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Identity}@anchor{e2f}
-@deffn {Attribute} Physical_Identity = 82
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Identity}@anchor{1327}
+@deffn {Attribute} Physical_Identity = 82
@end deffn
@geindex Physical_Negation (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Negation}@anchor{e30}
-@deffn {Attribute} Physical_Negation = 83
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Negation}@anchor{1328}
+@deffn {Attribute} Physical_Negation = 83
@end deffn
@geindex Physical_Absolute (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Absolute}@anchor{e31}
-@deffn {Attribute} Physical_Absolute = 84
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Absolute}@anchor{1329}
+@deffn {Attribute} Physical_Absolute = 84
@end deffn
@geindex Physical_Plus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Plus}@anchor{e32}
-@deffn {Attribute} Physical_Plus = 85
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Plus}@anchor{132a}
+@deffn {Attribute} Physical_Plus = 85
@end deffn
@geindex Physical_Minus (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Minus}@anchor{e33}
-@deffn {Attribute} Physical_Minus = 86
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Minus}@anchor{132b}
+@deffn {Attribute} Physical_Minus = 86
@end deffn
@geindex Physical_Integer_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Integer_Mul}@anchor{e34}
-@deffn {Attribute} Physical_Integer_Mul = 87
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Integer_Mul}@anchor{132c}
+@deffn {Attribute} Physical_Integer_Mul = 87
@end deffn
@geindex Physical_Real_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Real_Mul}@anchor{e35}
-@deffn {Attribute} Physical_Real_Mul = 88
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Real_Mul}@anchor{132d}
+@deffn {Attribute} Physical_Real_Mul = 88
@end deffn
@geindex Integer_Physical_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Physical_Mul}@anchor{e36}
-@deffn {Attribute} Integer_Physical_Mul = 89
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Integer_Physical_Mul}@anchor{132e}
+@deffn {Attribute} Integer_Physical_Mul = 89
@end deffn
@geindex Real_Physical_Mul (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_Physical_Mul}@anchor{e37}
-@deffn {Attribute} Real_Physical_Mul = 90
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_Physical_Mul}@anchor{132f}
+@deffn {Attribute} Real_Physical_Mul = 90
@end deffn
@geindex Physical_Integer_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Integer_Div}@anchor{e38}
-@deffn {Attribute} Physical_Integer_Div = 91
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Integer_Div}@anchor{1330}
+@deffn {Attribute} Physical_Integer_Div = 91
@end deffn
@geindex Physical_Real_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Real_Div}@anchor{e39}
-@deffn {Attribute} Physical_Real_Div = 92
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Real_Div}@anchor{1331}
+@deffn {Attribute} Physical_Real_Div = 92
@end deffn
@geindex Physical_Physical_Div (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Physical_Div}@anchor{e3a}
-@deffn {Attribute} Physical_Physical_Div = 93
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Physical_Div}@anchor{1332}
+@deffn {Attribute} Physical_Physical_Div = 93
+@end deffn
+
+@geindex Physical_Mod (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Mod}@anchor{1333}
+@deffn {Attribute} Physical_Mod = 94
+@end deffn
+
+@geindex Physical_Rem (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Rem}@anchor{1334}
+@deffn {Attribute} Physical_Rem = 95
@end deffn
@geindex Physical_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Minimum}@anchor{e3b}
-@deffn {Attribute} Physical_Minimum = 94
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Minimum}@anchor{1335}
+@deffn {Attribute} Physical_Minimum = 96
@end deffn
@geindex Physical_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Maximum}@anchor{e3c}
-@deffn {Attribute} Physical_Maximum = 95
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_Maximum}@anchor{1336}
+@deffn {Attribute} Physical_Maximum = 97
@end deffn
@geindex Physical_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_To_String}@anchor{e3d}
-@deffn {Attribute} Physical_To_String = 96
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Physical_To_String}@anchor{1337}
+@deffn {Attribute} Physical_To_String = 98
@end deffn
@geindex Time_To_String_Unit (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Time_To_String_Unit}@anchor{e3e}
-@deffn {Attribute} Time_To_String_Unit = 97
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Time_To_String_Unit}@anchor{1338}
+@deffn {Attribute} Time_To_String_Unit = 99
@end deffn
@geindex Access_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Access_Equality}@anchor{e3f}
-@deffn {Attribute} Access_Equality = 98
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Access_Equality}@anchor{1339}
+@deffn {Attribute} Access_Equality = 100
@end deffn
@geindex Access_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Access_Inequality}@anchor{e40}
-@deffn {Attribute} Access_Inequality = 99
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Access_Inequality}@anchor{133a}
+@deffn {Attribute} Access_Inequality = 101
@end deffn
@geindex Record_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Record_Equality}@anchor{e41}
-@deffn {Attribute} Record_Equality = 100
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Record_Equality}@anchor{133b}
+@deffn {Attribute} Record_Equality = 102
@end deffn
@geindex Record_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Record_Inequality}@anchor{e42}
-@deffn {Attribute} Record_Inequality = 101
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Record_Inequality}@anchor{133c}
+@deffn {Attribute} Record_Inequality = 103
@end deffn
@geindex Array_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Equality}@anchor{e43}
-@deffn {Attribute} Array_Equality = 102
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Equality}@anchor{133d}
+@deffn {Attribute} Array_Equality = 104
@end deffn
@geindex Array_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Inequality}@anchor{e44}
-@deffn {Attribute} Array_Inequality = 103
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Inequality}@anchor{133e}
+@deffn {Attribute} Array_Inequality = 105
@end deffn
@geindex Array_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Less}@anchor{e45}
-@deffn {Attribute} Array_Less = 104
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Less}@anchor{133f}
+@deffn {Attribute} Array_Less = 106
@end deffn
@geindex Array_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Less_Equal}@anchor{e46}
-@deffn {Attribute} Array_Less_Equal = 105
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Less_Equal}@anchor{1340}
+@deffn {Attribute} Array_Less_Equal = 107
@end deffn
@geindex Array_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Greater}@anchor{e47}
-@deffn {Attribute} Array_Greater = 106
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Greater}@anchor{1341}
+@deffn {Attribute} Array_Greater = 108
@end deffn
@geindex Array_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Greater_Equal}@anchor{e48}
-@deffn {Attribute} Array_Greater_Equal = 107
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Greater_Equal}@anchor{1342}
+@deffn {Attribute} Array_Greater_Equal = 109
@end deffn
@geindex Array_Array_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Array_Concat}@anchor{e49}
-@deffn {Attribute} Array_Array_Concat = 108
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Array_Concat}@anchor{1343}
+@deffn {Attribute} Array_Array_Concat = 110
@end deffn
@geindex Array_Element_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Element_Concat}@anchor{e4a}
-@deffn {Attribute} Array_Element_Concat = 109
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Element_Concat}@anchor{1344}
+@deffn {Attribute} Array_Element_Concat = 111
@end deffn
@geindex Element_Array_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Element_Array_Concat}@anchor{e4b}
-@deffn {Attribute} Element_Array_Concat = 110
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Element_Array_Concat}@anchor{1345}
+@deffn {Attribute} Element_Array_Concat = 112
@end deffn
@geindex Element_Element_Concat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Element_Element_Concat}@anchor{e4c}
-@deffn {Attribute} Element_Element_Concat = 111
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Element_Element_Concat}@anchor{1346}
+@deffn {Attribute} Element_Element_Concat = 113
@end deffn
@geindex Array_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Minimum}@anchor{e4d}
-@deffn {Attribute} Array_Minimum = 112
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Minimum}@anchor{1347}
+@deffn {Attribute} Array_Minimum = 114
@end deffn
@geindex Array_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Maximum}@anchor{e4e}
-@deffn {Attribute} Array_Maximum = 113
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Maximum}@anchor{1348}
+@deffn {Attribute} Array_Maximum = 115
@end deffn
@geindex Vector_Minimum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Vector_Minimum}@anchor{e4f}
-@deffn {Attribute} Vector_Minimum = 114
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Vector_Minimum}@anchor{1349}
+@deffn {Attribute} Vector_Minimum = 116
@end deffn
@geindex Vector_Maximum (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Vector_Maximum}@anchor{e50}
-@deffn {Attribute} Vector_Maximum = 115
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Vector_Maximum}@anchor{134a}
+@deffn {Attribute} Vector_Maximum = 117
@end deffn
@geindex Array_Sll (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sll}@anchor{e51}
-@deffn {Attribute} Array_Sll = 116
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sll}@anchor{134b}
+@deffn {Attribute} Array_Sll = 118
@end deffn
@geindex Array_Srl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Srl}@anchor{e52}
-@deffn {Attribute} Array_Srl = 117
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Srl}@anchor{134c}
+@deffn {Attribute} Array_Srl = 119
@end deffn
@geindex Array_Sla (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sla}@anchor{e53}
-@deffn {Attribute} Array_Sla = 118
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sla}@anchor{134d}
+@deffn {Attribute} Array_Sla = 120
@end deffn
@geindex Array_Sra (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sra}@anchor{e54}
-@deffn {Attribute} Array_Sra = 119
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Sra}@anchor{134e}
+@deffn {Attribute} Array_Sra = 121
@end deffn
@geindex Array_Rol (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Rol}@anchor{e55}
-@deffn {Attribute} Array_Rol = 120
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Rol}@anchor{134f}
+@deffn {Attribute} Array_Rol = 122
@end deffn
@geindex Array_Ror (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Ror}@anchor{e56}
-@deffn {Attribute} Array_Ror = 121
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Ror}@anchor{1350}
+@deffn {Attribute} Array_Ror = 123
@end deffn
@geindex TF_Array_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_And}@anchor{e57}
-@deffn {Attribute} TF_Array_And = 122
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_And}@anchor{1351}
+@deffn {Attribute} TF_Array_And = 124
@end deffn
@geindex TF_Array_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Or}@anchor{e58}
-@deffn {Attribute} TF_Array_Or = 123
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Or}@anchor{1352}
+@deffn {Attribute} TF_Array_Or = 125
@end deffn
@geindex TF_Array_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Nand}@anchor{e59}
-@deffn {Attribute} TF_Array_Nand = 124
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Nand}@anchor{1353}
+@deffn {Attribute} TF_Array_Nand = 126
@end deffn
@geindex TF_Array_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Nor}@anchor{e5a}
-@deffn {Attribute} TF_Array_Nor = 125
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Nor}@anchor{1354}
+@deffn {Attribute} TF_Array_Nor = 127
@end deffn
@geindex TF_Array_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Xor}@anchor{e5b}
-@deffn {Attribute} TF_Array_Xor = 126
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Xor}@anchor{1355}
+@deffn {Attribute} TF_Array_Xor = 128
@end deffn
@geindex TF_Array_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Xnor}@anchor{e5c}
-@deffn {Attribute} TF_Array_Xnor = 127
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Xnor}@anchor{1356}
+@deffn {Attribute} TF_Array_Xnor = 129
@end deffn
@geindex TF_Array_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Not}@anchor{e5d}
-@deffn {Attribute} TF_Array_Not = 128
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Not}@anchor{1357}
+@deffn {Attribute} TF_Array_Not = 130
@end deffn
@geindex TF_Reduction_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_And}@anchor{e5e}
-@deffn {Attribute} TF_Reduction_And = 129
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_And}@anchor{1358}
+@deffn {Attribute} TF_Reduction_And = 131
@end deffn
@geindex TF_Reduction_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Or}@anchor{e5f}
-@deffn {Attribute} TF_Reduction_Or = 130
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Or}@anchor{1359}
+@deffn {Attribute} TF_Reduction_Or = 132
@end deffn
@geindex TF_Reduction_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Nand}@anchor{e60}
-@deffn {Attribute} TF_Reduction_Nand = 131
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Nand}@anchor{135a}
+@deffn {Attribute} TF_Reduction_Nand = 133
@end deffn
@geindex TF_Reduction_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Nor}@anchor{e61}
-@deffn {Attribute} TF_Reduction_Nor = 132
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Nor}@anchor{135b}
+@deffn {Attribute} TF_Reduction_Nor = 134
@end deffn
@geindex TF_Reduction_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Xor}@anchor{e62}
-@deffn {Attribute} TF_Reduction_Xor = 133
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Xor}@anchor{135c}
+@deffn {Attribute} TF_Reduction_Xor = 135
@end deffn
@geindex TF_Reduction_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Xnor}@anchor{e63}
-@deffn {Attribute} TF_Reduction_Xnor = 134
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Xnor}@anchor{135d}
+@deffn {Attribute} TF_Reduction_Xnor = 136
@end deffn
@geindex TF_Reduction_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Not}@anchor{e64}
-@deffn {Attribute} TF_Reduction_Not = 135
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Reduction_Not}@anchor{135e}
+@deffn {Attribute} TF_Reduction_Not = 137
@end deffn
@geindex TF_Array_Element_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_And}@anchor{e65}
-@deffn {Attribute} TF_Array_Element_And = 136
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_And}@anchor{135f}
+@deffn {Attribute} TF_Array_Element_And = 138
@end deffn
@geindex TF_Element_Array_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_And}@anchor{e66}
-@deffn {Attribute} TF_Element_Array_And = 137
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_And}@anchor{1360}
+@deffn {Attribute} TF_Element_Array_And = 139
@end deffn
@geindex TF_Array_Element_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Or}@anchor{e67}
-@deffn {Attribute} TF_Array_Element_Or = 138
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Or}@anchor{1361}
+@deffn {Attribute} TF_Array_Element_Or = 140
@end deffn
@geindex TF_Element_Array_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Or}@anchor{e68}
-@deffn {Attribute} TF_Element_Array_Or = 139
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Or}@anchor{1362}
+@deffn {Attribute} TF_Element_Array_Or = 141
@end deffn
@geindex TF_Array_Element_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Nand}@anchor{e69}
-@deffn {Attribute} TF_Array_Element_Nand = 140
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Nand}@anchor{1363}
+@deffn {Attribute} TF_Array_Element_Nand = 142
@end deffn
@geindex TF_Element_Array_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Nand}@anchor{e6a}
-@deffn {Attribute} TF_Element_Array_Nand = 141
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Nand}@anchor{1364}
+@deffn {Attribute} TF_Element_Array_Nand = 143
@end deffn
@geindex TF_Array_Element_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Nor}@anchor{e6b}
-@deffn {Attribute} TF_Array_Element_Nor = 142
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Nor}@anchor{1365}
+@deffn {Attribute} TF_Array_Element_Nor = 144
@end deffn
@geindex TF_Element_Array_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Nor}@anchor{e6c}
-@deffn {Attribute} TF_Element_Array_Nor = 143
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Nor}@anchor{1366}
+@deffn {Attribute} TF_Element_Array_Nor = 145
@end deffn
@geindex TF_Array_Element_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Xor}@anchor{e6d}
-@deffn {Attribute} TF_Array_Element_Xor = 144
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Xor}@anchor{1367}
+@deffn {Attribute} TF_Array_Element_Xor = 146
@end deffn
@geindex TF_Element_Array_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Xor}@anchor{e6e}
-@deffn {Attribute} TF_Element_Array_Xor = 145
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Xor}@anchor{1368}
+@deffn {Attribute} TF_Element_Array_Xor = 147
@end deffn
@geindex TF_Array_Element_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Xnor}@anchor{e6f}
-@deffn {Attribute} TF_Array_Element_Xnor = 146
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Array_Element_Xnor}@anchor{1369}
+@deffn {Attribute} TF_Array_Element_Xnor = 148
@end deffn
@geindex TF_Element_Array_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Xnor}@anchor{e70}
-@deffn {Attribute} TF_Element_Array_Xnor = 147
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined TF_Element_Array_Xnor}@anchor{136a}
+@deffn {Attribute} TF_Element_Array_Xnor = 149
@end deffn
@geindex Bit_Array_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Array_Match_Equality}@anchor{e71}
-@deffn {Attribute} Bit_Array_Match_Equality = 148
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Array_Match_Equality}@anchor{136b}
+@deffn {Attribute} Bit_Array_Match_Equality = 150
@end deffn
@geindex Bit_Array_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Array_Match_Inequality}@anchor{e72}
-@deffn {Attribute} Bit_Array_Match_Inequality = 149
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Array_Match_Inequality}@anchor{136c}
+@deffn {Attribute} Bit_Array_Match_Inequality = 151
@end deffn
@geindex Array_Char_To_String (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Char_To_String}@anchor{e73}
-@deffn {Attribute} Array_Char_To_String = 150
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Array_Char_To_String}@anchor{136d}
+@deffn {Attribute} Array_Char_To_String = 152
@end deffn
@geindex Bit_Vector_To_Ostring (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Vector_To_Ostring}@anchor{e74}
-@deffn {Attribute} Bit_Vector_To_Ostring = 151
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Vector_To_Ostring}@anchor{136e}
+@deffn {Attribute} Bit_Vector_To_Ostring = 153
@end deffn
@geindex Bit_Vector_To_Hstring (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Vector_To_Hstring}@anchor{e75}
-@deffn {Attribute} Bit_Vector_To_Hstring = 152
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Bit_Vector_To_Hstring}@anchor{136f}
+@deffn {Attribute} Bit_Vector_To_Hstring = 154
@end deffn
@geindex Std_Ulogic_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Equality}@anchor{e76}
-@deffn {Attribute} Std_Ulogic_Match_Equality = 153
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Equality}@anchor{1370}
+@deffn {Attribute} Std_Ulogic_Match_Equality = 155
@end deffn
@geindex Std_Ulogic_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Inequality}@anchor{e77}
-@deffn {Attribute} Std_Ulogic_Match_Inequality = 154
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Inequality}@anchor{1371}
+@deffn {Attribute} Std_Ulogic_Match_Inequality = 156
@end deffn
@geindex Std_Ulogic_Match_Less (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Less}@anchor{e78}
-@deffn {Attribute} Std_Ulogic_Match_Less = 155
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Less}@anchor{1372}
+@deffn {Attribute} Std_Ulogic_Match_Less = 157
@end deffn
@geindex Std_Ulogic_Match_Less_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Less_Equal}@anchor{e79}
-@deffn {Attribute} Std_Ulogic_Match_Less_Equal = 156
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Less_Equal}@anchor{1373}
+@deffn {Attribute} Std_Ulogic_Match_Less_Equal = 158
@end deffn
@geindex Std_Ulogic_Match_Greater (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Greater}@anchor{e7a}
-@deffn {Attribute} Std_Ulogic_Match_Greater = 157
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Greater}@anchor{1374}
+@deffn {Attribute} Std_Ulogic_Match_Greater = 159
@end deffn
@geindex Std_Ulogic_Match_Greater_Equal (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Greater_Equal}@anchor{e7b}
-@deffn {Attribute} Std_Ulogic_Match_Greater_Equal = 158
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Match_Greater_Equal}@anchor{1375}
+@deffn {Attribute} Std_Ulogic_Match_Greater_Equal = 160
@end deffn
@geindex Std_Ulogic_Array_Match_Equality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Array_Match_Equality}@anchor{e7c}
-@deffn {Attribute} Std_Ulogic_Array_Match_Equality = 159
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Array_Match_Equality}@anchor{1376}
+@deffn {Attribute} Std_Ulogic_Array_Match_Equality = 161
@end deffn
@geindex Std_Ulogic_Array_Match_Inequality (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Array_Match_Inequality}@anchor{e7d}
-@deffn {Attribute} Std_Ulogic_Array_Match_Inequality = 160
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Std_Ulogic_Array_Match_Inequality}@anchor{1377}
+@deffn {Attribute} Std_Ulogic_Array_Match_Inequality = 162
@end deffn
@geindex Deallocate (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Deallocate}@anchor{e7e}
-@deffn {Attribute} Deallocate = 161
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Deallocate}@anchor{1378}
+@deffn {Attribute} Deallocate = 163
@end deffn
@geindex File_Open (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Open}@anchor{e7f}
-@deffn {Attribute} File_Open = 162
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Open}@anchor{1379}
+@deffn {Attribute} File_Open = 164
@end deffn
@geindex File_Open_Status (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Open_Status}@anchor{e80}
-@deffn {Attribute} File_Open_Status = 163
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Open_Status}@anchor{137a}
+@deffn {Attribute} File_Open_Status = 165
@end deffn
@geindex File_Close (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Close}@anchor{e81}
-@deffn {Attribute} File_Close = 164
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined File_Close}@anchor{137b}
+@deffn {Attribute} File_Close = 166
@end deffn
@geindex Read (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Read}@anchor{e82}
-@deffn {Attribute} Read = 165
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Read}@anchor{137c}
+@deffn {Attribute} Read = 167
@end deffn
@geindex Read_Length (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Read_Length}@anchor{e83}
-@deffn {Attribute} Read_Length = 166
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Read_Length}@anchor{137d}
+@deffn {Attribute} Read_Length = 168
@end deffn
@geindex Flush (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Flush}@anchor{e84}
-@deffn {Attribute} Flush = 167
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Flush}@anchor{137e}
+@deffn {Attribute} Flush = 169
@end deffn
@geindex Write (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Write}@anchor{e85}
-@deffn {Attribute} Write = 168
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Write}@anchor{137f}
+@deffn {Attribute} Write = 170
@end deffn
@geindex Endfile (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Endfile}@anchor{e86}
-@deffn {Attribute} Endfile = 169
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Endfile}@anchor{1380}
+@deffn {Attribute} Endfile = 171
@end deffn
@geindex Now_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Now_Function}@anchor{e87}
-@deffn {Attribute} Now_Function = 170
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Now_Function}@anchor{1381}
+@deffn {Attribute} Now_Function = 172
@end deffn
@geindex Real_Now_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_Now_Function}@anchor{e88}
-@deffn {Attribute} Real_Now_Function = 171
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Real_Now_Function}@anchor{1382}
+@deffn {Attribute} Real_Now_Function = 173
@end deffn
@geindex Frequency_Function (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Frequency_Function}@anchor{e89}
-@deffn {Attribute} Frequency_Function = 172
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Frequency_Function}@anchor{1383}
+@deffn {Attribute} Frequency_Function = 174
@end deffn
@geindex PNone (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined PNone}@anchor{e8a}
-@deffn {Attribute} PNone = 173
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined PNone}@anchor{1384}
+@deffn {Attribute} PNone = 175
@end deffn
@geindex Foreign_Untruncated_Text_Read (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Untruncated_Text_Read}@anchor{e8b}
-@deffn {Attribute} Foreign_Untruncated_Text_Read = 174
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Untruncated_Text_Read}@anchor{1385}
+@deffn {Attribute} Foreign_Untruncated_Text_Read = 176
@end deffn
@geindex Foreign_Textio_Read_Real (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Textio_Read_Real}@anchor{e8c}
-@deffn {Attribute} Foreign_Textio_Read_Real = 175
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Textio_Read_Real}@anchor{1386}
+@deffn {Attribute} Foreign_Textio_Read_Real = 177
@end deffn
@geindex Foreign_Textio_Write_Real (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Textio_Write_Real}@anchor{e8d}
-@deffn {Attribute} Foreign_Textio_Write_Real = 176
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Foreign_Textio_Write_Real}@anchor{1387}
+@deffn {Attribute} Foreign_Textio_Write_Real = 178
@end deffn
@geindex Ieee_1164_Scalar_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_And}@anchor{e8e}
-@deffn {Attribute} Ieee_1164_Scalar_And = 177
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_And}@anchor{1388}
+@deffn {Attribute} Ieee_1164_Scalar_And = 179
@end deffn
@geindex Ieee_1164_Scalar_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Nand}@anchor{e8f}
-@deffn {Attribute} Ieee_1164_Scalar_Nand = 178
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Nand}@anchor{1389}
+@deffn {Attribute} Ieee_1164_Scalar_Nand = 180
@end deffn
@geindex Ieee_1164_Scalar_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Or}@anchor{e90}
-@deffn {Attribute} Ieee_1164_Scalar_Or = 179
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Or}@anchor{138a}
+@deffn {Attribute} Ieee_1164_Scalar_Or = 181
@end deffn
@geindex Ieee_1164_Scalar_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Nor}@anchor{e91}
-@deffn {Attribute} Ieee_1164_Scalar_Nor = 180
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Nor}@anchor{138b}
+@deffn {Attribute} Ieee_1164_Scalar_Nor = 182
@end deffn
@geindex Ieee_1164_Scalar_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Xor}@anchor{e92}
-@deffn {Attribute} Ieee_1164_Scalar_Xor = 181
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Xor}@anchor{138c}
+@deffn {Attribute} Ieee_1164_Scalar_Xor = 183
@end deffn
@geindex Ieee_1164_Scalar_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Xnor}@anchor{e93}
-@deffn {Attribute} Ieee_1164_Scalar_Xnor = 182
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Xnor}@anchor{138d}
+@deffn {Attribute} Ieee_1164_Scalar_Xnor = 184
@end deffn
@geindex Ieee_1164_Scalar_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Not}@anchor{e94}
-@deffn {Attribute} Ieee_1164_Scalar_Not = 183
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Not}@anchor{138e}
+@deffn {Attribute} Ieee_1164_Scalar_Not = 185
@end deffn
@geindex Ieee_1164_Vector_And (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_And}@anchor{e95}
-@deffn {Attribute} Ieee_1164_Vector_And = 184
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_And}@anchor{138f}
+@deffn {Attribute} Ieee_1164_Vector_And = 186
@end deffn
@geindex Ieee_1164_Vector_Nand (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Nand}@anchor{e96}
-@deffn {Attribute} Ieee_1164_Vector_Nand = 185
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Nand}@anchor{1390}
+@deffn {Attribute} Ieee_1164_Vector_Nand = 187
@end deffn
@geindex Ieee_1164_Vector_Or (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Or}@anchor{e97}
-@deffn {Attribute} Ieee_1164_Vector_Or = 186
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Or}@anchor{1391}
+@deffn {Attribute} Ieee_1164_Vector_Or = 188
@end deffn
@geindex Ieee_1164_Vector_Nor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Nor}@anchor{e98}
-@deffn {Attribute} Ieee_1164_Vector_Nor = 187
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Nor}@anchor{1392}
+@deffn {Attribute} Ieee_1164_Vector_Nor = 189
@end deffn
@geindex Ieee_1164_Vector_Xor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Xor}@anchor{e99}
-@deffn {Attribute} Ieee_1164_Vector_Xor = 188
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Xor}@anchor{1393}
+@deffn {Attribute} Ieee_1164_Vector_Xor = 190
@end deffn
@geindex Ieee_1164_Vector_Xnor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Xnor}@anchor{e9a}
-@deffn {Attribute} Ieee_1164_Vector_Xnor = 189
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Xnor}@anchor{1394}
+@deffn {Attribute} Ieee_1164_Vector_Xnor = 191
@end deffn
@geindex Ieee_1164_Vector_Not (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Not}@anchor{e9b}
-@deffn {Attribute} Ieee_1164_Vector_Not = 190
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Not}@anchor{1395}
+@deffn {Attribute} Ieee_1164_Vector_Not = 192
@end deffn
@geindex Ieee_1164_To_Bit (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Bit}@anchor{e9c}
-@deffn {Attribute} Ieee_1164_To_Bit = 191
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Bit}@anchor{1396}
+@deffn {Attribute} Ieee_1164_To_Bit = 193
@end deffn
@geindex Ieee_1164_To_Bitvector (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Bitvector}@anchor{e9d}
-@deffn {Attribute} Ieee_1164_To_Bitvector = 192
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Bitvector}@anchor{1397}
+@deffn {Attribute} Ieee_1164_To_Bitvector = 194
@end deffn
@geindex Ieee_1164_To_Stdulogic (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogic}@anchor{e9e}
-@deffn {Attribute} Ieee_1164_To_Stdulogic = 193
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogic}@anchor{1398}
+@deffn {Attribute} Ieee_1164_To_Stdulogic = 195
@end deffn
@geindex Ieee_1164_To_Stdlogicvector_Bv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdlogicvector_Bv}@anchor{e9f}
-@deffn {Attribute} Ieee_1164_To_Stdlogicvector_Bv = 194
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdlogicvector_Bv}@anchor{1399}
+@deffn {Attribute} Ieee_1164_To_Stdlogicvector_Bv = 196
@end deffn
@geindex Ieee_1164_To_Stdlogicvector_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdlogicvector_Suv}@anchor{ea0}
-@deffn {Attribute} Ieee_1164_To_Stdlogicvector_Suv = 195
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdlogicvector_Suv}@anchor{139a}
+@deffn {Attribute} Ieee_1164_To_Stdlogicvector_Suv = 197
@end deffn
@geindex Ieee_1164_To_Stdulogicvector_Bv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogicvector_Bv}@anchor{ea1}
-@deffn {Attribute} Ieee_1164_To_Stdulogicvector_Bv = 196
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogicvector_Bv}@anchor{139b}
+@deffn {Attribute} Ieee_1164_To_Stdulogicvector_Bv = 198
@end deffn
@geindex Ieee_1164_To_Stdulogicvector_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogicvector_Slv}@anchor{ea2}
-@deffn {Attribute} Ieee_1164_To_Stdulogicvector_Slv = 197
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_Stdulogicvector_Slv}@anchor{139c}
+@deffn {Attribute} Ieee_1164_To_Stdulogicvector_Slv = 199
@end deffn
@geindex Ieee_1164_To_X01_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Slv}@anchor{ea3}
-@deffn {Attribute} Ieee_1164_To_X01_Slv = 198
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Slv}@anchor{139d}
+@deffn {Attribute} Ieee_1164_To_X01_Slv = 200
@end deffn
@geindex Ieee_1164_To_X01_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Suv}@anchor{ea4}
-@deffn {Attribute} Ieee_1164_To_X01_Suv = 199
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Suv}@anchor{139e}
+@deffn {Attribute} Ieee_1164_To_X01_Suv = 201
@end deffn
@geindex Ieee_1164_To_X01_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Log}@anchor{ea5}
-@deffn {Attribute} Ieee_1164_To_X01_Log = 200
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Log}@anchor{139f}
+@deffn {Attribute} Ieee_1164_To_X01_Log = 202
@end deffn
@geindex Ieee_1164_To_X01_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bv_Slv}@anchor{ea6}
-@deffn {Attribute} Ieee_1164_To_X01_Bv_Slv = 201
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bv_Slv}@anchor{13a0}
+@deffn {Attribute} Ieee_1164_To_X01_Bv_Slv = 203
@end deffn
@geindex Ieee_1164_To_X01_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bv_Suv}@anchor{ea7}
-@deffn {Attribute} Ieee_1164_To_X01_Bv_Suv = 202
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bv_Suv}@anchor{13a1}
+@deffn {Attribute} Ieee_1164_To_X01_Bv_Suv = 204
@end deffn
@geindex Ieee_1164_To_X01_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bit_Log}@anchor{ea8}
-@deffn {Attribute} Ieee_1164_To_X01_Bit_Log = 203
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01_Bit_Log}@anchor{13a2}
+@deffn {Attribute} Ieee_1164_To_X01_Bit_Log = 205
@end deffn
@geindex Ieee_1164_To_X01Z_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Slv}@anchor{ea9}
-@deffn {Attribute} Ieee_1164_To_X01Z_Slv = 204
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Slv}@anchor{13a3}
+@deffn {Attribute} Ieee_1164_To_X01Z_Slv = 206
@end deffn
@geindex Ieee_1164_To_X01Z_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Suv}@anchor{eaa}
-@deffn {Attribute} Ieee_1164_To_X01Z_Suv = 205
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Suv}@anchor{13a4}
+@deffn {Attribute} Ieee_1164_To_X01Z_Suv = 207
@end deffn
@geindex Ieee_1164_To_X01Z_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Log}@anchor{eab}
-@deffn {Attribute} Ieee_1164_To_X01Z_Log = 206
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Log}@anchor{13a5}
+@deffn {Attribute} Ieee_1164_To_X01Z_Log = 208
@end deffn
@geindex Ieee_1164_To_X01Z_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bv_Slv}@anchor{eac}
-@deffn {Attribute} Ieee_1164_To_X01Z_Bv_Slv = 207
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bv_Slv}@anchor{13a6}
+@deffn {Attribute} Ieee_1164_To_X01Z_Bv_Slv = 209
@end deffn
@geindex Ieee_1164_To_X01Z_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bv_Suv}@anchor{ead}
-@deffn {Attribute} Ieee_1164_To_X01Z_Bv_Suv = 208
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bv_Suv}@anchor{13a7}
+@deffn {Attribute} Ieee_1164_To_X01Z_Bv_Suv = 210
@end deffn
@geindex Ieee_1164_To_X01Z_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bit_Log}@anchor{eae}
-@deffn {Attribute} Ieee_1164_To_X01Z_Bit_Log = 209
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_X01Z_Bit_Log}@anchor{13a8}
+@deffn {Attribute} Ieee_1164_To_X01Z_Bit_Log = 211
@end deffn
@geindex Ieee_1164_To_UX01_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Slv}@anchor{eaf}
-@deffn {Attribute} Ieee_1164_To_UX01_Slv = 210
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Slv}@anchor{13a9}
+@deffn {Attribute} Ieee_1164_To_UX01_Slv = 212
@end deffn
@geindex Ieee_1164_To_UX01_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Suv}@anchor{eb0}
-@deffn {Attribute} Ieee_1164_To_UX01_Suv = 211
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Suv}@anchor{13aa}
+@deffn {Attribute} Ieee_1164_To_UX01_Suv = 213
@end deffn
@geindex Ieee_1164_To_UX01_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Log}@anchor{eb1}
-@deffn {Attribute} Ieee_1164_To_UX01_Log = 212
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Log}@anchor{13ab}
+@deffn {Attribute} Ieee_1164_To_UX01_Log = 214
@end deffn
@geindex Ieee_1164_To_UX01_Bv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bv_Slv}@anchor{eb2}
-@deffn {Attribute} Ieee_1164_To_UX01_Bv_Slv = 213
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bv_Slv}@anchor{13ac}
+@deffn {Attribute} Ieee_1164_To_UX01_Bv_Slv = 215
@end deffn
@geindex Ieee_1164_To_UX01_Bv_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bv_Suv}@anchor{eb3}
-@deffn {Attribute} Ieee_1164_To_UX01_Bv_Suv = 214
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bv_Suv}@anchor{13ad}
+@deffn {Attribute} Ieee_1164_To_UX01_Bv_Suv = 216
@end deffn
@geindex Ieee_1164_To_UX01_Bit_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bit_Log}@anchor{eb4}
-@deffn {Attribute} Ieee_1164_To_UX01_Bit_Log = 215
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_To_UX01_Bit_Log}@anchor{13ae}
+@deffn {Attribute} Ieee_1164_To_UX01_Bit_Log = 217
@end deffn
@geindex Ieee_1164_Vector_Is_X (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Is_X}@anchor{eb5}
-@deffn {Attribute} Ieee_1164_Vector_Is_X = 216
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Is_X}@anchor{13af}
+@deffn {Attribute} Ieee_1164_Vector_Is_X = 218
@end deffn
@geindex Ieee_1164_Scalar_Is_X (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Is_X}@anchor{eb6}
-@deffn {Attribute} Ieee_1164_Scalar_Is_X = 217
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Scalar_Is_X}@anchor{13b0}
+@deffn {Attribute} Ieee_1164_Scalar_Is_X = 219
@end deffn
@geindex Ieee_1164_Rising_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Rising_Edge}@anchor{eb7}
-@deffn {Attribute} Ieee_1164_Rising_Edge = 218
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Rising_Edge}@anchor{13b1}
+@deffn {Attribute} Ieee_1164_Rising_Edge = 220
@end deffn
@geindex Ieee_1164_Falling_Edge (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Falling_Edge}@anchor{eb8}
-@deffn {Attribute} Ieee_1164_Falling_Edge = 219
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Falling_Edge}@anchor{13b2}
+@deffn {Attribute} Ieee_1164_Falling_Edge = 221
@end deffn
@geindex Ieee_1164_And_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Suv_Log}@anchor{eb9}
-@deffn {Attribute} Ieee_1164_And_Suv_Log = 220
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Suv_Log}@anchor{13b3}
+@deffn {Attribute} Ieee_1164_And_Suv_Log = 222
@end deffn
@geindex Ieee_1164_And_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Log_Suv}@anchor{eba}
-@deffn {Attribute} Ieee_1164_And_Log_Suv = 221
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Log_Suv}@anchor{13b4}
+@deffn {Attribute} Ieee_1164_And_Log_Suv = 223
@end deffn
@geindex Ieee_1164_Nand_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Suv_Log}@anchor{ebb}
-@deffn {Attribute} Ieee_1164_Nand_Suv_Log = 222
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Suv_Log}@anchor{13b5}
+@deffn {Attribute} Ieee_1164_Nand_Suv_Log = 224
@end deffn
@geindex Ieee_1164_Nand_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Log_Suv}@anchor{ebc}
-@deffn {Attribute} Ieee_1164_Nand_Log_Suv = 223
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Log_Suv}@anchor{13b6}
+@deffn {Attribute} Ieee_1164_Nand_Log_Suv = 225
@end deffn
@geindex Ieee_1164_Or_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Suv_Log}@anchor{ebd}
-@deffn {Attribute} Ieee_1164_Or_Suv_Log = 224
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Suv_Log}@anchor{13b7}
+@deffn {Attribute} Ieee_1164_Or_Suv_Log = 226
@end deffn
@geindex Ieee_1164_Or_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Log_Suv}@anchor{ebe}
-@deffn {Attribute} Ieee_1164_Or_Log_Suv = 225
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Log_Suv}@anchor{13b8}
+@deffn {Attribute} Ieee_1164_Or_Log_Suv = 227
@end deffn
@geindex Ieee_1164_Nor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Suv_Log}@anchor{ebf}
-@deffn {Attribute} Ieee_1164_Nor_Suv_Log = 226
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Suv_Log}@anchor{13b9}
+@deffn {Attribute} Ieee_1164_Nor_Suv_Log = 228
@end deffn
@geindex Ieee_1164_Nor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Log_Suv}@anchor{ec0}
-@deffn {Attribute} Ieee_1164_Nor_Log_Suv = 227
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Log_Suv}@anchor{13ba}
+@deffn {Attribute} Ieee_1164_Nor_Log_Suv = 229
@end deffn
@geindex Ieee_1164_Xor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Suv_Log}@anchor{ec1}
-@deffn {Attribute} Ieee_1164_Xor_Suv_Log = 228
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Suv_Log}@anchor{13bb}
+@deffn {Attribute} Ieee_1164_Xor_Suv_Log = 230
@end deffn
@geindex Ieee_1164_Xor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Log_Suv}@anchor{ec2}
-@deffn {Attribute} Ieee_1164_Xor_Log_Suv = 229
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Log_Suv}@anchor{13bc}
+@deffn {Attribute} Ieee_1164_Xor_Log_Suv = 231
@end deffn
@geindex Ieee_1164_Xnor_Suv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Suv_Log}@anchor{ec3}
-@deffn {Attribute} Ieee_1164_Xnor_Suv_Log = 230
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Suv_Log}@anchor{13bd}
+@deffn {Attribute} Ieee_1164_Xnor_Suv_Log = 232
@end deffn
@geindex Ieee_1164_Xnor_Log_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Log_Suv}@anchor{ec4}
-@deffn {Attribute} Ieee_1164_Xnor_Log_Suv = 231
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Log_Suv}@anchor{13be}
+@deffn {Attribute} Ieee_1164_Xnor_Log_Suv = 233
@end deffn
@geindex Ieee_1164_And_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Suv}@anchor{ec5}
-@deffn {Attribute} Ieee_1164_And_Suv = 232
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_And_Suv}@anchor{13bf}
+@deffn {Attribute} Ieee_1164_And_Suv = 234
@end deffn
@geindex Ieee_1164_Nand_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Suv}@anchor{ec6}
-@deffn {Attribute} Ieee_1164_Nand_Suv = 233
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nand_Suv}@anchor{13c0}
+@deffn {Attribute} Ieee_1164_Nand_Suv = 235
@end deffn
@geindex Ieee_1164_Or_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Suv}@anchor{ec7}
-@deffn {Attribute} Ieee_1164_Or_Suv = 234
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Or_Suv}@anchor{13c1}
+@deffn {Attribute} Ieee_1164_Or_Suv = 236
@end deffn
@geindex Ieee_1164_Nor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Suv}@anchor{ec8}
-@deffn {Attribute} Ieee_1164_Nor_Suv = 235
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Nor_Suv}@anchor{13c2}
+@deffn {Attribute} Ieee_1164_Nor_Suv = 237
@end deffn
@geindex Ieee_1164_Xor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Suv}@anchor{ec9}
-@deffn {Attribute} Ieee_1164_Xor_Suv = 236
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xor_Suv}@anchor{13c3}
+@deffn {Attribute} Ieee_1164_Xor_Suv = 238
@end deffn
@geindex Ieee_1164_Xnor_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Suv}@anchor{eca}
-@deffn {Attribute} Ieee_1164_Xnor_Suv = 237
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Xnor_Suv}@anchor{13c4}
+@deffn {Attribute} Ieee_1164_Xnor_Suv = 239
@end deffn
@geindex Ieee_1164_Vector_Sll (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Sll}@anchor{ecb}
-@deffn {Attribute} Ieee_1164_Vector_Sll = 238
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Sll}@anchor{13c5}
+@deffn {Attribute} Ieee_1164_Vector_Sll = 240
@end deffn
@geindex Ieee_1164_Vector_Srl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Srl}@anchor{ecc}
-@deffn {Attribute} Ieee_1164_Vector_Srl = 239
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Srl}@anchor{13c6}
+@deffn {Attribute} Ieee_1164_Vector_Srl = 241
@end deffn
@geindex Ieee_1164_Vector_Rol (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Rol}@anchor{ecd}
-@deffn {Attribute} Ieee_1164_Vector_Rol = 240
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Rol}@anchor{13c7}
+@deffn {Attribute} Ieee_1164_Vector_Rol = 242
@end deffn
@geindex Ieee_1164_Vector_Ror (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Ror}@anchor{ece}
-@deffn {Attribute} Ieee_1164_Vector_Ror = 241
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Vector_Ror}@anchor{13c8}
+@deffn {Attribute} Ieee_1164_Vector_Ror = 243
@end deffn
@geindex Ieee_1164_Condition_Operator (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Condition_Operator}@anchor{ecf}
-@deffn {Attribute} Ieee_1164_Condition_Operator = 242
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_1164_Condition_Operator}@anchor{13c9}
+@deffn {Attribute} Ieee_1164_Condition_Operator = 244
@end deffn
@geindex Ieee_Numeric_Std_Toint_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Toint_Uns_Nat}@anchor{ed0}
-@deffn {Attribute} Ieee_Numeric_Std_Toint_Uns_Nat = 243
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Toint_Uns_Nat}@anchor{13ca}
+@deffn {Attribute} Ieee_Numeric_Std_Toint_Uns_Nat = 245
@end deffn
@geindex Ieee_Numeric_Std_Toint_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Toint_Sgn_Int}@anchor{ed1}
-@deffn {Attribute} Ieee_Numeric_Std_Toint_Sgn_Int = 244
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Toint_Sgn_Int}@anchor{13cb}
+@deffn {Attribute} Ieee_Numeric_Std_Toint_Sgn_Int = 246
@end deffn
@geindex Ieee_Numeric_Std_Touns_Nat_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Touns_Nat_Nat_Uns}@anchor{ed2}
-@deffn {Attribute} Ieee_Numeric_Std_Touns_Nat_Nat_Uns = 245
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Touns_Nat_Nat_Uns}@anchor{13cc}
+@deffn {Attribute} Ieee_Numeric_Std_Touns_Nat_Nat_Uns = 247
@end deffn
@geindex Ieee_Numeric_Std_Touns_Nat_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Touns_Nat_Uns_Uns}@anchor{ed3}
-@deffn {Attribute} Ieee_Numeric_Std_Touns_Nat_Uns_Uns = 246
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Touns_Nat_Uns_Uns}@anchor{13cd}
+@deffn {Attribute} Ieee_Numeric_Std_Touns_Nat_Uns_Uns = 248
@end deffn
@geindex Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn}@anchor{ed4}
-@deffn {Attribute} Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn = 247
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn}@anchor{13ce}
+@deffn {Attribute} Ieee_Numeric_Std_Tosgn_Int_Nat_Sgn = 249
@end deffn
@geindex Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn}@anchor{ed5}
-@deffn {Attribute} Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn = 248
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn}@anchor{13cf}
+@deffn {Attribute} Ieee_Numeric_Std_Tosgn_Int_Sgn_Sgn = 250
@end deffn
@geindex Ieee_Numeric_Std_Resize_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Uns_Nat}@anchor{ed6}
-@deffn {Attribute} Ieee_Numeric_Std_Resize_Uns_Nat = 249
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Uns_Nat}@anchor{13d0}
+@deffn {Attribute} Ieee_Numeric_Std_Resize_Uns_Nat = 251
@end deffn
@geindex Ieee_Numeric_Std_Resize_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Sgn_Nat}@anchor{ed7}
-@deffn {Attribute} Ieee_Numeric_Std_Resize_Sgn_Nat = 250
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Sgn_Nat}@anchor{13d1}
+@deffn {Attribute} Ieee_Numeric_Std_Resize_Sgn_Nat = 252
@end deffn
@geindex Ieee_Numeric_Std_Resize_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Uns_Uns}@anchor{ed8}
-@deffn {Attribute} Ieee_Numeric_Std_Resize_Uns_Uns = 251
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Uns_Uns}@anchor{13d2}
+@deffn {Attribute} Ieee_Numeric_Std_Resize_Uns_Uns = 253
@end deffn
@geindex Ieee_Numeric_Std_Resize_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Sgn_Sgn}@anchor{ed9}
-@deffn {Attribute} Ieee_Numeric_Std_Resize_Sgn_Sgn = 252
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Resize_Sgn_Sgn}@anchor{13d3}
+@deffn {Attribute} Ieee_Numeric_Std_Resize_Sgn_Sgn = 254
@end deffn
@geindex Ieee_Numeric_Std_Add_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Uns}@anchor{eda}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Uns = 253
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Uns}@anchor{13d4}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Uns = 255
@end deffn
@geindex Ieee_Numeric_Std_Add_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Nat}@anchor{edb}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Nat = 254
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Nat}@anchor{13d5}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Nat = 256
@end deffn
@geindex Ieee_Numeric_Std_Add_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Nat_Uns}@anchor{edc}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Nat_Uns = 255
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Nat_Uns}@anchor{13d6}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Nat_Uns = 257
@end deffn
@geindex Ieee_Numeric_Std_Add_Uns_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Log}@anchor{edd}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Log = 256
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Uns_Log}@anchor{13d7}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Uns_Log = 258
@end deffn
@geindex Ieee_Numeric_Std_Add_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Log_Uns}@anchor{ede}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Log_Uns = 257
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Log_Uns}@anchor{13d8}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Log_Uns = 259
@end deffn
@geindex Ieee_Numeric_Std_Add_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Sgn}@anchor{edf}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Sgn = 258
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Sgn}@anchor{13d9}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Sgn = 260
@end deffn
@geindex Ieee_Numeric_Std_Add_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Int}@anchor{ee0}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Int = 259
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Int}@anchor{13da}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Int = 261
@end deffn
@geindex Ieee_Numeric_Std_Add_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Int_Sgn}@anchor{ee1}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Int_Sgn = 260
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Int_Sgn}@anchor{13db}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Int_Sgn = 262
@end deffn
@geindex Ieee_Numeric_Std_Add_Sgn_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Log}@anchor{ee2}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Log = 261
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Sgn_Log}@anchor{13dc}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Sgn_Log = 263
@end deffn
@geindex Ieee_Numeric_Std_Add_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Log_Sgn}@anchor{ee3}
-@deffn {Attribute} Ieee_Numeric_Std_Add_Log_Sgn = 262
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Add_Log_Sgn}@anchor{13dd}
+@deffn {Attribute} Ieee_Numeric_Std_Add_Log_Sgn = 264
@end deffn
@geindex Ieee_Numeric_Std_Sub_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Uns}@anchor{ee4}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Uns = 263
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Uns}@anchor{13de}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Uns = 265
@end deffn
@geindex Ieee_Numeric_Std_Sub_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Nat}@anchor{ee5}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Nat = 264
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Nat}@anchor{13df}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Nat = 266
@end deffn
@geindex Ieee_Numeric_Std_Sub_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Nat_Uns}@anchor{ee6}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Nat_Uns = 265
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Nat_Uns}@anchor{13e0}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Nat_Uns = 267
@end deffn
@geindex Ieee_Numeric_Std_Sub_Uns_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Log}@anchor{ee7}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Log = 266
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Uns_Log}@anchor{13e1}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Uns_Log = 268
@end deffn
@geindex Ieee_Numeric_Std_Sub_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Log_Uns}@anchor{ee8}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Log_Uns = 267
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Log_Uns}@anchor{13e2}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Log_Uns = 269
@end deffn
@geindex Ieee_Numeric_Std_Sub_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Sgn}@anchor{ee9}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Sgn = 268
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Sgn}@anchor{13e3}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Sgn = 270
@end deffn
@geindex Ieee_Numeric_Std_Sub_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Int}@anchor{eea}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Int = 269
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Int}@anchor{13e4}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Int = 271
@end deffn
@geindex Ieee_Numeric_Std_Sub_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Int_Sgn}@anchor{eeb}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Int_Sgn = 270
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Int_Sgn}@anchor{13e5}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Int_Sgn = 272
@end deffn
@geindex Ieee_Numeric_Std_Sub_Sgn_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Log}@anchor{eec}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Log = 271
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Sgn_Log}@anchor{13e6}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Sgn_Log = 273
@end deffn
@geindex Ieee_Numeric_Std_Sub_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Log_Sgn}@anchor{eed}
-@deffn {Attribute} Ieee_Numeric_Std_Sub_Log_Sgn = 272
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sub_Log_Sgn}@anchor{13e7}
+@deffn {Attribute} Ieee_Numeric_Std_Sub_Log_Sgn = 274
@end deffn
@geindex Ieee_Numeric_Std_Mul_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Uns_Uns}@anchor{eee}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Uns_Uns = 273
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Uns_Uns}@anchor{13e8}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Uns_Uns = 275
@end deffn
@geindex Ieee_Numeric_Std_Mul_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Uns_Nat}@anchor{eef}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Uns_Nat = 274
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Uns_Nat}@anchor{13e9}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Uns_Nat = 276
@end deffn
@geindex Ieee_Numeric_Std_Mul_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Nat_Uns}@anchor{ef0}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Nat_Uns = 275
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Nat_Uns}@anchor{13ea}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Nat_Uns = 277
@end deffn
@geindex Ieee_Numeric_Std_Mul_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Sgn_Sgn}@anchor{ef1}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Sgn_Sgn = 276
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Sgn_Sgn}@anchor{13eb}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Sgn_Sgn = 278
@end deffn
@geindex Ieee_Numeric_Std_Mul_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Sgn_Int}@anchor{ef2}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Sgn_Int = 277
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Sgn_Int}@anchor{13ec}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Sgn_Int = 279
@end deffn
@geindex Ieee_Numeric_Std_Mul_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Int_Sgn}@anchor{ef3}
-@deffn {Attribute} Ieee_Numeric_Std_Mul_Int_Sgn = 278
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mul_Int_Sgn}@anchor{13ed}
+@deffn {Attribute} Ieee_Numeric_Std_Mul_Int_Sgn = 280
@end deffn
@geindex Ieee_Numeric_Std_Div_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Uns_Uns}@anchor{ef4}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Uns_Uns = 279
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Uns_Uns}@anchor{13ee}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Uns_Uns = 281
@end deffn
@geindex Ieee_Numeric_Std_Div_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Uns_Nat}@anchor{ef5}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Uns_Nat = 280
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Uns_Nat}@anchor{13ef}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Uns_Nat = 282
@end deffn
@geindex Ieee_Numeric_Std_Div_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Nat_Uns}@anchor{ef6}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Nat_Uns = 281
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Nat_Uns}@anchor{13f0}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Nat_Uns = 283
@end deffn
@geindex Ieee_Numeric_Std_Div_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Sgn_Sgn}@anchor{ef7}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Sgn_Sgn = 282
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Sgn_Sgn}@anchor{13f1}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Sgn_Sgn = 284
@end deffn
@geindex Ieee_Numeric_Std_Div_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Sgn_Int}@anchor{ef8}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Sgn_Int = 283
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Sgn_Int}@anchor{13f2}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Sgn_Int = 285
@end deffn
@geindex Ieee_Numeric_Std_Div_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Int_Sgn}@anchor{ef9}
-@deffn {Attribute} Ieee_Numeric_Std_Div_Int_Sgn = 284
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Div_Int_Sgn}@anchor{13f3}
+@deffn {Attribute} Ieee_Numeric_Std_Div_Int_Sgn = 286
@end deffn
@geindex Ieee_Numeric_Std_Rem_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Uns_Uns}@anchor{efa}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Uns_Uns = 285
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Uns_Uns}@anchor{13f4}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Uns_Uns = 287
@end deffn
@geindex Ieee_Numeric_Std_Rem_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Uns_Nat}@anchor{efb}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Uns_Nat = 286
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Uns_Nat}@anchor{13f5}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Uns_Nat = 288
@end deffn
@geindex Ieee_Numeric_Std_Rem_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Nat_Uns}@anchor{efc}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Nat_Uns = 287
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Nat_Uns}@anchor{13f6}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Nat_Uns = 289
@end deffn
@geindex Ieee_Numeric_Std_Rem_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Sgn_Sgn}@anchor{efd}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Sgn_Sgn = 288
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Sgn_Sgn}@anchor{13f7}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Sgn_Sgn = 290
@end deffn
@geindex Ieee_Numeric_Std_Rem_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Sgn_Int}@anchor{efe}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Sgn_Int = 289
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Sgn_Int}@anchor{13f8}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Sgn_Int = 291
@end deffn
@geindex Ieee_Numeric_Std_Rem_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Int_Sgn}@anchor{eff}
-@deffn {Attribute} Ieee_Numeric_Std_Rem_Int_Sgn = 290
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rem_Int_Sgn}@anchor{13f9}
+@deffn {Attribute} Ieee_Numeric_Std_Rem_Int_Sgn = 292
@end deffn
@geindex Ieee_Numeric_Std_Mod_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Uns_Uns}@anchor{f00}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Uns_Uns = 291
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Uns_Uns}@anchor{13fa}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Uns_Uns = 293
@end deffn
@geindex Ieee_Numeric_Std_Mod_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Uns_Nat}@anchor{f01}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Uns_Nat = 292
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Uns_Nat}@anchor{13fb}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Uns_Nat = 294
@end deffn
@geindex Ieee_Numeric_Std_Mod_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Nat_Uns}@anchor{f02}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Nat_Uns = 293
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Nat_Uns}@anchor{13fc}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Nat_Uns = 295
@end deffn
@geindex Ieee_Numeric_Std_Mod_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Sgn_Sgn}@anchor{f03}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Sgn_Sgn = 294
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Sgn_Sgn}@anchor{13fd}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Sgn_Sgn = 296
@end deffn
@geindex Ieee_Numeric_Std_Mod_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Sgn_Int}@anchor{f04}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Sgn_Int = 295
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Sgn_Int}@anchor{13fe}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Sgn_Int = 297
@end deffn
@geindex Ieee_Numeric_Std_Mod_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Int_Sgn}@anchor{f05}
-@deffn {Attribute} Ieee_Numeric_Std_Mod_Int_Sgn = 296
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Mod_Int_Sgn}@anchor{13ff}
+@deffn {Attribute} Ieee_Numeric_Std_Mod_Int_Sgn = 298
@end deffn
@geindex Ieee_Numeric_Std_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Uns_Uns}@anchor{f06}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Uns_Uns = 297
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Uns_Uns}@anchor{1400}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Uns_Uns = 299
@end deffn
@geindex Ieee_Numeric_Std_Gt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Uns_Nat}@anchor{f07}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Uns_Nat = 298
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Uns_Nat}@anchor{1401}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Uns_Nat = 300
@end deffn
@geindex Ieee_Numeric_Std_Gt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Nat_Uns}@anchor{f08}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Nat_Uns = 299
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Nat_Uns}@anchor{1402}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Nat_Uns = 301
@end deffn
@geindex Ieee_Numeric_Std_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Sgn_Sgn}@anchor{f09}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Sgn_Sgn = 300
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Sgn_Sgn}@anchor{1403}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Sgn_Sgn = 302
@end deffn
@geindex Ieee_Numeric_Std_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Sgn_Int}@anchor{f0a}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Sgn_Int = 301
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Sgn_Int}@anchor{1404}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Sgn_Int = 303
@end deffn
@geindex Ieee_Numeric_Std_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Int_Sgn}@anchor{f0b}
-@deffn {Attribute} Ieee_Numeric_Std_Gt_Int_Sgn = 302
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Gt_Int_Sgn}@anchor{1405}
+@deffn {Attribute} Ieee_Numeric_Std_Gt_Int_Sgn = 304
@end deffn
@geindex Ieee_Numeric_Std_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Uns_Uns}@anchor{f0c}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Uns_Uns = 303
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Uns_Uns}@anchor{1406}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Uns_Uns = 305
@end deffn
@geindex Ieee_Numeric_Std_Lt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Uns_Nat}@anchor{f0d}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Uns_Nat = 304
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Uns_Nat}@anchor{1407}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Uns_Nat = 306
@end deffn
@geindex Ieee_Numeric_Std_Lt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Nat_Uns}@anchor{f0e}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Nat_Uns = 305
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Nat_Uns}@anchor{1408}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Nat_Uns = 307
@end deffn
@geindex Ieee_Numeric_Std_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Sgn_Sgn}@anchor{f0f}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Sgn_Sgn = 306
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Sgn_Sgn}@anchor{1409}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Sgn_Sgn = 308
@end deffn
@geindex Ieee_Numeric_Std_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Sgn_Int}@anchor{f10}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Sgn_Int = 307
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Sgn_Int}@anchor{140a}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Sgn_Int = 309
@end deffn
@geindex Ieee_Numeric_Std_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Int_Sgn}@anchor{f11}
-@deffn {Attribute} Ieee_Numeric_Std_Lt_Int_Sgn = 308
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Lt_Int_Sgn}@anchor{140b}
+@deffn {Attribute} Ieee_Numeric_Std_Lt_Int_Sgn = 310
@end deffn
@geindex Ieee_Numeric_Std_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Uns_Uns}@anchor{f12}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Uns_Uns = 309
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Uns_Uns}@anchor{140c}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Uns_Uns = 311
@end deffn
@geindex Ieee_Numeric_Std_Le_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Uns_Nat}@anchor{f13}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Uns_Nat = 310
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Uns_Nat}@anchor{140d}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Uns_Nat = 312
@end deffn
@geindex Ieee_Numeric_Std_Le_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Nat_Uns}@anchor{f14}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Nat_Uns = 311
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Nat_Uns}@anchor{140e}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Nat_Uns = 313
@end deffn
@geindex Ieee_Numeric_Std_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Sgn_Sgn}@anchor{f15}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Sgn_Sgn = 312
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Sgn_Sgn}@anchor{140f}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Sgn_Sgn = 314
@end deffn
@geindex Ieee_Numeric_Std_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Sgn_Int}@anchor{f16}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Sgn_Int = 313
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Sgn_Int}@anchor{1410}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Sgn_Int = 315
@end deffn
@geindex Ieee_Numeric_Std_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Int_Sgn}@anchor{f17}
-@deffn {Attribute} Ieee_Numeric_Std_Le_Int_Sgn = 314
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Le_Int_Sgn}@anchor{1411}
+@deffn {Attribute} Ieee_Numeric_Std_Le_Int_Sgn = 316
@end deffn
@geindex Ieee_Numeric_Std_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Uns_Uns}@anchor{f18}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Uns_Uns = 315
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Uns_Uns}@anchor{1412}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Uns_Uns = 317
@end deffn
@geindex Ieee_Numeric_Std_Ge_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Uns_Nat}@anchor{f19}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Uns_Nat = 316
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Uns_Nat}@anchor{1413}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Uns_Nat = 318
@end deffn
@geindex Ieee_Numeric_Std_Ge_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Nat_Uns}@anchor{f1a}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Nat_Uns = 317
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Nat_Uns}@anchor{1414}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Nat_Uns = 319
@end deffn
@geindex Ieee_Numeric_Std_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Sgn_Sgn}@anchor{f1b}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Sgn_Sgn = 318
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Sgn_Sgn}@anchor{1415}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Sgn_Sgn = 320
@end deffn
@geindex Ieee_Numeric_Std_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Sgn_Int}@anchor{f1c}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Sgn_Int = 319
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Sgn_Int}@anchor{1416}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Sgn_Int = 321
@end deffn
@geindex Ieee_Numeric_Std_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Int_Sgn}@anchor{f1d}
-@deffn {Attribute} Ieee_Numeric_Std_Ge_Int_Sgn = 320
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ge_Int_Sgn}@anchor{1417}
+@deffn {Attribute} Ieee_Numeric_Std_Ge_Int_Sgn = 322
@end deffn
@geindex Ieee_Numeric_Std_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Uns_Uns}@anchor{f1e}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Uns_Uns = 321
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Uns_Uns}@anchor{1418}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Uns_Uns = 323
@end deffn
@geindex Ieee_Numeric_Std_Eq_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Uns_Nat}@anchor{f1f}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Uns_Nat = 322
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Uns_Nat}@anchor{1419}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Uns_Nat = 324
@end deffn
@geindex Ieee_Numeric_Std_Eq_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Nat_Uns}@anchor{f20}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Nat_Uns = 323
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Nat_Uns}@anchor{141a}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Nat_Uns = 325
@end deffn
@geindex Ieee_Numeric_Std_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Sgn_Sgn}@anchor{f21}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Sgn_Sgn = 324
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Sgn_Sgn}@anchor{141b}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Sgn_Sgn = 326
@end deffn
@geindex Ieee_Numeric_Std_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Sgn_Int}@anchor{f22}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Sgn_Int = 325
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Sgn_Int}@anchor{141c}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Sgn_Int = 327
@end deffn
@geindex Ieee_Numeric_Std_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Int_Sgn}@anchor{f23}
-@deffn {Attribute} Ieee_Numeric_Std_Eq_Int_Sgn = 326
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Eq_Int_Sgn}@anchor{141d}
+@deffn {Attribute} Ieee_Numeric_Std_Eq_Int_Sgn = 328
@end deffn
@geindex Ieee_Numeric_Std_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Uns_Uns}@anchor{f24}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Uns_Uns = 327
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Uns_Uns}@anchor{141e}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Uns_Uns = 329
@end deffn
@geindex Ieee_Numeric_Std_Ne_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Uns_Nat}@anchor{f25}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Uns_Nat = 328
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Uns_Nat}@anchor{141f}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Uns_Nat = 330
@end deffn
@geindex Ieee_Numeric_Std_Ne_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Nat_Uns}@anchor{f26}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Nat_Uns = 329
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Nat_Uns}@anchor{1420}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Nat_Uns = 331
@end deffn
@geindex Ieee_Numeric_Std_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Sgn_Sgn}@anchor{f27}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Sgn_Sgn = 330
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Sgn_Sgn}@anchor{1421}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Sgn_Sgn = 332
@end deffn
@geindex Ieee_Numeric_Std_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Sgn_Int}@anchor{f28}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Sgn_Int = 331
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Sgn_Int}@anchor{1422}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Sgn_Int = 333
@end deffn
@geindex Ieee_Numeric_Std_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Int_Sgn}@anchor{f29}
-@deffn {Attribute} Ieee_Numeric_Std_Ne_Int_Sgn = 332
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ne_Int_Sgn}@anchor{1423}
+@deffn {Attribute} Ieee_Numeric_Std_Ne_Int_Sgn = 334
@end deffn
@geindex Ieee_Numeric_Std_Match_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Uns_Uns}@anchor{f2a}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Uns_Uns = 333
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Uns_Uns}@anchor{1424}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Uns_Uns = 335
@end deffn
@geindex Ieee_Numeric_Std_Match_Gt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Uns_Nat}@anchor{f2b}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Uns_Nat = 334
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Uns_Nat}@anchor{1425}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Uns_Nat = 336
@end deffn
@geindex Ieee_Numeric_Std_Match_Gt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Nat_Uns}@anchor{f2c}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Nat_Uns = 335
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Nat_Uns}@anchor{1426}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Nat_Uns = 337
@end deffn
@geindex Ieee_Numeric_Std_Match_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Sgn_Sgn}@anchor{f2d}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Sgn_Sgn = 336
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Sgn_Sgn}@anchor{1427}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Sgn_Sgn = 338
@end deffn
@geindex Ieee_Numeric_Std_Match_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Sgn_Int}@anchor{f2e}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Sgn_Int = 337
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Sgn_Int}@anchor{1428}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Sgn_Int = 339
@end deffn
@geindex Ieee_Numeric_Std_Match_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Int_Sgn}@anchor{f2f}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Int_Sgn = 338
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Gt_Int_Sgn}@anchor{1429}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Gt_Int_Sgn = 340
@end deffn
@geindex Ieee_Numeric_Std_Match_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Uns_Uns}@anchor{f30}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Uns_Uns = 339
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Uns_Uns}@anchor{142a}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Uns_Uns = 341
@end deffn
@geindex Ieee_Numeric_Std_Match_Lt_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Uns_Nat}@anchor{f31}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Uns_Nat = 340
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Uns_Nat}@anchor{142b}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Uns_Nat = 342
@end deffn
@geindex Ieee_Numeric_Std_Match_Lt_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Nat_Uns}@anchor{f32}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Nat_Uns = 341
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Nat_Uns}@anchor{142c}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Nat_Uns = 343
@end deffn
@geindex Ieee_Numeric_Std_Match_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Sgn_Sgn}@anchor{f33}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Sgn_Sgn = 342
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Sgn_Sgn}@anchor{142d}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Sgn_Sgn = 344
@end deffn
@geindex Ieee_Numeric_Std_Match_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Sgn_Int}@anchor{f34}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Sgn_Int = 343
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Sgn_Int}@anchor{142e}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Sgn_Int = 345
@end deffn
@geindex Ieee_Numeric_Std_Match_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Int_Sgn}@anchor{f35}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Int_Sgn = 344
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Lt_Int_Sgn}@anchor{142f}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Lt_Int_Sgn = 346
@end deffn
@geindex Ieee_Numeric_Std_Match_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Uns_Uns}@anchor{f36}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Uns_Uns = 345
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Uns_Uns}@anchor{1430}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Uns_Uns = 347
@end deffn
@geindex Ieee_Numeric_Std_Match_Le_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Uns_Nat}@anchor{f37}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Uns_Nat = 346
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Uns_Nat}@anchor{1431}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Uns_Nat = 348
@end deffn
@geindex Ieee_Numeric_Std_Match_Le_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Nat_Uns}@anchor{f38}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Nat_Uns = 347
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Nat_Uns}@anchor{1432}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Nat_Uns = 349
@end deffn
@geindex Ieee_Numeric_Std_Match_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Sgn_Sgn}@anchor{f39}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Sgn_Sgn = 348
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Sgn_Sgn}@anchor{1433}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Sgn_Sgn = 350
@end deffn
@geindex Ieee_Numeric_Std_Match_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Sgn_Int}@anchor{f3a}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Sgn_Int = 349
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Sgn_Int}@anchor{1434}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Sgn_Int = 351
@end deffn
@geindex Ieee_Numeric_Std_Match_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Int_Sgn}@anchor{f3b}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Int_Sgn = 350
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Le_Int_Sgn}@anchor{1435}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Le_Int_Sgn = 352
@end deffn
@geindex Ieee_Numeric_Std_Match_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Uns_Uns}@anchor{f3c}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Uns_Uns = 351
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Uns_Uns}@anchor{1436}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Uns_Uns = 353
@end deffn
@geindex Ieee_Numeric_Std_Match_Ge_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Uns_Nat}@anchor{f3d}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Uns_Nat = 352
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Uns_Nat}@anchor{1437}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Uns_Nat = 354
@end deffn
@geindex Ieee_Numeric_Std_Match_Ge_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Nat_Uns}@anchor{f3e}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Nat_Uns = 353
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Nat_Uns}@anchor{1438}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Nat_Uns = 355
@end deffn
@geindex Ieee_Numeric_Std_Match_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Sgn_Sgn}@anchor{f3f}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Sgn_Sgn = 354
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Sgn_Sgn}@anchor{1439}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Sgn_Sgn = 356
@end deffn
@geindex Ieee_Numeric_Std_Match_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Sgn_Int}@anchor{f40}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Sgn_Int = 355
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Sgn_Int}@anchor{143a}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Sgn_Int = 357
@end deffn
@geindex Ieee_Numeric_Std_Match_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Int_Sgn}@anchor{f41}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Int_Sgn = 356
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ge_Int_Sgn}@anchor{143b}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ge_Int_Sgn = 358
@end deffn
@geindex Ieee_Numeric_Std_Match_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Uns_Uns}@anchor{f42}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Uns_Uns = 357
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Uns_Uns}@anchor{143c}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Uns_Uns = 359
@end deffn
@geindex Ieee_Numeric_Std_Match_Eq_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Uns_Nat}@anchor{f43}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Uns_Nat = 358
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Uns_Nat}@anchor{143d}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Uns_Nat = 360
@end deffn
@geindex Ieee_Numeric_Std_Match_Eq_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Nat_Uns}@anchor{f44}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Nat_Uns = 359
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Nat_Uns}@anchor{143e}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Nat_Uns = 361
@end deffn
@geindex Ieee_Numeric_Std_Match_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Sgn_Sgn}@anchor{f45}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Sgn_Sgn = 360
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Sgn_Sgn}@anchor{143f}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Sgn_Sgn = 362
@end deffn
@geindex Ieee_Numeric_Std_Match_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Sgn_Int}@anchor{f46}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Sgn_Int = 361
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Sgn_Int}@anchor{1440}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Sgn_Int = 363
@end deffn
@geindex Ieee_Numeric_Std_Match_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Int_Sgn}@anchor{f47}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Int_Sgn = 362
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Eq_Int_Sgn}@anchor{1441}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Eq_Int_Sgn = 364
@end deffn
@geindex Ieee_Numeric_Std_Match_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Uns_Uns}@anchor{f48}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Uns_Uns = 363
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Uns_Uns}@anchor{1442}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Uns_Uns = 365
@end deffn
@geindex Ieee_Numeric_Std_Match_Ne_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Uns_Nat}@anchor{f49}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Uns_Nat = 364
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Uns_Nat}@anchor{1443}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Uns_Nat = 366
@end deffn
@geindex Ieee_Numeric_Std_Match_Ne_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Nat_Uns}@anchor{f4a}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Nat_Uns = 365
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Nat_Uns}@anchor{1444}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Nat_Uns = 367
@end deffn
@geindex Ieee_Numeric_Std_Match_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Sgn_Sgn}@anchor{f4b}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Sgn_Sgn = 366
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Sgn_Sgn}@anchor{1445}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Sgn_Sgn = 368
@end deffn
@geindex Ieee_Numeric_Std_Match_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Sgn_Int}@anchor{f4c}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Sgn_Int = 367
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Sgn_Int}@anchor{1446}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Sgn_Int = 369
@end deffn
@geindex Ieee_Numeric_Std_Match_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Int_Sgn}@anchor{f4d}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Int_Sgn = 368
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Ne_Int_Sgn}@anchor{1447}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Ne_Int_Sgn = 370
@end deffn
@geindex Ieee_Numeric_Std_Sll_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sll_Uns_Int}@anchor{f4e}
-@deffn {Attribute} Ieee_Numeric_Std_Sll_Uns_Int = 369
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sll_Uns_Int}@anchor{1448}
+@deffn {Attribute} Ieee_Numeric_Std_Sll_Uns_Int = 371
@end deffn
@geindex Ieee_Numeric_Std_Sll_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sll_Sgn_Int}@anchor{f4f}
-@deffn {Attribute} Ieee_Numeric_Std_Sll_Sgn_Int = 370
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sll_Sgn_Int}@anchor{1449}
+@deffn {Attribute} Ieee_Numeric_Std_Sll_Sgn_Int = 372
@end deffn
@geindex Ieee_Numeric_Std_Srl_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Srl_Uns_Int}@anchor{f50}
-@deffn {Attribute} Ieee_Numeric_Std_Srl_Uns_Int = 371
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Srl_Uns_Int}@anchor{144a}
+@deffn {Attribute} Ieee_Numeric_Std_Srl_Uns_Int = 373
@end deffn
@geindex Ieee_Numeric_Std_Srl_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Srl_Sgn_Int}@anchor{f51}
-@deffn {Attribute} Ieee_Numeric_Std_Srl_Sgn_Int = 372
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Srl_Sgn_Int}@anchor{144b}
+@deffn {Attribute} Ieee_Numeric_Std_Srl_Sgn_Int = 374
@end deffn
@geindex Ieee_Numeric_Std_Sla_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sla_Uns_Int}@anchor{f52}
-@deffn {Attribute} Ieee_Numeric_Std_Sla_Uns_Int = 373
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sla_Uns_Int}@anchor{144c}
+@deffn {Attribute} Ieee_Numeric_Std_Sla_Uns_Int = 375
@end deffn
@geindex Ieee_Numeric_Std_Sla_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sla_Sgn_Int}@anchor{f53}
-@deffn {Attribute} Ieee_Numeric_Std_Sla_Sgn_Int = 374
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sla_Sgn_Int}@anchor{144d}
+@deffn {Attribute} Ieee_Numeric_Std_Sla_Sgn_Int = 376
@end deffn
@geindex Ieee_Numeric_Std_Sra_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sra_Uns_Int}@anchor{f54}
-@deffn {Attribute} Ieee_Numeric_Std_Sra_Uns_Int = 375
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sra_Uns_Int}@anchor{144e}
+@deffn {Attribute} Ieee_Numeric_Std_Sra_Uns_Int = 377
@end deffn
@geindex Ieee_Numeric_Std_Sra_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sra_Sgn_Int}@anchor{f55}
-@deffn {Attribute} Ieee_Numeric_Std_Sra_Sgn_Int = 376
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Sra_Sgn_Int}@anchor{144f}
+@deffn {Attribute} Ieee_Numeric_Std_Sra_Sgn_Int = 378
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rol_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rol_Uns_Int}@anchor{1450}
+@deffn {Attribute} Ieee_Numeric_Std_Rol_Uns_Int = 379
+@end deffn
+
+@geindex Ieee_Numeric_Std_Rol_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rol_Sgn_Int}@anchor{1451}
+@deffn {Attribute} Ieee_Numeric_Std_Rol_Sgn_Int = 380
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ror_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ror_Uns_Int}@anchor{1452}
+@deffn {Attribute} Ieee_Numeric_Std_Ror_Uns_Int = 381
+@end deffn
+
+@geindex Ieee_Numeric_Std_Ror_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Ror_Sgn_Int}@anchor{1453}
+@deffn {Attribute} Ieee_Numeric_Std_Ror_Sgn_Int = 382
@end deffn
@geindex Ieee_Numeric_Std_And_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Uns_Uns}@anchor{f56}
-@deffn {Attribute} Ieee_Numeric_Std_And_Uns_Uns = 377
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Uns_Uns}@anchor{1454}
+@deffn {Attribute} Ieee_Numeric_Std_And_Uns_Uns = 383
@end deffn
@geindex Ieee_Numeric_Std_And_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Sgn_Sgn}@anchor{f57}
-@deffn {Attribute} Ieee_Numeric_Std_And_Sgn_Sgn = 378
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Sgn_Sgn}@anchor{1455}
+@deffn {Attribute} Ieee_Numeric_Std_And_Sgn_Sgn = 384
@end deffn
@geindex Ieee_Numeric_Std_Or_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Uns_Uns}@anchor{f58}
-@deffn {Attribute} Ieee_Numeric_Std_Or_Uns_Uns = 379
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Uns_Uns}@anchor{1456}
+@deffn {Attribute} Ieee_Numeric_Std_Or_Uns_Uns = 385
@end deffn
@geindex Ieee_Numeric_Std_Or_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Sgn_Sgn}@anchor{f59}
-@deffn {Attribute} Ieee_Numeric_Std_Or_Sgn_Sgn = 380
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Sgn_Sgn}@anchor{1457}
+@deffn {Attribute} Ieee_Numeric_Std_Or_Sgn_Sgn = 386
@end deffn
@geindex Ieee_Numeric_Std_Nand_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Uns_Uns}@anchor{f5a}
-@deffn {Attribute} Ieee_Numeric_Std_Nand_Uns_Uns = 381
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Uns_Uns}@anchor{1458}
+@deffn {Attribute} Ieee_Numeric_Std_Nand_Uns_Uns = 387
@end deffn
@geindex Ieee_Numeric_Std_Nand_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Sgn_Sgn}@anchor{f5b}
-@deffn {Attribute} Ieee_Numeric_Std_Nand_Sgn_Sgn = 382
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Sgn_Sgn}@anchor{1459}
+@deffn {Attribute} Ieee_Numeric_Std_Nand_Sgn_Sgn = 388
@end deffn
@geindex Ieee_Numeric_Std_Nor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Uns_Uns}@anchor{f5c}
-@deffn {Attribute} Ieee_Numeric_Std_Nor_Uns_Uns = 383
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Uns_Uns}@anchor{145a}
+@deffn {Attribute} Ieee_Numeric_Std_Nor_Uns_Uns = 389
@end deffn
@geindex Ieee_Numeric_Std_Nor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Sgn_Sgn}@anchor{f5d}
-@deffn {Attribute} Ieee_Numeric_Std_Nor_Sgn_Sgn = 384
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Sgn_Sgn}@anchor{145b}
+@deffn {Attribute} Ieee_Numeric_Std_Nor_Sgn_Sgn = 390
@end deffn
@geindex Ieee_Numeric_Std_Xor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Uns_Uns}@anchor{f5e}
-@deffn {Attribute} Ieee_Numeric_Std_Xor_Uns_Uns = 385
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Uns_Uns}@anchor{145c}
+@deffn {Attribute} Ieee_Numeric_Std_Xor_Uns_Uns = 391
@end deffn
@geindex Ieee_Numeric_Std_Xor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Sgn_Sgn}@anchor{f5f}
-@deffn {Attribute} Ieee_Numeric_Std_Xor_Sgn_Sgn = 386
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Sgn_Sgn}@anchor{145d}
+@deffn {Attribute} Ieee_Numeric_Std_Xor_Sgn_Sgn = 392
@end deffn
@geindex Ieee_Numeric_Std_Xnor_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Uns_Uns}@anchor{f60}
-@deffn {Attribute} Ieee_Numeric_Std_Xnor_Uns_Uns = 387
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Uns_Uns}@anchor{145e}
+@deffn {Attribute} Ieee_Numeric_Std_Xnor_Uns_Uns = 393
@end deffn
@geindex Ieee_Numeric_Std_Xnor_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Sgn_Sgn}@anchor{f61}
-@deffn {Attribute} Ieee_Numeric_Std_Xnor_Sgn_Sgn = 388
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Sgn_Sgn}@anchor{145f}
+@deffn {Attribute} Ieee_Numeric_Std_Xnor_Sgn_Sgn = 394
@end deffn
@geindex Ieee_Numeric_Std_Not_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Not_Uns}@anchor{f62}
-@deffn {Attribute} Ieee_Numeric_Std_Not_Uns = 389
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Not_Uns}@anchor{1460}
+@deffn {Attribute} Ieee_Numeric_Std_Not_Uns = 395
@end deffn
@geindex Ieee_Numeric_Std_Not_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Not_Sgn}@anchor{f63}
-@deffn {Attribute} Ieee_Numeric_Std_Not_Sgn = 390
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Not_Sgn}@anchor{1461}
+@deffn {Attribute} Ieee_Numeric_Std_Not_Sgn = 396
@end deffn
@geindex Ieee_Numeric_Std_Abs_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Abs_Sgn}@anchor{f64}
-@deffn {Attribute} Ieee_Numeric_Std_Abs_Sgn = 391
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Abs_Sgn}@anchor{1462}
+@deffn {Attribute} Ieee_Numeric_Std_Abs_Sgn = 397
@end deffn
@geindex Ieee_Numeric_Std_Neg_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Neg_Uns}@anchor{f65}
-@deffn {Attribute} Ieee_Numeric_Std_Neg_Uns = 392
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Neg_Uns}@anchor{1463}
+@deffn {Attribute} Ieee_Numeric_Std_Neg_Uns = 398
@end deffn
@geindex Ieee_Numeric_Std_Neg_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Neg_Sgn}@anchor{f66}
-@deffn {Attribute} Ieee_Numeric_Std_Neg_Sgn = 393
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Neg_Sgn}@anchor{1464}
+@deffn {Attribute} Ieee_Numeric_Std_Neg_Sgn = 399
@end deffn
@geindex Ieee_Numeric_Std_Min_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Uns_Uns}@anchor{f67}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Uns_Uns = 394
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Uns_Uns}@anchor{1465}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Uns_Uns = 400
@end deffn
@geindex Ieee_Numeric_Std_Min_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Uns_Nat}@anchor{f68}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Uns_Nat = 395
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Uns_Nat}@anchor{1466}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Uns_Nat = 401
@end deffn
@geindex Ieee_Numeric_Std_Min_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Nat_Uns}@anchor{f69}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Nat_Uns = 396
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Nat_Uns}@anchor{1467}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Nat_Uns = 402
@end deffn
@geindex Ieee_Numeric_Std_Min_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Sgn_Sgn}@anchor{f6a}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Sgn_Sgn = 397
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Sgn_Sgn}@anchor{1468}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Sgn_Sgn = 403
@end deffn
@geindex Ieee_Numeric_Std_Min_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Sgn_Int}@anchor{f6b}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Sgn_Int = 398
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Sgn_Int}@anchor{1469}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Sgn_Int = 404
@end deffn
@geindex Ieee_Numeric_Std_Min_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Int_Sgn}@anchor{f6c}
-@deffn {Attribute} Ieee_Numeric_Std_Min_Int_Sgn = 399
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Min_Int_Sgn}@anchor{146a}
+@deffn {Attribute} Ieee_Numeric_Std_Min_Int_Sgn = 405
@end deffn
@geindex Ieee_Numeric_Std_Max_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Uns_Uns}@anchor{f6d}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Uns_Uns = 400
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Uns_Uns}@anchor{146b}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Uns_Uns = 406
@end deffn
@geindex Ieee_Numeric_Std_Max_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Uns_Nat}@anchor{f6e}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Uns_Nat = 401
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Uns_Nat}@anchor{146c}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Uns_Nat = 407
@end deffn
@geindex Ieee_Numeric_Std_Max_Nat_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Nat_Uns}@anchor{f6f}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Nat_Uns = 402
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Nat_Uns}@anchor{146d}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Nat_Uns = 408
@end deffn
@geindex Ieee_Numeric_Std_Max_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Sgn_Sgn}@anchor{f70}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Sgn_Sgn = 403
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Sgn_Sgn}@anchor{146e}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Sgn_Sgn = 409
@end deffn
@geindex Ieee_Numeric_Std_Max_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Sgn_Int}@anchor{f71}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Sgn_Int = 404
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Sgn_Int}@anchor{146f}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Sgn_Int = 410
@end deffn
@geindex Ieee_Numeric_Std_Max_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Int_Sgn}@anchor{f72}
-@deffn {Attribute} Ieee_Numeric_Std_Max_Int_Sgn = 405
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Max_Int_Sgn}@anchor{1470}
+@deffn {Attribute} Ieee_Numeric_Std_Max_Int_Sgn = 411
@end deffn
@geindex Ieee_Numeric_Std_Shf_Left_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Left_Uns_Nat}@anchor{f73}
-@deffn {Attribute} Ieee_Numeric_Std_Shf_Left_Uns_Nat = 406
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Left_Uns_Nat}@anchor{1471}
+@deffn {Attribute} Ieee_Numeric_Std_Shf_Left_Uns_Nat = 412
@end deffn
@geindex Ieee_Numeric_Std_Shf_Right_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Right_Uns_Nat}@anchor{f74}
-@deffn {Attribute} Ieee_Numeric_Std_Shf_Right_Uns_Nat = 407
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Right_Uns_Nat}@anchor{1472}
+@deffn {Attribute} Ieee_Numeric_Std_Shf_Right_Uns_Nat = 413
@end deffn
@geindex Ieee_Numeric_Std_Shf_Left_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Left_Sgn_Nat}@anchor{f75}
-@deffn {Attribute} Ieee_Numeric_Std_Shf_Left_Sgn_Nat = 408
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Left_Sgn_Nat}@anchor{1473}
+@deffn {Attribute} Ieee_Numeric_Std_Shf_Left_Sgn_Nat = 414
@end deffn
@geindex Ieee_Numeric_Std_Shf_Right_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Right_Sgn_Nat}@anchor{f76}
-@deffn {Attribute} Ieee_Numeric_Std_Shf_Right_Sgn_Nat = 409
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Shf_Right_Sgn_Nat}@anchor{1474}
+@deffn {Attribute} Ieee_Numeric_Std_Shf_Right_Sgn_Nat = 415
@end deffn
@geindex Ieee_Numeric_Std_Rot_Left_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Left_Uns_Nat}@anchor{f77}
-@deffn {Attribute} Ieee_Numeric_Std_Rot_Left_Uns_Nat = 410
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Left_Uns_Nat}@anchor{1475}
+@deffn {Attribute} Ieee_Numeric_Std_Rot_Left_Uns_Nat = 416
@end deffn
@geindex Ieee_Numeric_Std_Rot_Right_Uns_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Right_Uns_Nat}@anchor{f78}
-@deffn {Attribute} Ieee_Numeric_Std_Rot_Right_Uns_Nat = 411
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Right_Uns_Nat}@anchor{1476}
+@deffn {Attribute} Ieee_Numeric_Std_Rot_Right_Uns_Nat = 417
@end deffn
@geindex Ieee_Numeric_Std_Rot_Left_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Left_Sgn_Nat}@anchor{f79}
-@deffn {Attribute} Ieee_Numeric_Std_Rot_Left_Sgn_Nat = 412
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Left_Sgn_Nat}@anchor{1477}
+@deffn {Attribute} Ieee_Numeric_Std_Rot_Left_Sgn_Nat = 418
@end deffn
@geindex Ieee_Numeric_Std_Rot_Right_Sgn_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Right_Sgn_Nat}@anchor{f7a}
-@deffn {Attribute} Ieee_Numeric_Std_Rot_Right_Sgn_Nat = 413
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Rot_Right_Sgn_Nat}@anchor{1478}
+@deffn {Attribute} Ieee_Numeric_Std_Rot_Right_Sgn_Nat = 419
@end deffn
@geindex Ieee_Numeric_Std_And_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Sgn}@anchor{f7b}
-@deffn {Attribute} Ieee_Numeric_Std_And_Sgn = 414
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Sgn}@anchor{1479}
+@deffn {Attribute} Ieee_Numeric_Std_And_Sgn = 420
@end deffn
@geindex Ieee_Numeric_Std_Nand_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Sgn}@anchor{f7c}
-@deffn {Attribute} Ieee_Numeric_Std_Nand_Sgn = 415
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Sgn}@anchor{147a}
+@deffn {Attribute} Ieee_Numeric_Std_Nand_Sgn = 421
@end deffn
@geindex Ieee_Numeric_Std_Or_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Sgn}@anchor{f7d}
-@deffn {Attribute} Ieee_Numeric_Std_Or_Sgn = 416
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Sgn}@anchor{147b}
+@deffn {Attribute} Ieee_Numeric_Std_Or_Sgn = 422
@end deffn
@geindex Ieee_Numeric_Std_Nor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Sgn}@anchor{f7e}
-@deffn {Attribute} Ieee_Numeric_Std_Nor_Sgn = 417
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Sgn}@anchor{147c}
+@deffn {Attribute} Ieee_Numeric_Std_Nor_Sgn = 423
@end deffn
@geindex Ieee_Numeric_Std_Xor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Sgn}@anchor{f7f}
-@deffn {Attribute} Ieee_Numeric_Std_Xor_Sgn = 418
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Sgn}@anchor{147d}
+@deffn {Attribute} Ieee_Numeric_Std_Xor_Sgn = 424
@end deffn
@geindex Ieee_Numeric_Std_Xnor_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Sgn}@anchor{f80}
-@deffn {Attribute} Ieee_Numeric_Std_Xnor_Sgn = 419
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Sgn}@anchor{147e}
+@deffn {Attribute} Ieee_Numeric_Std_Xnor_Sgn = 425
@end deffn
@geindex Ieee_Numeric_Std_And_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Uns}@anchor{f81}
-@deffn {Attribute} Ieee_Numeric_Std_And_Uns = 420
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_And_Uns}@anchor{147f}
+@deffn {Attribute} Ieee_Numeric_Std_And_Uns = 426
@end deffn
@geindex Ieee_Numeric_Std_Nand_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Uns}@anchor{f82}
-@deffn {Attribute} Ieee_Numeric_Std_Nand_Uns = 421
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nand_Uns}@anchor{1480}
+@deffn {Attribute} Ieee_Numeric_Std_Nand_Uns = 427
@end deffn
@geindex Ieee_Numeric_Std_Or_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Uns}@anchor{f83}
-@deffn {Attribute} Ieee_Numeric_Std_Or_Uns = 422
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Or_Uns}@anchor{1481}
+@deffn {Attribute} Ieee_Numeric_Std_Or_Uns = 428
@end deffn
@geindex Ieee_Numeric_Std_Nor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Uns}@anchor{f84}
-@deffn {Attribute} Ieee_Numeric_Std_Nor_Uns = 423
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Nor_Uns}@anchor{1482}
+@deffn {Attribute} Ieee_Numeric_Std_Nor_Uns = 429
@end deffn
@geindex Ieee_Numeric_Std_Xor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Uns}@anchor{f85}
-@deffn {Attribute} Ieee_Numeric_Std_Xor_Uns = 424
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xor_Uns}@anchor{1483}
+@deffn {Attribute} Ieee_Numeric_Std_Xor_Uns = 430
@end deffn
@geindex Ieee_Numeric_Std_Xnor_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Uns}@anchor{f86}
-@deffn {Attribute} Ieee_Numeric_Std_Xnor_Uns = 425
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Xnor_Uns}@anchor{1484}
+@deffn {Attribute} Ieee_Numeric_Std_Xnor_Uns = 431
@end deffn
@geindex Ieee_Numeric_Std_Find_Leftmost_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Leftmost_Uns}@anchor{f87}
-@deffn {Attribute} Ieee_Numeric_Std_Find_Leftmost_Uns = 426
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Leftmost_Uns}@anchor{1485}
+@deffn {Attribute} Ieee_Numeric_Std_Find_Leftmost_Uns = 432
@end deffn
@geindex Ieee_Numeric_Std_Find_Rightmost_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Rightmost_Uns}@anchor{f88}
-@deffn {Attribute} Ieee_Numeric_Std_Find_Rightmost_Uns = 427
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Rightmost_Uns}@anchor{1486}
+@deffn {Attribute} Ieee_Numeric_Std_Find_Rightmost_Uns = 433
@end deffn
@geindex Ieee_Numeric_Std_Find_Leftmost_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Leftmost_Sgn}@anchor{f89}
-@deffn {Attribute} Ieee_Numeric_Std_Find_Leftmost_Sgn = 428
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Leftmost_Sgn}@anchor{1487}
+@deffn {Attribute} Ieee_Numeric_Std_Find_Leftmost_Sgn = 434
@end deffn
@geindex Ieee_Numeric_Std_Find_Rightmost_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Rightmost_Sgn}@anchor{f8a}
-@deffn {Attribute} Ieee_Numeric_Std_Find_Rightmost_Sgn = 429
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Find_Rightmost_Sgn}@anchor{1488}
+@deffn {Attribute} Ieee_Numeric_Std_Find_Rightmost_Sgn = 435
@end deffn
@geindex Ieee_Numeric_Std_Match_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Log}@anchor{f8b}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Log = 430
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Log}@anchor{1489}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Log = 436
@end deffn
@geindex Ieee_Numeric_Std_Match_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Uns}@anchor{f8c}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Uns = 431
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Uns}@anchor{148a}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Uns = 437
@end deffn
@geindex Ieee_Numeric_Std_Match_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Sgn}@anchor{f8d}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Sgn = 432
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Sgn}@anchor{148b}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Sgn = 438
@end deffn
@geindex Ieee_Numeric_Std_Match_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Slv}@anchor{f8e}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Slv = 433
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Slv}@anchor{148c}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Slv = 439
@end deffn
@geindex Ieee_Numeric_Std_Match_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Suv}@anchor{f8f}
-@deffn {Attribute} Ieee_Numeric_Std_Match_Suv = 434
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Match_Suv}@anchor{148d}
+@deffn {Attribute} Ieee_Numeric_Std_Match_Suv = 440
@end deffn
@geindex Ieee_Numeric_Std_To_01_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_To_01_Uns}@anchor{f90}
-@deffn {Attribute} Ieee_Numeric_Std_To_01_Uns = 435
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_To_01_Uns}@anchor{148e}
+@deffn {Attribute} Ieee_Numeric_Std_To_01_Uns = 441
@end deffn
@geindex Ieee_Numeric_Std_To_01_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_To_01_Sgn}@anchor{f91}
-@deffn {Attribute} Ieee_Numeric_Std_To_01_Sgn = 436
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_To_01_Sgn}@anchor{148f}
+@deffn {Attribute} Ieee_Numeric_Std_To_01_Sgn = 442
@end deffn
@geindex Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat}@anchor{f92}
-@deffn {Attribute} Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat = 437
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat}@anchor{1490}
+@deffn {Attribute} Ieee_Numeric_Std_Unsigned_To_Integer_Slv_Nat = 443
@end deffn
@geindex Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv}@anchor{f93}
-@deffn {Attribute} Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv = 438
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv}@anchor{1491}
+@deffn {Attribute} Ieee_Numeric_Std_Unsigned_To_Slv_Nat_Nat_Slv = 444
@end deffn
@geindex Ieee_Math_Real_Ceil (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Ceil}@anchor{f94}
-@deffn {Attribute} Ieee_Math_Real_Ceil = 439
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Ceil}@anchor{1492}
+@deffn {Attribute} Ieee_Math_Real_Ceil = 445
@end deffn
@geindex Ieee_Math_Real_Floor (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Floor}@anchor{f95}
-@deffn {Attribute} Ieee_Math_Real_Floor = 440
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Floor}@anchor{1493}
+@deffn {Attribute} Ieee_Math_Real_Floor = 446
@end deffn
@geindex Ieee_Math_Real_Round (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Round}@anchor{f96}
-@deffn {Attribute} Ieee_Math_Real_Round = 441
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Round}@anchor{1494}
+@deffn {Attribute} Ieee_Math_Real_Round = 447
@end deffn
@geindex Ieee_Math_Real_Log2 (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Log2}@anchor{f97}
-@deffn {Attribute} Ieee_Math_Real_Log2 = 442
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Log2}@anchor{1495}
+@deffn {Attribute} Ieee_Math_Real_Log2 = 448
@end deffn
@geindex Ieee_Math_Real_Sin (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Sin}@anchor{f98}
-@deffn {Attribute} Ieee_Math_Real_Sin = 443
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Sin}@anchor{1496}
+@deffn {Attribute} Ieee_Math_Real_Sin = 449
@end deffn
@geindex Ieee_Math_Real_Cos (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Cos}@anchor{f99}
-@deffn {Attribute} Ieee_Math_Real_Cos = 444
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Cos}@anchor{1497}
+@deffn {Attribute} Ieee_Math_Real_Cos = 450
@end deffn
@geindex Ieee_Math_Real_Arctan (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Arctan}@anchor{f9a}
-@deffn {Attribute} Ieee_Math_Real_Arctan = 445
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Arctan}@anchor{1498}
+@deffn {Attribute} Ieee_Math_Real_Arctan = 451
@end deffn
@geindex Ieee_Math_Real_Pow (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Pow}@anchor{f9b}
-@deffn {Attribute} Ieee_Math_Real_Pow = 446
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Math_Real_Pow}@anchor{1499}
+@deffn {Attribute} Ieee_Math_Real_Pow = 452
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Add_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Slv}@anchor{f9c}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Slv = 447
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Slv}@anchor{149a}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Slv = 453
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Add_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Int}@anchor{f9d}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Int = 448
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Int}@anchor{149b}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Int = 454
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Add_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Int_Slv}@anchor{f9e}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Int_Slv = 449
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Int_Slv}@anchor{149c}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Int_Slv = 455
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Add_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Log}@anchor{f9f}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Log = 450
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Slv_Log}@anchor{149d}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Slv_Log = 456
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Add_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Log_Slv}@anchor{fa0}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Log_Slv = 451
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Add_Log_Slv}@anchor{149e}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Add_Log_Slv = 457
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Slv}@anchor{fa1}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Slv = 452
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Slv}@anchor{149f}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Slv = 458
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Int}@anchor{fa2}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Int = 453
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Int}@anchor{14a0}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Int = 459
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Sub_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Int_Slv}@anchor{fa3}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Int_Slv = 454
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Int_Slv}@anchor{14a1}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Int_Slv = 460
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Sub_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Log}@anchor{fa4}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Log = 455
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Slv_Log}@anchor{14a2}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Slv_Log = 461
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Sub_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Log_Slv}@anchor{fa5}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Log_Slv = 456
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Sub_Log_Slv}@anchor{14a3}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Sub_Log_Slv = 462
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Id_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Id_Slv}@anchor{fa6}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Id_Slv = 457
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Id_Slv}@anchor{14a4}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Id_Slv = 463
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Mul_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Mul_Slv_Slv}@anchor{fa7}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Mul_Slv_Slv = 458
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Mul_Slv_Slv}@anchor{14a5}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Mul_Slv_Slv = 464
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Lt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Slv_Slv}@anchor{fa8}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Slv_Slv = 459
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Slv_Slv}@anchor{14a6}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Slv_Slv = 465
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Lt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Slv_Int}@anchor{fa9}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Slv_Int = 460
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Slv_Int}@anchor{14a7}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Slv_Int = 466
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Lt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Int_Slv}@anchor{faa}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Int_Slv = 461
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Lt_Int_Slv}@anchor{14a8}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Lt_Int_Slv = 467
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Le_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Slv_Slv}@anchor{fab}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Slv_Slv = 462
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Slv_Slv}@anchor{14a9}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Slv_Slv = 468
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Le_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Slv_Int}@anchor{fac}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Slv_Int = 463
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Slv_Int}@anchor{14aa}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Slv_Int = 469
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Le_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Int_Slv}@anchor{fad}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Int_Slv = 464
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Le_Int_Slv}@anchor{14ab}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Le_Int_Slv = 470
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Gt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Slv_Slv}@anchor{fae}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Slv_Slv = 465
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Slv_Slv}@anchor{14ac}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Slv_Slv = 471
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Gt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Slv_Int}@anchor{faf}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Slv_Int = 466
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Slv_Int}@anchor{14ad}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Slv_Int = 472
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Gt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Int_Slv}@anchor{fb0}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Int_Slv = 467
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Gt_Int_Slv}@anchor{14ae}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Gt_Int_Slv = 473
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Ge_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Slv_Slv}@anchor{fb1}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Slv_Slv = 468
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Slv_Slv}@anchor{14af}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Slv_Slv = 474
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Ge_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Slv_Int}@anchor{fb2}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Slv_Int = 469
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Slv_Int}@anchor{14b0}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Slv_Int = 475
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Ge_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Int_Slv}@anchor{fb3}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Int_Slv = 470
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ge_Int_Slv}@anchor{14b1}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ge_Int_Slv = 476
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Eq_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Slv_Slv}@anchor{fb4}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Slv_Slv = 471
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Slv_Slv}@anchor{14b2}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Slv_Slv = 477
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Eq_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Slv_Int}@anchor{fb5}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Slv_Int = 472
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Slv_Int}@anchor{14b3}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Slv_Int = 478
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Eq_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Int_Slv}@anchor{fb6}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Int_Slv = 473
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Eq_Int_Slv}@anchor{14b4}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Eq_Int_Slv = 479
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Ne_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Slv_Slv}@anchor{fb7}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Slv_Slv = 474
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Slv_Slv}@anchor{14b5}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Slv_Slv = 480
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Ne_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Slv_Int}@anchor{fb8}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Slv_Int = 475
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Slv_Int}@anchor{14b6}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Slv_Int = 481
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Ne_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Int_Slv}@anchor{fb9}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Int_Slv = 476
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Ne_Int_Slv}@anchor{14b7}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Ne_Int_Slv = 482
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Conv_Integer (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Conv_Integer}@anchor{fba}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Conv_Integer = 477
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Conv_Integer}@anchor{14b8}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Conv_Integer = 483
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Shl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Shl}@anchor{fbb}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Shl = 478
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Shl}@anchor{14b9}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Shl = 484
@end deffn
@geindex Ieee_Std_Logic_Unsigned_Shr (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Shr}@anchor{fbc}
-@deffn {Attribute} Ieee_Std_Logic_Unsigned_Shr = 479
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Unsigned_Shr}@anchor{14ba}
+@deffn {Attribute} Ieee_Std_Logic_Unsigned_Shr = 485
@end deffn
@geindex Ieee_Std_Logic_Signed_Add_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Slv}@anchor{fbd}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Slv = 480
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Slv}@anchor{14bb}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Slv = 486
@end deffn
@geindex Ieee_Std_Logic_Signed_Add_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Int}@anchor{fbe}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Int = 481
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Int}@anchor{14bc}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Int = 487
@end deffn
@geindex Ieee_Std_Logic_Signed_Add_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Int_Slv}@anchor{fbf}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Int_Slv = 482
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Int_Slv}@anchor{14bd}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Int_Slv = 488
@end deffn
@geindex Ieee_Std_Logic_Signed_Add_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Log}@anchor{fc0}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Log = 483
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Slv_Log}@anchor{14be}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Slv_Log = 489
@end deffn
@geindex Ieee_Std_Logic_Signed_Add_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Log_Slv}@anchor{fc1}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Log_Slv = 484
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Add_Log_Slv}@anchor{14bf}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Add_Log_Slv = 490
@end deffn
@geindex Ieee_Std_Logic_Signed_Sub_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Slv}@anchor{fc2}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Slv = 485
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Slv}@anchor{14c0}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Slv = 491
@end deffn
@geindex Ieee_Std_Logic_Signed_Sub_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Int}@anchor{fc3}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Int = 486
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Int}@anchor{14c1}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Int = 492
@end deffn
@geindex Ieee_Std_Logic_Signed_Sub_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Int_Slv}@anchor{fc4}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Int_Slv = 487
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Int_Slv}@anchor{14c2}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Int_Slv = 493
@end deffn
@geindex Ieee_Std_Logic_Signed_Sub_Slv_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Log}@anchor{fc5}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Log = 488
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Slv_Log}@anchor{14c3}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Slv_Log = 494
@end deffn
@geindex Ieee_Std_Logic_Signed_Sub_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Log_Slv}@anchor{fc6}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Log_Slv = 489
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Sub_Log_Slv}@anchor{14c4}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Sub_Log_Slv = 495
@end deffn
@geindex Ieee_Std_Logic_Signed_Id_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Id_Slv}@anchor{fc7}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Id_Slv = 490
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Id_Slv}@anchor{14c5}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Id_Slv = 496
@end deffn
@geindex Ieee_Std_Logic_Signed_Neg_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Neg_Slv}@anchor{fc8}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Neg_Slv = 491
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Neg_Slv}@anchor{14c6}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Neg_Slv = 497
@end deffn
@geindex Ieee_Std_Logic_Signed_Abs_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Abs_Slv}@anchor{fc9}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Abs_Slv = 492
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Abs_Slv}@anchor{14c7}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Abs_Slv = 498
@end deffn
@geindex Ieee_Std_Logic_Signed_Mul_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Mul_Slv_Slv}@anchor{fca}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Mul_Slv_Slv = 493
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Mul_Slv_Slv}@anchor{14c8}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Mul_Slv_Slv = 499
@end deffn
@geindex Ieee_Std_Logic_Signed_Lt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Slv_Slv}@anchor{fcb}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Slv_Slv = 494
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Slv_Slv}@anchor{14c9}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Slv_Slv = 500
@end deffn
@geindex Ieee_Std_Logic_Signed_Lt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Slv_Int}@anchor{fcc}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Slv_Int = 495
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Slv_Int}@anchor{14ca}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Slv_Int = 501
@end deffn
@geindex Ieee_Std_Logic_Signed_Lt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Int_Slv}@anchor{fcd}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Int_Slv = 496
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Lt_Int_Slv}@anchor{14cb}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Lt_Int_Slv = 502
@end deffn
@geindex Ieee_Std_Logic_Signed_Le_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Slv_Slv}@anchor{fce}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Slv_Slv = 497
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Slv_Slv}@anchor{14cc}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Slv_Slv = 503
@end deffn
@geindex Ieee_Std_Logic_Signed_Le_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Slv_Int}@anchor{fcf}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Slv_Int = 498
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Slv_Int}@anchor{14cd}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Slv_Int = 504
@end deffn
@geindex Ieee_Std_Logic_Signed_Le_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Int_Slv}@anchor{fd0}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Int_Slv = 499
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Le_Int_Slv}@anchor{14ce}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Le_Int_Slv = 505
@end deffn
@geindex Ieee_Std_Logic_Signed_Gt_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Slv_Slv}@anchor{fd1}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Slv_Slv = 500
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Slv_Slv}@anchor{14cf}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Slv_Slv = 506
@end deffn
@geindex Ieee_Std_Logic_Signed_Gt_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Slv_Int}@anchor{fd2}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Slv_Int = 501
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Slv_Int}@anchor{14d0}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Slv_Int = 507
@end deffn
@geindex Ieee_Std_Logic_Signed_Gt_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Int_Slv}@anchor{fd3}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Int_Slv = 502
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Gt_Int_Slv}@anchor{14d1}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Gt_Int_Slv = 508
@end deffn
@geindex Ieee_Std_Logic_Signed_Ge_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Slv_Slv}@anchor{fd4}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Slv_Slv = 503
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Slv_Slv}@anchor{14d2}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Slv_Slv = 509
@end deffn
@geindex Ieee_Std_Logic_Signed_Ge_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Slv_Int}@anchor{fd5}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Slv_Int = 504
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Slv_Int}@anchor{14d3}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Slv_Int = 510
@end deffn
@geindex Ieee_Std_Logic_Signed_Ge_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Int_Slv}@anchor{fd6}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Int_Slv = 505
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ge_Int_Slv}@anchor{14d4}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ge_Int_Slv = 511
@end deffn
@geindex Ieee_Std_Logic_Signed_Eq_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Slv_Slv}@anchor{fd7}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Slv_Slv = 506
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Slv_Slv}@anchor{14d5}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Slv_Slv = 512
@end deffn
@geindex Ieee_Std_Logic_Signed_Eq_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Slv_Int}@anchor{fd8}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Slv_Int = 507
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Slv_Int}@anchor{14d6}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Slv_Int = 513
@end deffn
@geindex Ieee_Std_Logic_Signed_Eq_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Int_Slv}@anchor{fd9}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Int_Slv = 508
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Eq_Int_Slv}@anchor{14d7}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Eq_Int_Slv = 514
@end deffn
@geindex Ieee_Std_Logic_Signed_Ne_Slv_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Slv_Slv}@anchor{fda}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Slv_Slv = 509
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Slv_Slv}@anchor{14d8}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Slv_Slv = 515
@end deffn
@geindex Ieee_Std_Logic_Signed_Ne_Slv_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Slv_Int}@anchor{fdb}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Slv_Int = 510
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Slv_Int}@anchor{14d9}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Slv_Int = 516
@end deffn
@geindex Ieee_Std_Logic_Signed_Ne_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Int_Slv}@anchor{fdc}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Int_Slv = 511
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Ne_Int_Slv}@anchor{14da}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Ne_Int_Slv = 517
@end deffn
@geindex Ieee_Std_Logic_Signed_Conv_Integer (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Conv_Integer}@anchor{fdd}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Conv_Integer = 512
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Conv_Integer}@anchor{14db}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Conv_Integer = 518
@end deffn
@geindex Ieee_Std_Logic_Signed_Shl (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Shl}@anchor{fde}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Shl = 513
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Shl}@anchor{14dc}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Shl = 519
@end deffn
@geindex Ieee_Std_Logic_Signed_Shr (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Shr}@anchor{fdf}
-@deffn {Attribute} Ieee_Std_Logic_Signed_Shr = 514
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Signed_Shr}@anchor{14dd}
+@deffn {Attribute} Ieee_Std_Logic_Signed_Shr = 520
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Int}@anchor{fe0}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Int = 515
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Int}@anchor{14de}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Int = 521
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Uns}@anchor{fe1}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Uns = 516
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Uns}@anchor{14df}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Uns = 522
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn}@anchor{fe2}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn = 517
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn}@anchor{14e0}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Sgn = 523
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Unsigned_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Log}@anchor{fe3}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Log = 518
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Unsigned_Log}@anchor{14e1}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Unsigned_Log = 524
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Integer_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Int}@anchor{fe4}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Int = 519
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Int}@anchor{14e2}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Int = 525
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Integer_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Uns}@anchor{fe5}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Uns = 520
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Uns}@anchor{14e3}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Uns = 526
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Integer_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Sgn}@anchor{fe6}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Sgn = 521
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Sgn}@anchor{14e4}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Sgn = 527
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Integer_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Log}@anchor{fe7}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Log = 522
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Integer_Log}@anchor{14e5}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Integer_Log = 528
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Vector_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Int}@anchor{fe8}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Int = 523
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Int}@anchor{14e6}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Int = 529
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Vector_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Uns}@anchor{fe9}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Uns = 524
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Uns}@anchor{14e7}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Uns = 530
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Vector_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Sgn}@anchor{fea}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Sgn = 525
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Sgn}@anchor{14e8}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Sgn = 531
@end deffn
@geindex Ieee_Std_Logic_Arith_Conv_Vector_Log (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Log}@anchor{feb}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Log = 526
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Conv_Vector_Log}@anchor{14e9}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Conv_Vector_Log = 532
@end deffn
@geindex Ieee_Std_Logic_Arith_Ext (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ext}@anchor{fec}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ext = 527
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ext}@anchor{14ea}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ext = 533
@end deffn
@geindex Ieee_Std_Logic_Arith_Sxt (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sxt}@anchor{fed}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sxt = 528
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sxt}@anchor{14eb}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sxt = 534
@end deffn
@geindex Ieee_Std_Logic_Arith_Id_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Uns_Uns}@anchor{fee}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Uns_Uns = 529
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Uns_Uns}@anchor{14ec}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Uns_Uns = 535
@end deffn
@geindex Ieee_Std_Logic_Arith_Id_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Sgn_Sgn}@anchor{fef}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Sgn_Sgn = 530
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Sgn_Sgn}@anchor{14ed}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Sgn_Sgn = 536
@end deffn
@geindex Ieee_Std_Logic_Arith_Neg_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Neg_Sgn_Sgn}@anchor{ff0}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Neg_Sgn_Sgn = 531
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Neg_Sgn_Sgn}@anchor{14ee}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Neg_Sgn_Sgn = 537
@end deffn
@geindex Ieee_Std_Logic_Arith_Abs_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Abs_Sgn_Sgn}@anchor{ff1}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Abs_Sgn_Sgn = 532
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Abs_Sgn_Sgn}@anchor{14ef}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Abs_Sgn_Sgn = 538
@end deffn
@geindex Ieee_Std_Logic_Arith_Shl_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shl_Uns}@anchor{ff2}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Shl_Uns = 533
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shl_Uns}@anchor{14f0}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Shl_Uns = 539
@end deffn
@geindex Ieee_Std_Logic_Arith_Shl_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shl_Sgn}@anchor{ff3}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Shl_Sgn = 534
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shl_Sgn}@anchor{14f1}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Shl_Sgn = 540
@end deffn
@geindex Ieee_Std_Logic_Arith_Shr_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shr_Uns}@anchor{ff4}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Shr_Uns = 535
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shr_Uns}@anchor{14f2}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Shr_Uns = 541
@end deffn
@geindex Ieee_Std_Logic_Arith_Shr_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shr_Sgn}@anchor{ff5}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Shr_Sgn = 536
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Shr_Sgn}@anchor{14f3}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Shr_Sgn = 542
@end deffn
@geindex Ieee_Std_Logic_Arith_Id_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Uns_Slv}@anchor{ff6}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Uns_Slv = 537
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Uns_Slv}@anchor{14f4}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Uns_Slv = 543
@end deffn
@geindex Ieee_Std_Logic_Arith_Id_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Sgn_Slv}@anchor{ff7}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Sgn_Slv = 538
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Id_Sgn_Slv}@anchor{14f5}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Id_Sgn_Slv = 544
@end deffn
@geindex Ieee_Std_Logic_Arith_Neg_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Neg_Sgn_Slv}@anchor{ff8}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Neg_Sgn_Slv = 539
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Neg_Sgn_Slv}@anchor{14f6}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Neg_Sgn_Slv = 545
@end deffn
@geindex Ieee_Std_Logic_Arith_Abs_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Abs_Sgn_Slv}@anchor{ff9}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Abs_Sgn_Slv = 540
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Abs_Sgn_Slv}@anchor{14f7}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Abs_Sgn_Slv = 546
@end deffn
@geindex Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns}@anchor{ffa}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns = 541
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns}@anchor{14f8}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Uns_Uns = 547
@end deffn
@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn}@anchor{ffb}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn = 542
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn}@anchor{14f9}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Sgn = 548
@end deffn
@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn}@anchor{ffc}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn = 543
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn}@anchor{14fa}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Sgn = 549
@end deffn
@geindex Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn}@anchor{ffd}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn = 544
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn}@anchor{14fb}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Sgn = 550
@end deffn
@geindex Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv}@anchor{ffe}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv = 545
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv}@anchor{14fc}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Uns_Slv = 551
@end deffn
@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv}@anchor{fff}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv = 546
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv}@anchor{14fd}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Sgn_Slv = 552
@end deffn
@geindex Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv}@anchor{1000}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv = 547
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv}@anchor{14fe}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Sgn_Uns_Slv = 553
@end deffn
@geindex Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv}@anchor{1001}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv = 548
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv}@anchor{14ff}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Mul_Uns_Sgn_Slv = 554
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns}@anchor{1002}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns = 549
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns}@anchor{1500}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Uns_Uns = 555
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn}@anchor{1003}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn = 550
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn}@anchor{1501}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Sgn = 556
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn}@anchor{1004}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn = 551
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn}@anchor{1502}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Sgn_Sgn = 557
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn}@anchor{1005}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn = 552
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn}@anchor{1503}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Uns_Sgn = 558
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Uns_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Int_Uns}@anchor{1006}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Int_Uns = 553
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Int_Uns}@anchor{1504}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Int_Uns = 559
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Int_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Uns_Uns}@anchor{1007}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Uns_Uns = 554
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Uns_Uns}@anchor{1505}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Uns_Uns = 560
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn}@anchor{1008}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn = 555
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn}@anchor{1506}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Int_Sgn = 561
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn}@anchor{1009}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn = 556
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn}@anchor{1507}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Sgn_Sgn = 562
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Uns_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Log_Uns}@anchor{100a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Log_Uns = 557
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Log_Uns}@anchor{1508}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Log_Uns = 563
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Log_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Uns_Uns}@anchor{100b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Uns_Uns = 558
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Uns_Uns}@anchor{1509}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Uns_Uns = 564
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn}@anchor{100c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn = 559
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn}@anchor{150a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Log_Sgn = 565
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn}@anchor{100d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn = 560
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn}@anchor{150b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Sgn_Sgn = 566
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv}@anchor{100e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv = 561
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv}@anchor{150c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Uns_Slv = 567
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv}@anchor{100f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv = 562
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv}@anchor{150d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Sgn_Slv = 568
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv}@anchor{1010}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv = 563
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv}@anchor{150e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Sgn_Slv = 569
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv}@anchor{1011}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv = 564
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv}@anchor{150f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Uns_Slv = 570
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Uns_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Int_Slv}@anchor{1012}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Int_Slv = 565
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Int_Slv}@anchor{1510}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Int_Slv = 571
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Int_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Uns_Slv}@anchor{1013}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Uns_Slv = 566
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Uns_Slv}@anchor{1511}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Uns_Slv = 572
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv}@anchor{1014}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv = 567
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv}@anchor{1512}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Int_Slv = 573
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv}@anchor{1015}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv = 568
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv}@anchor{1513}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Int_Sgn_Slv = 574
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Uns_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Log_Slv}@anchor{1016}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Log_Slv = 569
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Uns_Log_Slv}@anchor{1514}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Uns_Log_Slv = 575
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Log_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Uns_Slv}@anchor{1017}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Uns_Slv = 570
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Uns_Slv}@anchor{1515}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Uns_Slv = 576
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv}@anchor{1018}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv = 571
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv}@anchor{1516}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Sgn_Log_Slv = 577
@end deffn
@geindex Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv}@anchor{1019}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv = 572
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv}@anchor{1517}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Add_Log_Sgn_Slv = 578
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns}@anchor{101a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns = 573
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns}@anchor{1518}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Uns_Uns = 579
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn}@anchor{101b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn = 574
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn}@anchor{1519}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Sgn = 580
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn}@anchor{101c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn = 575
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn}@anchor{151a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Sgn = 581
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn}@anchor{101d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn = 576
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn}@anchor{151b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Sgn = 582
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns}@anchor{101e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns = 577
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns}@anchor{151c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Int_Uns = 583
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns}@anchor{101f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns = 578
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns}@anchor{151d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Uns_Uns = 584
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn}@anchor{1020}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn = 579
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn}@anchor{151e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Int_Sgn = 585
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn}@anchor{1021}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn = 580
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn}@anchor{151f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Sgn_Sgn = 586
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns}@anchor{1022}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns = 581
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns}@anchor{1520}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Log_Uns = 587
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns}@anchor{1023}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns = 582
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns}@anchor{1521}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Uns_Uns = 588
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn}@anchor{1024}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn = 583
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn}@anchor{1522}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Log_Sgn = 589
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn}@anchor{1025}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn = 584
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn}@anchor{1523}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Sgn_Sgn = 590
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv}@anchor{1026}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv = 585
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv}@anchor{1524}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Uns_Slv = 591
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv}@anchor{1027}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv = 586
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv}@anchor{1525}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Sgn_Slv = 592
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv}@anchor{1028}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv = 587
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv}@anchor{1526}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Sgn_Slv = 593
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv}@anchor{1029}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv = 588
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv}@anchor{1527}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Uns_Slv = 594
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv}@anchor{102a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv = 589
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv}@anchor{1528}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Int_Slv = 595
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv}@anchor{102b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv = 590
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv}@anchor{1529}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Uns_Slv = 596
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv}@anchor{102c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv = 591
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv}@anchor{152a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Int_Slv = 597
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv}@anchor{102d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv = 592
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv}@anchor{152b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Int_Sgn_Slv = 598
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv}@anchor{102e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv = 593
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv}@anchor{152c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Uns_Log_Slv = 599
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv}@anchor{102f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv = 594
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv}@anchor{152d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Uns_Slv = 600
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv}@anchor{1030}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv = 595
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv}@anchor{152e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Sgn_Log_Slv = 601
@end deffn
@geindex Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv}@anchor{1031}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv = 596
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv}@anchor{152f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Sub_Log_Sgn_Slv = 602
@end deffn
@geindex Ieee_Std_Logic_Arith_Lt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Uns}@anchor{1032}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Uns = 597
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Uns}@anchor{1530}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Uns = 603
@end deffn
@geindex Ieee_Std_Logic_Arith_Lt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Sgn}@anchor{1033}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Sgn = 598
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Sgn}@anchor{1531}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Sgn = 604
@end deffn
@geindex Ieee_Std_Logic_Arith_Lt_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Sgn}@anchor{1034}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Sgn = 599
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Sgn}@anchor{1532}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Sgn = 605
@end deffn
@geindex Ieee_Std_Logic_Arith_Lt_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Uns}@anchor{1035}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Uns = 600
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Uns}@anchor{1533}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Uns = 606
@end deffn
@geindex Ieee_Std_Logic_Arith_Lt_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Int}@anchor{1036}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Int = 601
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Uns_Int}@anchor{1534}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Uns_Int = 607
@end deffn
@geindex Ieee_Std_Logic_Arith_Lt_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Int_Uns}@anchor{1037}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Int_Uns = 602
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Int_Uns}@anchor{1535}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Int_Uns = 608
@end deffn
@geindex Ieee_Std_Logic_Arith_Lt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Int}@anchor{1038}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Int = 603
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Sgn_Int}@anchor{1536}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Sgn_Int = 609
@end deffn
@geindex Ieee_Std_Logic_Arith_Lt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Int_Sgn}@anchor{1039}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Int_Sgn = 604
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Lt_Int_Sgn}@anchor{1537}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Lt_Int_Sgn = 610
@end deffn
@geindex Ieee_Std_Logic_Arith_Le_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Uns}@anchor{103a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Uns = 605
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Uns}@anchor{1538}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Uns = 611
@end deffn
@geindex Ieee_Std_Logic_Arith_Le_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Sgn}@anchor{103b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Sgn = 606
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Sgn}@anchor{1539}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Sgn = 612
@end deffn
@geindex Ieee_Std_Logic_Arith_Le_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Sgn}@anchor{103c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Sgn = 607
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Sgn}@anchor{153a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Sgn = 613
@end deffn
@geindex Ieee_Std_Logic_Arith_Le_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Uns}@anchor{103d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Uns = 608
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Uns}@anchor{153b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Uns = 614
@end deffn
@geindex Ieee_Std_Logic_Arith_Le_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Int}@anchor{103e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Int = 609
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Uns_Int}@anchor{153c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Uns_Int = 615
@end deffn
@geindex Ieee_Std_Logic_Arith_Le_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Int_Uns}@anchor{103f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Int_Uns = 610
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Int_Uns}@anchor{153d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Int_Uns = 616
@end deffn
@geindex Ieee_Std_Logic_Arith_Le_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Int}@anchor{1040}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Int = 611
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Sgn_Int}@anchor{153e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Sgn_Int = 617
@end deffn
@geindex Ieee_Std_Logic_Arith_Le_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Int_Sgn}@anchor{1041}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Int_Sgn = 612
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Le_Int_Sgn}@anchor{153f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Le_Int_Sgn = 618
@end deffn
@geindex Ieee_Std_Logic_Arith_Gt_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Uns}@anchor{1042}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Uns = 613
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Uns}@anchor{1540}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Uns = 619
@end deffn
@geindex Ieee_Std_Logic_Arith_Gt_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Sgn}@anchor{1043}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Sgn = 614
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Sgn}@anchor{1541}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Sgn = 620
@end deffn
@geindex Ieee_Std_Logic_Arith_Gt_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Sgn}@anchor{1044}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Sgn = 615
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Sgn}@anchor{1542}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Sgn = 621
@end deffn
@geindex Ieee_Std_Logic_Arith_Gt_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Uns}@anchor{1045}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Uns = 616
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Uns}@anchor{1543}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Uns = 622
@end deffn
@geindex Ieee_Std_Logic_Arith_Gt_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Int}@anchor{1046}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Int = 617
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Uns_Int}@anchor{1544}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Uns_Int = 623
@end deffn
@geindex Ieee_Std_Logic_Arith_Gt_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Int_Uns}@anchor{1047}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Int_Uns = 618
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Int_Uns}@anchor{1545}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Int_Uns = 624
@end deffn
@geindex Ieee_Std_Logic_Arith_Gt_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Int}@anchor{1048}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Int = 619
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Sgn_Int}@anchor{1546}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Sgn_Int = 625
@end deffn
@geindex Ieee_Std_Logic_Arith_Gt_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Int_Sgn}@anchor{1049}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Int_Sgn = 620
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Gt_Int_Sgn}@anchor{1547}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Gt_Int_Sgn = 626
@end deffn
@geindex Ieee_Std_Logic_Arith_Ge_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Uns}@anchor{104a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Uns = 621
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Uns}@anchor{1548}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Uns = 627
@end deffn
@geindex Ieee_Std_Logic_Arith_Ge_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Sgn}@anchor{104b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Sgn = 622
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Sgn}@anchor{1549}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Sgn = 628
@end deffn
@geindex Ieee_Std_Logic_Arith_Ge_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Sgn}@anchor{104c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Sgn = 623
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Sgn}@anchor{154a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Sgn = 629
@end deffn
@geindex Ieee_Std_Logic_Arith_Ge_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Uns}@anchor{104d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Uns = 624
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Uns}@anchor{154b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Uns = 630
@end deffn
@geindex Ieee_Std_Logic_Arith_Ge_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Int}@anchor{104e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Int = 625
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Uns_Int}@anchor{154c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Uns_Int = 631
@end deffn
@geindex Ieee_Std_Logic_Arith_Ge_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Int_Uns}@anchor{104f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Int_Uns = 626
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Int_Uns}@anchor{154d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Int_Uns = 632
@end deffn
@geindex Ieee_Std_Logic_Arith_Ge_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Int}@anchor{1050}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Int = 627
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Sgn_Int}@anchor{154e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Sgn_Int = 633
@end deffn
@geindex Ieee_Std_Logic_Arith_Ge_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Int_Sgn}@anchor{1051}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Int_Sgn = 628
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ge_Int_Sgn}@anchor{154f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ge_Int_Sgn = 634
@end deffn
@geindex Ieee_Std_Logic_Arith_Eq_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Uns}@anchor{1052}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Uns = 629
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Uns}@anchor{1550}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Uns = 635
@end deffn
@geindex Ieee_Std_Logic_Arith_Eq_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Sgn}@anchor{1053}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Sgn = 630
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Sgn}@anchor{1551}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Sgn = 636
@end deffn
@geindex Ieee_Std_Logic_Arith_Eq_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Sgn}@anchor{1054}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Sgn = 631
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Sgn}@anchor{1552}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Sgn = 637
@end deffn
@geindex Ieee_Std_Logic_Arith_Eq_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Uns}@anchor{1055}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Uns = 632
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Uns}@anchor{1553}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Uns = 638
@end deffn
@geindex Ieee_Std_Logic_Arith_Eq_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Int}@anchor{1056}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Int = 633
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Uns_Int}@anchor{1554}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Uns_Int = 639
@end deffn
@geindex Ieee_Std_Logic_Arith_Eq_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Int_Uns}@anchor{1057}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Int_Uns = 634
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Int_Uns}@anchor{1555}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Int_Uns = 640
@end deffn
@geindex Ieee_Std_Logic_Arith_Eq_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Int}@anchor{1058}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Int = 635
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Sgn_Int}@anchor{1556}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Sgn_Int = 641
@end deffn
@geindex Ieee_Std_Logic_Arith_Eq_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Int_Sgn}@anchor{1059}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Int_Sgn = 636
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Eq_Int_Sgn}@anchor{1557}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Eq_Int_Sgn = 642
@end deffn
@geindex Ieee_Std_Logic_Arith_Ne_Uns_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Uns}@anchor{105a}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Uns = 637
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Uns}@anchor{1558}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Uns = 643
@end deffn
@geindex Ieee_Std_Logic_Arith_Ne_Sgn_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Sgn}@anchor{105b}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Sgn = 638
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Sgn}@anchor{1559}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Sgn = 644
@end deffn
@geindex Ieee_Std_Logic_Arith_Ne_Uns_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Sgn}@anchor{105c}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Sgn = 639
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Sgn}@anchor{155a}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Sgn = 645
@end deffn
@geindex Ieee_Std_Logic_Arith_Ne_Sgn_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Uns}@anchor{105d}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Uns = 640
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Uns}@anchor{155b}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Uns = 646
@end deffn
@geindex Ieee_Std_Logic_Arith_Ne_Uns_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Int}@anchor{105e}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Int = 641
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Uns_Int}@anchor{155c}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Uns_Int = 647
@end deffn
@geindex Ieee_Std_Logic_Arith_Ne_Int_Uns (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Int_Uns}@anchor{105f}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Int_Uns = 642
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Int_Uns}@anchor{155d}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Int_Uns = 648
@end deffn
@geindex Ieee_Std_Logic_Arith_Ne_Sgn_Int (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Int}@anchor{1060}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Int = 643
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Sgn_Int}@anchor{155e}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Sgn_Int = 649
@end deffn
@geindex Ieee_Std_Logic_Arith_Ne_Int_Sgn (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Int_Sgn}@anchor{1061}
-@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Int_Sgn = 644
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Arith_Ne_Int_Sgn}@anchor{155f}
+@deffn {Attribute} Ieee_Std_Logic_Arith_Ne_Int_Sgn = 650
@end deffn
@geindex Ieee_Std_Logic_Misc_And_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_And_Reduce_Slv}@anchor{1062}
-@deffn {Attribute} Ieee_Std_Logic_Misc_And_Reduce_Slv = 645
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_And_Reduce_Slv}@anchor{1560}
+@deffn {Attribute} Ieee_Std_Logic_Misc_And_Reduce_Slv = 651
@end deffn
@geindex Ieee_Std_Logic_Misc_And_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_And_Reduce_Suv}@anchor{1063}
-@deffn {Attribute} Ieee_Std_Logic_Misc_And_Reduce_Suv = 646
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_And_Reduce_Suv}@anchor{1561}
+@deffn {Attribute} Ieee_Std_Logic_Misc_And_Reduce_Suv = 652
@end deffn
@geindex Ieee_Std_Logic_Misc_Nand_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nand_Reduce_Slv}@anchor{1064}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Nand_Reduce_Slv = 647
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nand_Reduce_Slv}@anchor{1562}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Nand_Reduce_Slv = 653
@end deffn
@geindex Ieee_Std_Logic_Misc_Nand_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nand_Reduce_Suv}@anchor{1065}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Nand_Reduce_Suv = 648
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nand_Reduce_Suv}@anchor{1563}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Nand_Reduce_Suv = 654
@end deffn
@geindex Ieee_Std_Logic_Misc_Or_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Or_Reduce_Slv}@anchor{1066}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Or_Reduce_Slv = 649
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Or_Reduce_Slv}@anchor{1564}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Or_Reduce_Slv = 655
@end deffn
@geindex Ieee_Std_Logic_Misc_Or_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Or_Reduce_Suv}@anchor{1067}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Or_Reduce_Suv = 650
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Or_Reduce_Suv}@anchor{1565}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Or_Reduce_Suv = 656
@end deffn
@geindex Ieee_Std_Logic_Misc_Nor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nor_Reduce_Slv}@anchor{1068}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Nor_Reduce_Slv = 651
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nor_Reduce_Slv}@anchor{1566}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Nor_Reduce_Slv = 657
@end deffn
@geindex Ieee_Std_Logic_Misc_Nor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nor_Reduce_Suv}@anchor{1069}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Nor_Reduce_Suv = 652
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Nor_Reduce_Suv}@anchor{1567}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Nor_Reduce_Suv = 658
@end deffn
@geindex Ieee_Std_Logic_Misc_Xor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xor_Reduce_Slv}@anchor{106a}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Xor_Reduce_Slv = 653
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xor_Reduce_Slv}@anchor{1568}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Xor_Reduce_Slv = 659
@end deffn
@geindex Ieee_Std_Logic_Misc_Xor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xor_Reduce_Suv}@anchor{106b}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Xor_Reduce_Suv = 654
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xor_Reduce_Suv}@anchor{1569}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Xor_Reduce_Suv = 660
@end deffn
@geindex Ieee_Std_Logic_Misc_Xnor_Reduce_Slv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xnor_Reduce_Slv}@anchor{106c}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Xnor_Reduce_Slv = 655
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xnor_Reduce_Slv}@anchor{156a}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Xnor_Reduce_Slv = 661
@end deffn
@geindex Ieee_Std_Logic_Misc_Xnor_Reduce_Suv (pyGHDL.libghdl.vhdl.nodes.Iir_Predefined attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xnor_Reduce_Suv}@anchor{106d}
-@deffn {Attribute} Ieee_Std_Logic_Misc_Xnor_Reduce_Suv = 656
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Iir_Predefined Ieee_Std_Logic_Misc_Xnor_Reduce_Suv}@anchor{156b}
+@deffn {Attribute} Ieee_Std_Logic_Misc_Xnor_Reduce_Suv = 662
@end deffn
@end deffn
@@ -30475,2661 +40344,2395 @@ An enumeration.
@strong{Functions}
@geindex Get_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Kind}@anchor{956}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Kind}@anchor{e45}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Kind (node)
-
Get node kind.
@*Return type:
-~IirKind
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Get_Location() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Location}@anchor{957}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Location}@anchor{e46}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Location (node)
-
@*Return type:
-~LocationType
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{LocationType}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@end deffn
@geindex Get_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_First_Design_Unit}@anchor{958}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_First_Design_Unit}@anchor{e47}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_First_Design_Unit (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_First_Design_Unit}@anchor{959}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_First_Design_Unit}@anchor{e48}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_First_Design_Unit (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Last_Design_Unit}@anchor{95a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Last_Design_Unit}@anchor{e49}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Last_Design_Unit (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Last_Design_Unit}@anchor{95b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Last_Design_Unit}@anchor{e4a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Last_Design_Unit (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Declaration}@anchor{95c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Declaration}@anchor{e4b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Declaration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Declaration}@anchor{95d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Declaration}@anchor{e4c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Declaration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Checksum}@anchor{95e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Checksum}@anchor{e4d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Checksum (obj)
-
@*Return type:
-~FileChecksumId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{FileChecksumId}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@end deffn
@geindex Set_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Checksum}@anchor{95f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Checksum}@anchor{e4e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Checksum (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Time_Stamp}@anchor{960}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Time_Stamp}@anchor{e4f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Analysis_Time_Stamp (obj)
-
@*Return type:
-~TimeStampId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{TimeStampId}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@end deffn
@geindex Set_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Time_Stamp}@anchor{961}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Time_Stamp}@anchor{e50}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Analysis_Time_Stamp (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Source}@anchor{962}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Source}@anchor{e51}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Source (obj)
-
@*Return type:
-~SourceFileEntry
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@end deffn
@geindex Set_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Source}@anchor{963}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Source}@anchor{e52}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Source (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Library() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library}@anchor{964}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library}@anchor{e53}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Library() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library}@anchor{965}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library}@anchor{e54}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Dependence_List}@anchor{966}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Dependence_List}@anchor{e55}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Dependence_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Dependence_List}@anchor{967}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Dependence_List}@anchor{e56}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Dependence_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Filename}@anchor{968}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Filename}@anchor{e57}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Filename (obj)
-
@*Return type:
-~NameId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Filename}@anchor{969}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Filename}@anchor{e58}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Filename (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Directory}@anchor{96a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Directory}@anchor{e59}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Directory (obj)
-
@*Return type:
-~NameId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Directory}@anchor{96b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Directory}@anchor{e5a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Directory (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Design_File() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File}@anchor{96c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File}@anchor{e5b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Design_File() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File}@anchor{96d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File}@anchor{e5c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Chain}@anchor{96e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_File_Chain}@anchor{e5d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_File_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Chain}@anchor{96f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_File_Chain}@anchor{e5e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_File_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Directory}@anchor{970}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Directory}@anchor{e5f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Directory (obj)
-
@*Return type:
-~NameId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Directory}@anchor{971}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Directory}@anchor{e60}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Directory (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Date() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date}@anchor{972}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date}@anchor{e61}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Date (obj)
-
@*Return type:
-~DateType
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{DateType}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Date() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date}@anchor{973}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date}@anchor{e62}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Date (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Items}@anchor{974}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Items}@anchor{e63}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Context_Items (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Items}@anchor{975}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Items}@anchor{e64}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Context_Items (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Dependence_List}@anchor{976}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Dependence_List}@anchor{e65}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Dependence_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Dependence_List}@anchor{977}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Dependence_List}@anchor{e66}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Dependence_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Checks_List}@anchor{978}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Analysis_Checks_List}@anchor{e67}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Analysis_Checks_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Checks_List}@anchor{979}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Analysis_Checks_List}@anchor{e68}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Analysis_Checks_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Date_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date_State}@anchor{97a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Date_State}@anchor{e69}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Date_State (obj)
-
@*Return type:
-@ref{953,,DateStateType}
+@ref{e42,,DateStateType}
@end deffn
@geindex Set_Date_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date_State}@anchor{97b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Date_State}@anchor{e6a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Date_State (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Target_State}@anchor{97c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Target_State}@anchor{e6b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guarded_Target_State (obj)
-
@*Return type:
-@ref{53f,,TriStateType}
+@ref{a29,,TriStateType}
@end deffn
@geindex Set_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Target_State}@anchor{97d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Target_State}@anchor{e6c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guarded_Target_State (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Unit}@anchor{97e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Library_Unit}@anchor{e6d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Library_Unit (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Unit}@anchor{97f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Library_Unit}@anchor{e6e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Library_Unit (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hash_Chain}@anchor{980}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hash_Chain}@anchor{e6f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hash_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hash_Chain}@anchor{981}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hash_Chain}@anchor{e70}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hash_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Pos}@anchor{982}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Pos}@anchor{e71}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Pos (obj)
-
@*Return type:
-~SourcePtr
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourcePtr}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Pos}@anchor{983}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Pos}@anchor{e72}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Pos (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Line}@anchor{984}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Line}@anchor{e73}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Line (obj)
-
@*Return type:
-~Int32
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Line}@anchor{985}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Line}@anchor{e74}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Line (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Col}@anchor{986}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit_Source_Col}@anchor{e75}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit_Source_Col (obj)
-
@*Return type:
-~Int32
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Col}@anchor{987}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit_Source_Col}@anchor{e76}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit_Source_Col (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value}@anchor{988}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value}@anchor{e77}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Value (obj)
-
@*Return type:
-~Int64
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int64}, bound= c_long@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_long})
@end deffn
@geindex Set_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value}@anchor{989}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value}@anchor{e78}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Value (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enum_Pos}@anchor{98a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enum_Pos}@anchor{e79}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Enum_Pos (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enum_Pos}@anchor{98b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enum_Pos}@anchor{e7a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Enum_Pos (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Physical_Literal}@anchor{98c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Physical_Literal}@anchor{e7b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Physical_Literal (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Physical_Literal}@anchor{98d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Physical_Literal}@anchor{e7c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Physical_Literal (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Fp_Value}@anchor{98e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Fp_Value}@anchor{e7d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Fp_Value (obj)
-
@*Return type:
-~Fp64
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Fp64}, bound= c_double@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_double})
@end deffn
@geindex Set_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Fp_Value}@anchor{98f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Fp_Value}@anchor{e7e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Fp_Value (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Aggregate_List}@anchor{990}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Aggregate_List}@anchor{e7f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Aggregate_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Aggregate_List}@anchor{991}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Aggregate_List}@anchor{e80}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Aggregate_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String8_Id}@anchor{992}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String8_Id}@anchor{e81}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_String8_Id (obj)
-
@*Return type:
-~String8Id
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{String8Id}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@end deffn
@geindex Set_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String8_Id}@anchor{993}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String8_Id}@anchor{e82}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_String8_Id (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_String_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String_Length}@anchor{994}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_String_Length}@anchor{e83}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_String_Length (obj)
-
@*Return type:
-~Int32
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_String_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String_Length}@anchor{995}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_String_Length}@anchor{e84}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_String_Length (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bit_String_Base}@anchor{996}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bit_String_Base}@anchor{e85}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Bit_String_Base (obj)
-
@*Return type:
-@ref{954,,NumberBaseType}
+@ref{e43,,NumberBaseType}
@end deffn
@geindex Set_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bit_String_Base}@anchor{997}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bit_String_Base}@anchor{e86}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Bit_String_Base (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signed}@anchor{998}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signed}@anchor{e87}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Signed (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signed}@anchor{999}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signed}@anchor{e88}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Signed (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Sign}@anchor{99a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Sign}@anchor{e89}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Sign (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Sign}@anchor{99b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Sign}@anchor{e8a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Sign (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Length}@anchor{99c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Length}@anchor{e8b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Length (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Length}@anchor{99d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Length}@anchor{e8c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Length (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Length}@anchor{99e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Length}@anchor{e8d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Length (obj)
-
@*Return type:
-~Int32
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Length}@anchor{99f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Length}@anchor{e8e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Length (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Origin}@anchor{9a0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Origin}@anchor{e8f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Origin (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Origin}@anchor{9a1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Origin}@anchor{e90}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Origin (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Origin}@anchor{9a2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Origin}@anchor{e91}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Range_Origin (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Origin}@anchor{9a3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Origin}@anchor{e92}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Range_Origin (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Subtype}@anchor{9a4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Literal_Subtype}@anchor{e93}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Literal_Subtype (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Subtype}@anchor{9a5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Literal_Subtype}@anchor{e94}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Literal_Subtype (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Subtype}@anchor{9a6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Subtype}@anchor{e95}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Allocator_Subtype (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Subtype}@anchor{9a7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Subtype}@anchor{e96}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Allocator_Subtype (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class}@anchor{9a8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class}@anchor{e97}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Class (obj)
-
@*Return type:
-@ref{106e,,Tok}
+@ref{156c,,Tok}
@end deffn
@geindex Set_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class}@anchor{9a9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class}@anchor{e98}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Class (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name_List}@anchor{9aa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name_List}@anchor{e99}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Name_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name_List}@anchor{9ab}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name_List}@anchor{e9a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Name_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Designator}@anchor{9ac}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Designator}@anchor{e9b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Designator (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Designator}@anchor{9ad}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Designator}@anchor{e9c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Designator (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification_Chain}@anchor{9ae}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification_Chain}@anchor{e9d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Specification_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification_Chain}@anchor{9af}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification_Chain}@anchor{e9e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Specification_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification}@anchor{9b0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Specification}@anchor{e9f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Specification (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification}@anchor{9b1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Specification}@anchor{ea0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Specification (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Static_Attribute_Flag}@anchor{9b2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Static_Attribute_Flag}@anchor{ea1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Static_Attribute_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Static_Attribute_Flag}@anchor{9b3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Static_Attribute_Flag}@anchor{ea2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Static_Attribute_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_List}@anchor{9b4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_List}@anchor{ea3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_List}@anchor{9b5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_List}@anchor{ea4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Quantity_List}@anchor{9b6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Quantity_List}@anchor{ea5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Quantity_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Quantity_List}@anchor{9b7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Quantity_List}@anchor{ea6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Quantity_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Entity}@anchor{9b8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Entity}@anchor{ea7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Entity (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Entity}@anchor{9b9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Entity}@anchor{ea8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Entity (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Formal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal}@anchor{9ba}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal}@anchor{ea9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Formal (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Formal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal}@anchor{9bb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal}@anchor{eaa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Formal (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual}@anchor{9bc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual}@anchor{eab}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Actual() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual}@anchor{9bd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual}@anchor{eac}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Conversion}@anchor{9be}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Conversion}@anchor{ead}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Conversion (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Conversion}@anchor{9bf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Conversion}@anchor{eae}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Conversion (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal_Conversion}@anchor{9c0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Formal_Conversion}@anchor{eaf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Formal_Conversion (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal_Conversion}@anchor{9c1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Formal_Conversion}@anchor{eb0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Formal_Conversion (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Whole_Association_Flag}@anchor{9c2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Whole_Association_Flag}@anchor{eb1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Whole_Association_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Whole_Association_Flag}@anchor{9c3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Whole_Association_Flag}@anchor{eb2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Whole_Association_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Collapse_Signal_Flag}@anchor{9c4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Collapse_Signal_Flag}@anchor{eb3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Collapse_Signal_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Collapse_Signal_Flag}@anchor{9c5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Collapse_Signal_Flag}@anchor{eb4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Collapse_Signal_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Artificial_Flag}@anchor{9c6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Artificial_Flag}@anchor{eb5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Artificial_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Artificial_Flag}@anchor{9c7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Artificial_Flag}@anchor{eb6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Artificial_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Open_Flag}@anchor{9c8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Open_Flag}@anchor{eb7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Open_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Open_Flag}@anchor{9c9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Open_Flag}@anchor{eb8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Open_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_After_Drivers_Flag}@anchor{9ca}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_After_Drivers_Flag}@anchor{eb9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_After_Drivers_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_After_Drivers_Flag}@anchor{9cb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_After_Drivers_Flag}@anchor{eba}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_After_Drivers_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_We_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_We_Value}@anchor{9cc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_We_Value}@anchor{ebb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_We_Value (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_We_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_We_Value}@anchor{9cd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_We_Value}@anchor{ebc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_We_Value (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Time() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Time}@anchor{9ce}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Time}@anchor{ebd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Time (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Time() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Time}@anchor{9cf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Time}@anchor{ebe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Time (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Expr}@anchor{9d0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Expr}@anchor{ebf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Expr (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Expr}@anchor{9d1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Expr}@anchor{ec0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Expr (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Block}@anchor{9d2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Block}@anchor{ec1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Block (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Block}@anchor{9d3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Block}@anchor{ec2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Block (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Chain}@anchor{9d4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Chain}@anchor{ec3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Chain}@anchor{9d5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Chain}@anchor{ec4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Name}@anchor{9d6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Name}@anchor{ec5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Name}@anchor{9d7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Name}@anchor{ec6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Expression}@anchor{9d8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Expression}@anchor{ec7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Expression}@anchor{9d9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Expression}@anchor{ec8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Range}@anchor{9da}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Range}@anchor{ec9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Range (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Range}@anchor{9db}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Range}@anchor{eca}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Range (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Same_Alternative_Flag}@anchor{9dc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Same_Alternative_Flag}@anchor{ecb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Same_Alternative_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Same_Alternative_Flag}@anchor{9dd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Same_Alternative_Flag}@anchor{ecc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Same_Alternative_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Type_Flag}@anchor{9de}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Type_Flag}@anchor{ecd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Type_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Type_Flag}@anchor{9df}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Type_Flag}@anchor{ece}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Type_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Architecture() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Architecture}@anchor{9e0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Architecture}@anchor{ecf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Architecture (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Architecture() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Architecture}@anchor{9e1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Architecture}@anchor{ed0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Architecture (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Specification}@anchor{9e2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Specification}@anchor{ed1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Specification (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Specification}@anchor{9e3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Specification}@anchor{ed2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Specification (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prev_Block_Configuration}@anchor{9e4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prev_Block_Configuration}@anchor{ed3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Prev_Block_Configuration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prev_Block_Configuration}@anchor{9e5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prev_Block_Configuration}@anchor{ed4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Prev_Block_Configuration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Item_Chain}@anchor{9e6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Item_Chain}@anchor{ed5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Item_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Item_Chain}@anchor{9e7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Item_Chain}@anchor{ed6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Item_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Chain}@anchor{9e8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Chain}@anchor{ed7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Value_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Chain}@anchor{9e9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Chain}@anchor{ed8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Value_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Spec_Chain}@anchor{9ea}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Spec_Chain}@anchor{ed9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Spec_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Spec_Chain}@anchor{9eb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Spec_Chain}@anchor{eda}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Spec_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value_Chain}@anchor{9ec}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Value_Chain}@anchor{edb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Value_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value_Chain}@anchor{9ed}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Value_Chain}@anchor{edc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Value_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Spec_Chain}@anchor{9ee}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Value_Spec_Chain}@anchor{edd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Value_Spec_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Spec_Chain}@anchor{9ef}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Value_Spec_Chain}@anchor{ede}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Value_Spec_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name}@anchor{9f0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Name}@anchor{edf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name}@anchor{9f1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Name}@anchor{ee0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Package() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package}@anchor{9f2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package}@anchor{ee1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Package() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package}@anchor{9f3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package}@anchor{ee2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Body}@anchor{9f4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Body}@anchor{ee3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Body (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Body}@anchor{9f5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Body}@anchor{ee4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Body (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Package_Body}@anchor{9f6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Package_Body}@anchor{ee5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instance_Package_Body (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Package_Body}@anchor{9f7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Package_Body}@anchor{ee6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instance_Package_Body (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Body}@anchor{9f8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Body}@anchor{ee7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Need_Body (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Body}@anchor{9f9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Body}@anchor{ee8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Need_Body (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Macro_Expanded_Flag}@anchor{9fa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Macro_Expanded_Flag}@anchor{ee9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Macro_Expanded_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Macro_Expanded_Flag}@anchor{9fb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Macro_Expanded_Flag}@anchor{eea}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Macro_Expanded_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Instance_Bodies}@anchor{9fc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Need_Instance_Bodies}@anchor{eeb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Need_Instance_Bodies (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Instance_Bodies}@anchor{9fd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Need_Instance_Bodies}@anchor{eec}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Need_Instance_Bodies (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hierarchical_Name}@anchor{9fe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hierarchical_Name}@anchor{eed}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hierarchical_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hierarchical_Name}@anchor{9ff}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hierarchical_Name}@anchor{eee}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hierarchical_Name (obj, value)
-
-@*Return type:
-None@footnote{https://docs.python.org/3.6/library/constants.html#None}
-
-@end deffn
-
-@geindex Get_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Inherit_Spec_Chain}@anchor{a00}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Inherit_Spec_Chain (obj)
-
-
-@*Return type:
-~Iir
-
-@end deffn
-
-@geindex Set_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Inherit_Spec_Chain}@anchor{a01}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Inherit_Spec_Chain (obj, value)
-
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vunit_Item_Chain}@anchor{a02}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vunit_Item_Chain}@anchor{eef}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Vunit_Item_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vunit_Item_Chain}@anchor{a03}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vunit_Item_Chain}@anchor{ef0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Vunit_Item_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bound_Vunit_Chain}@anchor{a04}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Bound_Vunit_Chain}@anchor{ef1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Bound_Vunit_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bound_Vunit_Chain}@anchor{a05}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Bound_Vunit_Chain}@anchor{ef2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Bound_Vunit_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Verification_Block_Configuration}@anchor{a06}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Verification_Block_Configuration}@anchor{ef3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Verification_Block_Configuration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Verification_Block_Configuration}@anchor{a07}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Verification_Block_Configuration}@anchor{ef4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Verification_Block_Configuration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Configuration}@anchor{a08}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Configuration}@anchor{ef5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Configuration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Configuration}@anchor{a09}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Configuration}@anchor{ef6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Configuration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Concurrent_Statement_Chain}@anchor{a0a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Concurrent_Statement_Chain}@anchor{ef7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Concurrent_Statement_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Concurrent_Statement_Chain}@anchor{a0b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Concurrent_Statement_Chain}@anchor{ef8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Concurrent_Statement_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Chain}@anchor{a0c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Chain}@anchor{ef9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Chain}@anchor{a0d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Chain}@anchor{efa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Chain}@anchor{a0e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Chain}@anchor{efb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Port_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Chain}@anchor{a0f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Chain}@anchor{efc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Port_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Chain}@anchor{a10}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Chain}@anchor{efd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generic_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Chain}@anchor{a11}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Chain}@anchor{efe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generic_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type}@anchor{a12}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type}@anchor{eff}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type}@anchor{a13}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type}@anchor{f00}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Indication}@anchor{a14}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Indication}@anchor{f01}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Indication (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Indication}@anchor{a15}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Indication}@anchor{f02}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Indication (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Discrete_Range}@anchor{a16}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Discrete_Range}@anchor{f03}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Discrete_Range (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Discrete_Range}@anchor{a17}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Discrete_Range}@anchor{f04}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Discrete_Range (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Definition}@anchor{a18}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Definition}@anchor{f05}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Definition (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Definition}@anchor{a19}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Definition}@anchor{f06}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Definition (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Definition}@anchor{a1a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Definition}@anchor{f07}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Definition (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Definition}@anchor{a1b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Definition}@anchor{f08}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Definition (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Declaration}@anchor{a1c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Declaration}@anchor{f09}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Incomplete_Type_Declaration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Declaration}@anchor{a1d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Declaration}@anchor{f0a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Incomplete_Type_Declaration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Type_Subprograms}@anchor{a1e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Type_Subprograms}@anchor{f0b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Type_Subprograms (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Type_Subprograms}@anchor{a1f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Type_Subprograms}@anchor{f0c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Type_Subprograms (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Definition}@anchor{a20}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Definition}@anchor{f0d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Definition (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Definition}@anchor{a21}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Definition}@anchor{f0e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Definition (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature}@anchor{a22}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature}@anchor{f0f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature}@anchor{a23}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature}@anchor{f10}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Indication}@anchor{a24}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Indication}@anchor{f11}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subnature_Indication (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Indication}@anchor{a25}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Indication}@anchor{f12}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subnature_Indication (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Mode}@anchor{a26}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Mode}@anchor{f13}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Mode (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Mode}@anchor{a27}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Mode}@anchor{f14}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Mode (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Signal_Flag}@anchor{a28}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guarded_Signal_Flag}@anchor{f15}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guarded_Signal_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Signal_Flag}@anchor{a29}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guarded_Signal_Flag}@anchor{f16}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guarded_Signal_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Kind}@anchor{a2a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Kind}@anchor{f17}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Kind (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Kind}@anchor{a2b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Kind}@anchor{f18}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Kind (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Name}@anchor{a2c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Name}@anchor{f19}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Base_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Name}@anchor{a2d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Name}@anchor{f1a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Base_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Declaration_Chain}@anchor{a2e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Interface_Declaration_Chain}@anchor{f1b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Interface_Declaration_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Declaration_Chain}@anchor{a2f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Interface_Declaration_Chain}@anchor{f1c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Interface_Declaration_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Specification}@anchor{a30}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Specification}@anchor{f1d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Specification (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Specification}@anchor{a31}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Specification}@anchor{f1e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Specification (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sequential_Statement_Chain}@anchor{a32}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sequential_Statement_Chain}@anchor{f1f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sequential_Statement_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sequential_Statement_Chain}@anchor{a33}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sequential_Statement_Chain}@anchor{f20}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sequential_Statement_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Statement_Chain}@anchor{a34}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Statement_Chain}@anchor{f21}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Statement_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Statement_Chain}@anchor{a35}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Statement_Chain}@anchor{f22}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Statement_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Body}@anchor{a36}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Body}@anchor{f23}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Body (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Body}@anchor{a37}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Body}@anchor{f24}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Body (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_Number}@anchor{a38}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_Number}@anchor{f25}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Overload_Number (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_Number}@anchor{a39}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_Number}@anchor{f26}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Overload_Number (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Depth}@anchor{a3a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Depth}@anchor{f27}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Depth (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Depth}@anchor{a3b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Depth}@anchor{f28}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Depth (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Hash}@anchor{a3c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Hash}@anchor{f29}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Hash (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Hash}@anchor{a3d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Hash}@anchor{f2a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Hash (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Impure_Depth}@anchor{a3e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Impure_Depth}@anchor{f2b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Impure_Depth (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Impure_Depth}@anchor{a3f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Impure_Depth}@anchor{f2c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Impure_Depth (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type}@anchor{a40}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type}@anchor{f2d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Return_Type (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type}@anchor{a41}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type}@anchor{f2e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Return_Type (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Definition}@anchor{a42}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Definition}@anchor{f2f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implicit_Definition (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Definition}@anchor{a43}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Definition}@anchor{f30}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implicit_Definition (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Subprogram_Name}@anchor{a44}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Subprogram_Name}@anchor{f31}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Subprogram_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Subprogram_Name}@anchor{a45}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Subprogram_Name}@anchor{f32}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Subprogram_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Value}@anchor{a46}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Value}@anchor{f33}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Value (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Value}@anchor{a47}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Value}@anchor{f34}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Value (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration}@anchor{a48}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration}@anchor{f35}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Deferred_Declaration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration}@anchor{a49}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration}@anchor{f36}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Deferred_Declaration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration_Flag}@anchor{a4a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Deferred_Declaration_Flag}@anchor{f37}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Deferred_Declaration_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration_Flag}@anchor{a4b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Deferred_Declaration_Flag}@anchor{f38}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Deferred_Declaration_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Shared_Flag}@anchor{a4c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Shared_Flag}@anchor{f39}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Shared_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Shared_Flag}@anchor{a4d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Shared_Flag}@anchor{f3a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Shared_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit}@anchor{a4e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Design_Unit}@anchor{f3b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Design_Unit (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit}@anchor{a4f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Design_Unit}@anchor{f3c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Design_Unit (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Statement}@anchor{a50}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Statement}@anchor{f3d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Statement (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Statement}@anchor{a51}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Statement}@anchor{f3e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Statement (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Driver}@anchor{a52}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Driver}@anchor{f3f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Driver (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Driver}@anchor{a53}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Driver}@anchor{f40}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Driver (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Declaration_Chain}@anchor{a54}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Declaration_Chain}@anchor{f41}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Declaration_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Declaration_Chain}@anchor{a55}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Declaration_Chain}@anchor{f42}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Declaration_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Logical_Name}@anchor{a56}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Logical_Name}@anchor{f43}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Logical_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Logical_Name}@anchor{a57}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Logical_Name}@anchor{f44}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Logical_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Open_Kind}@anchor{a58}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Open_Kind}@anchor{f45}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Open_Kind (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Open_Kind}@anchor{a59}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Open_Kind}@anchor{f46}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Open_Kind (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Position}@anchor{a5a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Position}@anchor{f47}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Position (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Position}@anchor{a5b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Position}@anchor{f48}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Position (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Clause_Chain}@anchor{a5c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Clause_Chain}@anchor{f49}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Use_Clause_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Clause_Chain}@anchor{a5d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Clause_Chain}@anchor{f4a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Use_Clause_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Reference_Chain}@anchor{a5e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Context_Reference_Chain}@anchor{f4b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Context_Reference_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Reference_Chain}@anchor{a5f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Context_Reference_Chain}@anchor{f4c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Context_Reference_Chain (obj, value)
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Inherit_Spec_Chain}@anchor{f4d}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Inherit_Spec_Chain (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+
+@end deffn
+
+@geindex Set_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Inherit_Spec_Chain}@anchor{f4e}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Inherit_Spec_Chain (obj, value)
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@@ -33137,3639 +42740,3293 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Name}@anchor{a60}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Name}@anchor{f4f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selected_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Name}@anchor{a61}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Name}@anchor{f50}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selected_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Declarator}@anchor{a62}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Declarator}@anchor{f51}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Declarator (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Declarator}@anchor{a63}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Declarator}@anchor{f52}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Declarator (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Complete_Type_Definition}@anchor{a64}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Complete_Type_Definition}@anchor{f53}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Complete_Type_Definition (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Complete_Type_Definition}@anchor{a65}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Complete_Type_Definition}@anchor{f54}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Complete_Type_Definition (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Ref_Chain}@anchor{a66}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Incomplete_Type_Ref_Chain}@anchor{f55}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Incomplete_Type_Ref_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Ref_Chain}@anchor{a67}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Incomplete_Type_Ref_Chain}@anchor{f56}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Incomplete_Type_Ref_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Type}@anchor{a68}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Associated_Type}@anchor{f57}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Associated_Type (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Type}@anchor{a69}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Associated_Type}@anchor{f58}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Associated_Type (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enumeration_Literal_List}@anchor{a6a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Enumeration_Literal_List}@anchor{f59}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Enumeration_Literal_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enumeration_Literal_List}@anchor{a6b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Enumeration_Literal_List}@anchor{f5a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Enumeration_Literal_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class_Entry_Chain}@anchor{a6c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Class_Entry_Chain}@anchor{f5b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Class_Entry_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class_Entry_Chain}@anchor{a6d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Class_Entry_Chain}@anchor{f5c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Class_Entry_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Constituent_List}@anchor{a6e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Constituent_List}@anchor{f5d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Group_Constituent_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Constituent_List}@anchor{a6f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Constituent_List}@anchor{f5e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Group_Constituent_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Chain}@anchor{a70}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Chain}@anchor{f5f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Unit_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Chain}@anchor{a71}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Chain}@anchor{f60}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Unit_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Primary_Unit}@anchor{a72}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Primary_Unit}@anchor{f61}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Primary_Unit (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Primary_Unit}@anchor{a73}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Primary_Unit}@anchor{f62}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Primary_Unit (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Identifier}@anchor{a74}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Identifier}@anchor{f63}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Identifier (obj)
-
@*Return type:
-~NameId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Identifier}@anchor{a75}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Identifier}@anchor{f64}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Identifier (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Label}@anchor{a76}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Label}@anchor{f65}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Label (obj)
-
@*Return type:
-~NameId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Label}@anchor{a77}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Label}@anchor{f66}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Label (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Visible_Flag}@anchor{a78}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Visible_Flag}@anchor{f67}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Visible_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Visible_Flag}@anchor{a79}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Visible_Flag}@anchor{f68}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Visible_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Constraint}@anchor{a7a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Range_Constraint}@anchor{f69}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Range_Constraint (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Constraint}@anchor{a7b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Range_Constraint}@anchor{f6a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Range_Constraint (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Direction() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Direction}@anchor{a7c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Direction}@anchor{f6b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Direction (obj)
-
@*Return type:
-@ref{540,,DirectionType}
+@ref{a2a,,DirectionType}
@end deffn
@geindex Set_Direction() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Direction}@anchor{a7d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Direction}@anchor{f6c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Direction (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit}@anchor{a7e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit}@anchor{f6d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left_Limit (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit}@anchor{a7f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit}@anchor{f6e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left_Limit (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit}@anchor{a80}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit}@anchor{f6f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right_Limit (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit}@anchor{a81}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit}@anchor{f70}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right_Limit (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit_Expr}@anchor{a82}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left_Limit_Expr}@anchor{f71}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left_Limit_Expr (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit_Expr}@anchor{a83}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left_Limit_Expr}@anchor{f72}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left_Limit_Expr (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit_Expr}@anchor{a84}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right_Limit_Expr}@anchor{f73}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right_Limit_Expr (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit_Expr}@anchor{a85}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right_Limit_Expr}@anchor{f74}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right_Limit_Expr (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent_Type}@anchor{a86}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent_Type}@anchor{f75}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parent_Type (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent_Type}@anchor{a87}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent_Type}@anchor{f76}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parent_Type (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Nature}@anchor{a88}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Nature}@anchor{f77}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Nature (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Nature}@anchor{a89}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Nature}@anchor{f78}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Nature (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Nature}@anchor{a8a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Base_Nature}@anchor{f79}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Base_Nature (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Nature}@anchor{a8b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Base_Nature}@anchor{f7a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Base_Nature (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Indication}@anchor{a8c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Indication}@anchor{f7b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolution_Indication (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Indication}@anchor{a8d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Indication}@anchor{f7c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolution_Indication (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Record_Element_Resolution_Chain}@anchor{a8e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Record_Element_Resolution_Chain}@anchor{f7d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Record_Element_Resolution_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Record_Element_Resolution_Chain}@anchor{a8f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Record_Element_Resolution_Chain}@anchor{f7e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Record_Element_Resolution_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Tolerance}@anchor{a90}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Tolerance}@anchor{f7f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Tolerance (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Tolerance}@anchor{a91}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Tolerance}@anchor{f80}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Tolerance (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal_Name}@anchor{a92}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal_Name}@anchor{f81}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Plus_Terminal_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal_Name}@anchor{a93}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal_Name}@anchor{f82}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Plus_Terminal_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal_Name}@anchor{a94}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal_Name}@anchor{f83}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Minus_Terminal_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal_Name}@anchor{a95}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal_Name}@anchor{f84}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Minus_Terminal_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal}@anchor{a96}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Plus_Terminal}@anchor{f85}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Plus_Terminal (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal}@anchor{a97}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Plus_Terminal}@anchor{f86}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Plus_Terminal (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal}@anchor{a98}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Minus_Terminal}@anchor{f87}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Minus_Terminal (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal}@anchor{a99}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Minus_Terminal}@anchor{f88}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Minus_Terminal (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Magnitude_Expression}@anchor{a9a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Magnitude_Expression}@anchor{f89}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Magnitude_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Magnitude_Expression}@anchor{a9b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Magnitude_Expression}@anchor{f8a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Magnitude_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Phase_Expression}@anchor{a9c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Phase_Expression}@anchor{f8b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Phase_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Phase_Expression}@anchor{a9d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Phase_Expression}@anchor{f8c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Phase_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Power_Expression}@anchor{a9e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Power_Expression}@anchor{f8d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Power_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Power_Expression}@anchor{a9f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Power_Expression}@anchor{f8e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Power_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Left}@anchor{aa0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Left}@anchor{f8f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Left (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Left}@anchor{aa1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Left}@anchor{f90}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Left (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Right}@anchor{aa2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simultaneous_Right}@anchor{f91}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simultaneous_Right (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Right}@anchor{aa3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simultaneous_Right}@anchor{f92}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simultaneous_Right (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Text_File_Flag}@anchor{aa4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Text_File_Flag}@anchor{f93}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Text_File_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Text_File_Flag}@anchor{aa5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Text_File_Flag}@anchor{f94}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Text_File_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Only_Characters_Flag}@anchor{aa6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Only_Characters_Flag}@anchor{f95}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Only_Characters_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Only_Characters_Flag}@anchor{aa7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Only_Characters_Flag}@anchor{f96}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Only_Characters_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Character_Type}@anchor{aa8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Character_Type}@anchor{f97}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Character_Type (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Character_Type}@anchor{aa9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Character_Type}@anchor{f98}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Character_Type (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Staticness}@anchor{aaa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Staticness}@anchor{f99}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Staticness (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Staticness}@anchor{aab}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Staticness}@anchor{f9a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Staticness (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Staticness}@anchor{aac}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Staticness}@anchor{f9b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Staticness (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Staticness}@anchor{aad}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Staticness}@anchor{f9c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Staticness (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Constraint_State}@anchor{aae}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Constraint_State}@anchor{f9d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Constraint_State (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Constraint_State}@anchor{aaf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Constraint_State}@anchor{f9e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Constraint_State (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_List}@anchor{ab0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_List}@anchor{f9f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_List}@anchor{ab1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_List}@anchor{fa0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_Definition_List}@anchor{ab2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype_Definition_List}@anchor{fa1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype_Definition_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_Definition_List}@anchor{ab3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype_Definition_List}@anchor{fa2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype_Definition_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype_Indication}@anchor{ab4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype_Indication}@anchor{fa3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subtype_Indication (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype_Indication}@anchor{ab5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype_Indication}@anchor{fa4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subtype_Indication (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype}@anchor{ab6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subtype}@anchor{fa5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subtype (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype}@anchor{ab7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subtype}@anchor{fa6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subtype (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature_Indication}@anchor{ab8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature_Indication}@anchor{fa7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subnature_Indication (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature_Indication}@anchor{ab9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature_Indication}@anchor{fa8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subnature_Indication (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature}@anchor{aba}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Element_Subnature}@anchor{fa9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Element_Subnature (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature}@anchor{abb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Element_Subnature}@anchor{faa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Element_Subnature (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_List}@anchor{abc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_List}@anchor{fab}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Constraint_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_List}@anchor{abd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_List}@anchor{fac}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Constraint_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Array_Element_Constraint}@anchor{abe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Array_Element_Constraint}@anchor{fad}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Array_Element_Constraint (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Array_Element_Constraint}@anchor{abf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Array_Element_Constraint}@anchor{fae}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Array_Element_Constraint (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Array_Constraint_Flag}@anchor{ac0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Array_Constraint_Flag}@anchor{faf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Array_Constraint_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Array_Constraint_Flag}@anchor{ac1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Array_Constraint_Flag}@anchor{fb0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Array_Constraint_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Element_Constraint_Flag}@anchor{ac2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Element_Constraint_Flag}@anchor{fb1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Element_Constraint_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Element_Constraint_Flag}@anchor{ac3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Element_Constraint_Flag}@anchor{fb2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Element_Constraint_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elements_Declaration_List}@anchor{ac4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elements_Declaration_List}@anchor{fb3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Elements_Declaration_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elements_Declaration_List}@anchor{ac5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elements_Declaration_List}@anchor{fb4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Elements_Declaration_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Owned_Elements_Chain}@anchor{ac6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Owned_Elements_Chain}@anchor{fb5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Owned_Elements_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Owned_Elements_Chain}@anchor{ac7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Owned_Elements_Chain}@anchor{fb6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Owned_Elements_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Type}@anchor{ac8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Type}@anchor{fb7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Type (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Type}@anchor{ac9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Type}@anchor{fb8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Type (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Subtype_Indication}@anchor{aca}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Designated_Subtype_Indication}@anchor{fb9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Designated_Subtype_Indication (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Subtype_Indication}@anchor{acb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Designated_Subtype_Indication}@anchor{fba}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Designated_Subtype_Indication (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Index_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_List}@anchor{acc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_List}@anchor{fbb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Index_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_List}@anchor{acd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_List}@anchor{fbc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Reference() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reference}@anchor{ace}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reference}@anchor{fbd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Reference (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Reference() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reference}@anchor{acf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reference}@anchor{fbe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Reference (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Declarator}@anchor{ad0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Nature_Declarator}@anchor{fbf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Nature_Declarator (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Declarator}@anchor{ad1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Nature_Declarator}@anchor{fc0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Nature_Declarator (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Mark}@anchor{ad2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Mark}@anchor{fc1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type_Mark (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Mark}@anchor{ad3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Mark}@anchor{fc2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type_Mark (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Mark}@anchor{ad4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Mark}@anchor{fc3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type_Mark (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Mark}@anchor{ad5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Mark}@anchor{fc4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type_Mark (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Definition}@anchor{ad6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type_Definition}@anchor{fc5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type_Definition (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Definition}@anchor{ad7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type_Definition}@anchor{fc6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type_Definition (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Definition}@anchor{ad8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type_Definition}@anchor{fc7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type_Definition (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Definition}@anchor{ad9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type_Definition}@anchor{fc8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type_Definition (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type}@anchor{ada}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Across_Type}@anchor{fc9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Across_Type (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type}@anchor{adb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Across_Type}@anchor{fca}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Across_Type (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type}@anchor{adc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Through_Type}@anchor{fcb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Through_Type (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type}@anchor{add}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Through_Type}@anchor{fcc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Through_Type (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Target() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Target}@anchor{ade}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Target}@anchor{fcd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Target (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Target() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Target}@anchor{adf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Target}@anchor{fce}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Target (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Waveform_Chain}@anchor{ae0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Waveform_Chain}@anchor{fcf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Waveform_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Waveform_Chain}@anchor{ae1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Waveform_Chain}@anchor{fd0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Waveform_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Guard() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard}@anchor{ae2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard}@anchor{fd1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Guard() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard}@anchor{ae3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard}@anchor{fd2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Delay_Mechanism}@anchor{ae4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Delay_Mechanism}@anchor{fd3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Delay_Mechanism (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Delay_Mechanism}@anchor{ae5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Delay_Mechanism}@anchor{fd4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Delay_Mechanism (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reject_Time_Expression}@anchor{ae6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Reject_Time_Expression}@anchor{fd5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Reject_Time_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reject_Time_Expression}@anchor{ae7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Reject_Time_Expression}@anchor{fd6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Reject_Time_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Force_Mode}@anchor{ae8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Force_Mode}@anchor{fd7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Force_Mode (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Force_Mode}@anchor{ae9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Force_Mode}@anchor{fd8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Force_Mode (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Force_Mode}@anchor{aea}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Force_Mode}@anchor{fd9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Force_Mode (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Force_Mode}@anchor{aeb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Force_Mode}@anchor{fda}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Force_Mode (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sensitivity_List}@anchor{aec}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sensitivity_List}@anchor{fdb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sensitivity_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sensitivity_List}@anchor{aed}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sensitivity_List}@anchor{fdc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sensitivity_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Process_Origin}@anchor{aee}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Process_Origin}@anchor{fdd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Process_Origin (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Process_Origin}@anchor{aef}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Process_Origin}@anchor{fde}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Process_Origin (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Origin}@anchor{af0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Origin}@anchor{fdf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Origin (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Origin}@anchor{af1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Origin}@anchor{fe0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Origin (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition_Clause}@anchor{af2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition_Clause}@anchor{fe1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Condition_Clause (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition_Clause}@anchor{af3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition_Clause}@anchor{fe2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Condition_Clause (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Element}@anchor{af4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Element}@anchor{fe3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Break_Element (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Element}@anchor{af5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Element}@anchor{fe4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Break_Element (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selector_Quantity}@anchor{af6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selector_Quantity}@anchor{fe5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selector_Quantity (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selector_Quantity}@anchor{af7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selector_Quantity}@anchor{fe6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selector_Quantity (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Quantity}@anchor{af8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Break_Quantity}@anchor{fe7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Break_Quantity (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Quantity}@anchor{af9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Break_Quantity}@anchor{fe8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Break_Quantity (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Timeout_Clause}@anchor{afa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Timeout_Clause}@anchor{fe9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Timeout_Clause (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Timeout_Clause}@anchor{afb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Timeout_Clause}@anchor{fea}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Timeout_Clause (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Postponed_Flag}@anchor{afc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Postponed_Flag}@anchor{feb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Postponed_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Postponed_Flag}@anchor{afd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Postponed_Flag}@anchor{fec}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Postponed_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Callees_List}@anchor{afe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Callees_List}@anchor{fed}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Callees_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Callees_List}@anchor{aff}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Callees_List}@anchor{fee}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Callees_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Passive_Flag}@anchor{b00}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Passive_Flag}@anchor{fef}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Passive_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Passive_Flag}@anchor{b01}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Passive_Flag}@anchor{ff0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Passive_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Function_Flag}@anchor{b02}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolution_Function_Flag}@anchor{ff1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolution_Function_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Function_Flag}@anchor{b03}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolution_Function_Flag}@anchor{ff2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolution_Function_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Wait_State}@anchor{b04}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Wait_State}@anchor{ff3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Wait_State (obj)
-
@*Return type:
-@ref{53f,,TriStateType}
+@ref{a29,,TriStateType}
@end deffn
@geindex Set_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Wait_State}@anchor{b05}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Wait_State}@anchor{ff4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Wait_State (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_All_Sensitized_State}@anchor{b06}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_All_Sensitized_State}@anchor{ff5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_All_Sensitized_State (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_All_Sensitized_State}@anchor{b07}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_All_Sensitized_State}@anchor{ff6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_All_Sensitized_State (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Seen_Flag}@anchor{b08}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Seen_Flag}@anchor{ff7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Seen_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Seen_Flag}@anchor{b09}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Seen_Flag}@anchor{ff8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Seen_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pure_Flag}@anchor{b0a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pure_Flag}@anchor{ff9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pure_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pure_Flag}@anchor{b0b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pure_Flag}@anchor{ffa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pure_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Flag}@anchor{b0c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Flag}@anchor{ffb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Foreign_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Flag}@anchor{b0d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Flag}@anchor{ffc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Foreign_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolved_Flag}@anchor{b0e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Resolved_Flag}@anchor{ffd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Resolved_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolved_Flag}@anchor{b0f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Resolved_Flag}@anchor{ffe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Resolved_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Type_Flag}@anchor{b10}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Type_Flag}@anchor{fff}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Type_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Type_Flag}@anchor{b11}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Type_Flag}@anchor{1000}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Type_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signal_Flag}@anchor{b12}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Signal_Flag}@anchor{1001}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Signal_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signal_Flag}@anchor{b13}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Signal_Flag}@anchor{1002}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Signal_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Purity_State}@anchor{b14}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Purity_State}@anchor{1003}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Purity_State (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Purity_State}@anchor{b15}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Purity_State}@anchor{1004}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Purity_State (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elab_Flag}@anchor{b16}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Elab_Flag}@anchor{1005}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Elab_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elab_Flag}@anchor{b17}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Elab_Flag}@anchor{1006}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Elab_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vendor_Library_Flag}@anchor{b18}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Vendor_Library_Flag}@anchor{1007}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Vendor_Library_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vendor_Library_Flag}@anchor{b19}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Vendor_Library_Flag}@anchor{1008}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Vendor_Library_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Mark_Flag}@anchor{b1a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Mark_Flag}@anchor{1009}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Mark_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Mark_Flag}@anchor{b1b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Mark_Flag}@anchor{100a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Mark_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Done_Flag}@anchor{b1c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Done_Flag}@anchor{100b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Done_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Done_Flag}@anchor{b1d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Done_Flag}@anchor{100c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Done_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_Flag}@anchor{b1e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Constraint_Flag}@anchor{100d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Constraint_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_Flag}@anchor{b1f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Constraint_Flag}@anchor{100e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Constraint_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hide_Implicit_Flag}@anchor{b20}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Hide_Implicit_Flag}@anchor{100f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Hide_Implicit_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hide_Implicit_Flag}@anchor{b21}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Hide_Implicit_Flag}@anchor{1010}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Hide_Implicit_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Assertion_Condition}@anchor{b22}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Assertion_Condition}@anchor{1011}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Assertion_Condition (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Assertion_Condition}@anchor{b23}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Assertion_Condition}@anchor{1012}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Assertion_Condition (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Report_Expression}@anchor{b24}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Report_Expression}@anchor{1013}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Report_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Report_Expression}@anchor{b25}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Report_Expression}@anchor{1014}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Report_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Severity_Expression}@anchor{b26}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Severity_Expression}@anchor{1015}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Severity_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Severity_Expression}@anchor{b27}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Severity_Expression}@anchor{1016}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Severity_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiated_Unit}@anchor{b28}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiated_Unit}@anchor{1017}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instantiated_Unit (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiated_Unit}@anchor{b29}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiated_Unit}@anchor{1018}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instantiated_Unit (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Map_Aspect_Chain}@anchor{b2a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generic_Map_Aspect_Chain}@anchor{1019}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generic_Map_Aspect_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Map_Aspect_Chain}@anchor{b2b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generic_Map_Aspect_Chain}@anchor{101a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generic_Map_Aspect_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Map_Aspect_Chain}@anchor{b2c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Port_Map_Aspect_Chain}@anchor{101b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Port_Map_Aspect_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Map_Aspect_Chain}@anchor{b2d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Port_Map_Aspect_Chain}@anchor{101c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Port_Map_Aspect_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Name}@anchor{b2e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Name}@anchor{101d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Name}@anchor{b2f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Name}@anchor{101e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Configuration}@anchor{b30}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Configuration}@anchor{101f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Component_Configuration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Configuration}@anchor{b31}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Configuration}@anchor{1020}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Component_Configuration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Specification}@anchor{b32}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Configuration_Specification}@anchor{1021}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Configuration_Specification (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Specification}@anchor{b33}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Configuration_Specification}@anchor{1022}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Configuration_Specification (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Binding_Indication}@anchor{b34}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Binding_Indication}@anchor{1023}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Binding_Indication (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Binding_Indication}@anchor{b35}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Binding_Indication}@anchor{1024}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Binding_Indication (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Configuration_Declaration}@anchor{b36}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Configuration_Declaration}@anchor{1025}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Configuration_Declaration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Configuration_Declaration}@anchor{b37}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Configuration_Declaration}@anchor{1026}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Configuration_Declaration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expression}@anchor{b38}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expression}@anchor{1027}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expression}@anchor{b39}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expression}@anchor{1028}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Expression_Chain}@anchor{b3a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Expression_Chain}@anchor{1029}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Conditional_Expression_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Expression_Chain}@anchor{b3b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Expression_Chain}@anchor{102a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Conditional_Expression_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Designated_Type}@anchor{b3c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Allocator_Designated_Type}@anchor{102b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Allocator_Designated_Type (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Designated_Type}@anchor{b3d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Allocator_Designated_Type}@anchor{102c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Allocator_Designated_Type (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Waveform_Chain}@anchor{b3e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Selected_Waveform_Chain}@anchor{102d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Selected_Waveform_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Waveform_Chain}@anchor{b3f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Selected_Waveform_Chain}@anchor{102e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Selected_Waveform_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Waveform_Chain}@anchor{b40}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Conditional_Waveform_Chain}@anchor{102f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Conditional_Waveform_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Waveform_Chain}@anchor{b41}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Conditional_Waveform_Chain}@anchor{1030}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Conditional_Waveform_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Expression}@anchor{b42}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Expression}@anchor{1031}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Expression}@anchor{b43}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Expression}@anchor{1032}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Decl}@anchor{b44}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Decl}@anchor{1033}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Decl (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Decl}@anchor{b45}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Decl}@anchor{1034}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Decl (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Sensitivity_List}@anchor{b46}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Guard_Sensitivity_List}@anchor{1035}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Guard_Sensitivity_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Sensitivity_List}@anchor{b47}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Guard_Sensitivity_List}@anchor{1036}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Guard_Sensitivity_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Attribute_Chain}@anchor{b48}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Attribute_Chain}@anchor{1037}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Attribute_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Attribute_Chain}@anchor{b49}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Attribute_Chain}@anchor{1038}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Attribute_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Block_Configuration}@anchor{b4a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Block_Configuration}@anchor{1039}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Block_Configuration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Block_Configuration}@anchor{b4b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Block_Configuration}@anchor{103a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Block_Configuration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Header}@anchor{b4c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Package_Header}@anchor{103b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Package_Header (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Header}@anchor{b4d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Package_Header}@anchor{103c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Package_Header (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Header}@anchor{b4e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Block_Header}@anchor{103d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Block_Header (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Header}@anchor{b4f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Block_Header}@anchor{103e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Block_Header (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Name}@anchor{b50}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Name}@anchor{103f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Package_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Name}@anchor{b51}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Name}@anchor{1040}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Package_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Decl}@anchor{b52}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Uninstantiated_Package_Decl}@anchor{1041}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Uninstantiated_Package_Decl (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Decl}@anchor{b53}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Uninstantiated_Package_Decl}@anchor{1042}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Uninstantiated_Package_Decl (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Source_File}@anchor{b54}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instance_Source_File}@anchor{1043}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instance_Source_File (obj)
-
@*Return type:
-~SourceFileEntry
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})
@end deffn
@geindex Set_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Source_File}@anchor{b55}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instance_Source_File}@anchor{1044}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instance_Source_File (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Block_Configuration}@anchor{b56}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Block_Configuration}@anchor{1045}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Block_Configuration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Block_Configuration}@anchor{b57}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Block_Configuration}@anchor{1046}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Block_Configuration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Statement_Body}@anchor{b58}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Statement_Body}@anchor{1047}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Statement_Body (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Statement_Body}@anchor{b59}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Statement_Body}@anchor{1048}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Statement_Body (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alternative_Label}@anchor{b5a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alternative_Label}@anchor{1049}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Alternative_Label (obj)
-
@*Return type:
-~NameId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alternative_Label}@anchor{b5b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alternative_Label}@anchor{104a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Alternative_Label (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Else_Clause}@anchor{b5c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Generate_Else_Clause}@anchor{104b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Generate_Else_Clause (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Else_Clause}@anchor{b5d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Generate_Else_Clause}@anchor{104c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Generate_Else_Clause (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition}@anchor{b5e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Condition}@anchor{104d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Condition (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Condition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition}@anchor{b5f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Condition}@anchor{104e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Condition (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Else_Clause}@anchor{b60}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Else_Clause}@anchor{104f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Else_Clause (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Else_Clause}@anchor{b61}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Else_Clause}@anchor{1050}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Else_Clause (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Specification}@anchor{b62}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Specification}@anchor{1051}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_Specification (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Specification}@anchor{b63}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Specification}@anchor{1052}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_Specification (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Parent() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent}@anchor{b64}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parent}@anchor{1053}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parent (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Parent() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent}@anchor{b65}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parent}@anchor{1054}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parent (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Loop_Label}@anchor{b66}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Loop_Label}@anchor{1055}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Loop_Label (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Loop_Label}@anchor{b67}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Loop_Label}@anchor{1056}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Loop_Label (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Exit_Flag}@anchor{b68}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Exit_Flag}@anchor{1057}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Exit_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Exit_Flag}@anchor{b69}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Exit_Flag}@anchor{1058}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Exit_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Next_Flag}@anchor{b6a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Next_Flag}@anchor{1059}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Next_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Next_Flag}@anchor{b6b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Next_Flag}@anchor{105a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Next_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Name}@anchor{b6c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Component_Name}@anchor{105b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Component_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Name}@anchor{b6d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Component_Name}@anchor{105c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Component_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiation_List}@anchor{b6e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Instantiation_List}@anchor{105d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Instantiation_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiation_List}@anchor{b6f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Instantiation_List}@anchor{105e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Instantiation_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Aspect}@anchor{b70}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Entity_Aspect}@anchor{105f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Entity_Aspect (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Aspect}@anchor{b71}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Entity_Aspect}@anchor{1060}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Entity_Aspect (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Entity_Aspect}@anchor{b72}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Entity_Aspect}@anchor{1061}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Entity_Aspect (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Entity_Aspect}@anchor{b73}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Entity_Aspect}@anchor{1062}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Entity_Aspect (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Binding_Indication}@anchor{b74}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Binding_Indication}@anchor{1063}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Binding_Indication (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Binding_Indication}@anchor{b75}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Binding_Indication}@anchor{1064}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Binding_Indication (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Named_Entity}@anchor{b76}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Named_Entity}@anchor{1065}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Named_Entity (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Named_Entity}@anchor{b77}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Named_Entity}@anchor{1066}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Named_Entity (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Referenced_Name}@anchor{b78}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Referenced_Name}@anchor{1067}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Referenced_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Referenced_Name}@anchor{b79}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Referenced_Name}@anchor{1068}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Referenced_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expr_Staticness}@anchor{b7a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Expr_Staticness}@anchor{1069}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Expr_Staticness (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expr_Staticness}@anchor{b7b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Expr_Staticness}@anchor{106a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Expr_Staticness (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Scalar_Size}@anchor{b7c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Scalar_Size}@anchor{106b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Scalar_Size (obj)
-
@*Return type:
-@ref{94f,,ScalarSize}
+@ref{e3e,,ScalarSize}
@end deffn
@geindex Set_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Scalar_Size}@anchor{b7d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Scalar_Size}@anchor{106c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Scalar_Size (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Error_Origin}@anchor{b7e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Error_Origin}@anchor{106d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Error_Origin (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Error_Origin}@anchor{b7f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Error_Origin}@anchor{106e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Error_Origin (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Operand() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Operand}@anchor{b80}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Operand}@anchor{106f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Operand (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Operand() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Operand}@anchor{b81}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Operand}@anchor{1070}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Operand (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Left() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left}@anchor{b82}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Left}@anchor{1071}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Left (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Left() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left}@anchor{b83}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Left}@anchor{1072}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Left (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Right() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right}@anchor{b84}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Right}@anchor{1073}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Right (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Right() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right}@anchor{b85}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Right}@anchor{1074}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Right (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Name}@anchor{b86}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Unit_Name}@anchor{1075}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Unit_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Name}@anchor{b87}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Unit_Name}@anchor{1076}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Unit_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name}@anchor{b88}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name}@anchor{1077}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name}@anchor{b89}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name}@anchor{1078}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Template_Name}@anchor{b8a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Group_Template_Name}@anchor{1079}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Group_Template_Name (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Template_Name}@anchor{b8b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Group_Template_Name}@anchor{107a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Group_Template_Name (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name_Staticness}@anchor{b8c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Name_Staticness}@anchor{107b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Name_Staticness (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name_Staticness}@anchor{b8d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Name_Staticness}@anchor{107c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Name_Staticness (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prefix}@anchor{b8e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Prefix}@anchor{107d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Prefix (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prefix}@anchor{b8f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Prefix}@anchor{107e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Prefix (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signature_Prefix}@anchor{b90}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signature_Prefix}@anchor{107f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signature_Prefix (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signature_Prefix}@anchor{b91}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signature_Prefix}@anchor{1080}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signature_Prefix (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_External_Pathname}@anchor{b92}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_External_Pathname}@anchor{1081}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_External_Pathname (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_External_Pathname}@anchor{b93}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_External_Pathname}@anchor{1082}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_External_Pathname (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Suffix}@anchor{b94}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Suffix}@anchor{1083}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pathname_Suffix (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Suffix}@anchor{b95}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Suffix}@anchor{1084}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pathname_Suffix (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Expression}@anchor{b96}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Pathname_Expression}@anchor{1085}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Pathname_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Expression}@anchor{b97}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Pathname_Expression}@anchor{1086}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Pathname_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_In_Formal_Flag}@anchor{b98}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_In_Formal_Flag}@anchor{1087}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_In_Formal_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_In_Formal_Flag}@anchor{b99}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_In_Formal_Flag}@anchor{1088}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_In_Formal_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Slice_Subtype}@anchor{b9a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Slice_Subtype}@anchor{1089}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Slice_Subtype (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Slice_Subtype}@anchor{b9b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Slice_Subtype}@anchor{108a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Slice_Subtype (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suffix}@anchor{b9c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suffix}@anchor{108b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suffix (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Suffix() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suffix}@anchor{b9d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suffix}@anchor{108c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suffix (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype}@anchor{b9e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Index_Subtype}@anchor{108d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Index_Subtype (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype}@anchor{b9f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Index_Subtype}@anchor{108e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Index_Subtype (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter}@anchor{ba0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter}@anchor{108f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter}@anchor{ba1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter}@anchor{1090}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_2}@anchor{ba2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_2}@anchor{1091}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_2 (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_2}@anchor{ba3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_2}@anchor{1092}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_2 (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_3}@anchor{ba4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_3}@anchor{1093}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_3 (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_3}@anchor{ba5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_3}@anchor{1094}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_3 (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_4}@anchor{ba6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_4}@anchor{1095}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_4 (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_4}@anchor{ba7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_4}@anchor{1096}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_4 (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attr_Chain}@anchor{ba8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attr_Chain}@anchor{1097}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attr_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attr_Chain}@anchor{ba9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attr_Chain}@anchor{1098}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attr_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Attribute_Declaration}@anchor{baa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Signal_Attribute_Declaration}@anchor{1099}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Signal_Attribute_Declaration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Attribute_Declaration}@anchor{bab}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Signal_Attribute_Declaration}@anchor{109a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Signal_Attribute_Declaration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type}@anchor{bac}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type}@anchor{109b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Type (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type}@anchor{bad}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type}@anchor{109c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Type (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type_Definition}@anchor{bae}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Actual_Type_Definition}@anchor{109d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Actual_Type_Definition (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type_Definition}@anchor{baf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Actual_Type_Definition}@anchor{109e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Actual_Type_Definition (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Chain}@anchor{bb0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Chain}@anchor{109f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Association_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Chain}@anchor{bb1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Chain}@anchor{10a0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Association_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Individual_Association_Chain}@anchor{bb2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Individual_Association_Chain}@anchor{10a1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Individual_Association_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Individual_Association_Chain}@anchor{bb3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Individual_Association_Chain}@anchor{10a2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Individual_Association_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Association_Chain}@anchor{bb4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subprogram_Association_Chain}@anchor{10a3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subprogram_Association_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Association_Chain}@anchor{bb5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subprogram_Association_Chain}@anchor{10a4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subprogram_Association_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Info}@anchor{bb6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Info}@anchor{10a5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggregate_Info (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Info}@anchor{bb7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Info}@anchor{10a6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggregate_Info (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sub_Aggregate_Info}@anchor{bb8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Sub_Aggregate_Info}@anchor{10a7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Sub_Aggregate_Info (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sub_Aggregate_Info}@anchor{bb9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Sub_Aggregate_Info}@anchor{10a8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Sub_Aggregate_Info (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Dynamic_Flag}@anchor{bba}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Dynamic_Flag}@anchor{10a9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Dynamic_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Dynamic_Flag}@anchor{bbb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Dynamic_Flag}@anchor{10aa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Dynamic_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Min_Length}@anchor{bbc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Min_Length}@anchor{10ab}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Min_Length (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Min_Length}@anchor{bbd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Min_Length}@anchor{10ac}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Min_Length (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Low_Limit}@anchor{bbe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Low_Limit}@anchor{10ad}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Low_Limit (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Low_Limit}@anchor{bbf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Low_Limit}@anchor{10ae}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Low_Limit (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_High_Limit}@anchor{bc0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_High_Limit}@anchor{10af}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_High_Limit (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_High_Limit}@anchor{bc1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_High_Limit}@anchor{10b0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_High_Limit (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Others_Flag}@anchor{bc2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Others_Flag}@anchor{10b1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Others_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Others_Flag}@anchor{bc3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Others_Flag}@anchor{10b2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Others_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Named_Flag}@anchor{bc4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggr_Named_Flag}@anchor{10b3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggr_Named_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Named_Flag}@anchor{bc5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggr_Named_Flag}@anchor{10b4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggr_Named_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Expand_Flag}@anchor{bc6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Aggregate_Expand_Flag}@anchor{10b5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Aggregate_Expand_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Expand_Flag}@anchor{bc7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Aggregate_Expand_Flag}@anchor{10b6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Aggregate_Expand_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Choices_Chain}@anchor{bc8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Association_Choices_Chain}@anchor{10b7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Association_Choices_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Choices_Chain}@anchor{bc9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Association_Choices_Chain}@anchor{10b8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Association_Choices_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Case_Statement_Alternative_Chain}@anchor{bca}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Case_Statement_Alternative_Chain}@anchor{10b9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Case_Statement_Alternative_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Case_Statement_Alternative_Chain}@anchor{bcb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Case_Statement_Alternative_Chain}@anchor{10ba}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Case_Statement_Alternative_Chain (obj, value)
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_Matching_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Matching_Flag}@anchor{10bb}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Matching_Flag (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+
+@end deffn
+
+@geindex Set_Matching_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Matching_Flag}@anchor{10bc}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Matching_Flag (obj, value)
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@@ -36777,1039 +46034,953 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Staticness}@anchor{bcc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Choice_Staticness}@anchor{10bd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Choice_Staticness (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Staticness}@anchor{bcd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Choice_Staticness}@anchor{10be}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Choice_Staticness (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Procedure_Call}@anchor{bce}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Procedure_Call}@anchor{10bf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Procedure_Call (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Procedure_Call}@anchor{bcf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Procedure_Call}@anchor{10c0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Procedure_Call (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Implementation() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implementation}@anchor{bd0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implementation}@anchor{10c1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implementation (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Implementation() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implementation}@anchor{bd1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implementation}@anchor{10c2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implementation (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Association_Chain}@anchor{bd2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Parameter_Association_Chain}@anchor{10c3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Parameter_Association_Chain (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Association_Chain}@anchor{bd3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Parameter_Association_Chain}@anchor{10c4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Parameter_Association_Chain (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Method_Object}@anchor{bd4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Method_Object}@anchor{10c5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Method_Object (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Method_Object}@anchor{bd5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Method_Object}@anchor{10c6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Method_Object (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Type_Mark}@anchor{bd6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subtype_Type_Mark}@anchor{10c7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subtype_Type_Mark (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Type_Mark}@anchor{bd7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subtype_Type_Mark}@anchor{10c8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subtype_Type_Mark (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Nature_Mark}@anchor{bd8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Subnature_Nature_Mark}@anchor{10c9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Subnature_Nature_Mark (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Nature_Mark}@anchor{bd9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Subnature_Nature_Mark}@anchor{10ca}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Subnature_Nature_Mark (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Conversion_Subtype}@anchor{bda}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Conversion_Subtype}@anchor{10cb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Conversion_Subtype (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Conversion_Subtype}@anchor{bdb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Conversion_Subtype}@anchor{10cc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Conversion_Subtype (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Mark}@anchor{bdc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Mark}@anchor{10cd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Mark (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Mark}@anchor{bdd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Mark}@anchor{10ce}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Mark (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Type_Mark}@anchor{bde}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_File_Type_Mark}@anchor{10cf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_File_Type_Mark (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Type_Mark}@anchor{bdf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_File_Type_Mark}@anchor{10d0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_File_Type_Mark (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type_Mark}@anchor{be0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Return_Type_Mark}@anchor{10d1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Return_Type_Mark (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type_Mark}@anchor{be1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Return_Type_Mark}@anchor{10d2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Return_Type_Mark (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Disconnect_Flag}@anchor{be2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Disconnect_Flag}@anchor{10d3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Disconnect_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Disconnect_Flag}@anchor{be3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Disconnect_Flag}@anchor{10d4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Disconnect_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Active_Flag}@anchor{be4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Active_Flag}@anchor{10d5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Active_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Active_Flag}@anchor{be5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Active_Flag}@anchor{10d6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Active_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Within_Flag}@anchor{be6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Within_Flag}@anchor{10d7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Within_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Within_Flag}@anchor{be7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Within_Flag}@anchor{10d8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Within_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Marks_List}@anchor{be8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Type_Marks_List}@anchor{10d9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Type_Marks_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Marks_List}@anchor{be9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Type_Marks_List}@anchor{10da}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Type_Marks_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Alias_Flag}@anchor{bea}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Implicit_Alias_Flag}@anchor{10db}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Implicit_Alias_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Alias_Flag}@anchor{beb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Implicit_Alias_Flag}@anchor{10dc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Implicit_Alias_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alias_Signature}@anchor{bec}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Alias_Signature}@anchor{10dd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Alias_Signature (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alias_Signature}@anchor{bed}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Alias_Signature}@anchor{10de}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Alias_Signature (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Signature}@anchor{bee}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Attribute_Signature}@anchor{10df}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Attribute_Signature (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Signature}@anchor{bef}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Attribute_Signature}@anchor{10e0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Attribute_Signature (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_List}@anchor{bf0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Overload_List}@anchor{10e1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Overload_List (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_List}@anchor{bf1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Overload_List}@anchor{10e2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Overload_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Identifier}@anchor{bf2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Identifier}@anchor{10e3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Name_Identifier (obj)
-
@*Return type:
-~NameId
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Identifier}@anchor{bf3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Identifier}@anchor{10e4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Name_Identifier (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Subtype}@anchor{bf4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Simple_Name_Subtype}@anchor{10e5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Simple_Name_Subtype (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Subtype}@anchor{bf5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Simple_Name_Subtype}@anchor{10e6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Simple_Name_Subtype (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Body}@anchor{bf6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Body}@anchor{10e7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Protected_Type_Body (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Body}@anchor{bf7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Body}@anchor{10e8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Protected_Type_Body (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Declaration}@anchor{bf8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Protected_Type_Declaration}@anchor{10e9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Protected_Type_Declaration (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Declaration}@anchor{bf9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Protected_Type_Declaration}@anchor{10ea}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Protected_Type_Declaration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Flag}@anchor{bfa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Use_Flag}@anchor{10eb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Use_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Flag}@anchor{bfb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Use_Flag}@anchor{10ec}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Use_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Reserved_Id}@anchor{bfc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Reserved_Id}@anchor{10ed}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Reserved_Id (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Reserved_Id}@anchor{bfd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Reserved_Id}@anchor{10ee}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Reserved_Id (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Identifier}@anchor{bfe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Identifier}@anchor{10ef}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Identifier (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Identifier}@anchor{bff}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Identifier}@anchor{10f0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Identifier (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Postponed}@anchor{c00}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_End_Has_Postponed}@anchor{10f1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_End_Has_Postponed (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Postponed}@anchor{c01}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_End_Has_Postponed}@anchor{10f2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_End_Has_Postponed (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Label}@anchor{c02}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Label}@anchor{10f3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Label (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Label}@anchor{c03}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Label}@anchor{10f4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Label (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Begin}@anchor{c04}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Begin}@anchor{10f5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Begin (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Begin}@anchor{c05}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Begin}@anchor{10f6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Begin (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_End() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_End}@anchor{c06}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_End}@anchor{10f7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_End (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_End() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_End}@anchor{c07}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_End}@anchor{10f8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_End (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Is}@anchor{c08}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Is}@anchor{10f9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Is (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Is}@anchor{c09}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Is}@anchor{10fa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Is (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Pure}@anchor{c0a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Pure}@anchor{10fb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Pure (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Pure}@anchor{c0b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Pure}@anchor{10fc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Pure (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Body}@anchor{c0c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Body}@anchor{10fd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Body (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Body}@anchor{c0d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Body}@anchor{10fe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Body (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Parameter}@anchor{c0e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Parameter}@anchor{10ff}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Parameter (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Parameter}@anchor{c0f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Parameter}@anchor{1100}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Parameter (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Component}@anchor{c10}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Component}@anchor{1101}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Component (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Component}@anchor{c11}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Component}@anchor{1102}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Component (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Identifier_List}@anchor{c12}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Identifier_List}@anchor{1103}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Identifier_List (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Identifier_List}@anchor{c13}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Identifier_List}@anchor{1104}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Identifier_List (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Mode}@anchor{c14}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Mode}@anchor{1105}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Mode (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Mode}@anchor{c15}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Mode}@anchor{1106}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Mode (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Class}@anchor{c16}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Class}@anchor{1107}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Class (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Class}@anchor{c17}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Class}@anchor{1108}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Class (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Delay_Mechanism}@anchor{c18}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Has_Delay_Mechanism}@anchor{1109}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Has_Delay_Mechanism (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Delay_Mechanism}@anchor{c19}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Has_Delay_Mechanism}@anchor{110a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Has_Delay_Mechanism (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suspend_Flag}@anchor{c1a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Suspend_Flag}@anchor{110b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Suspend_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suspend_Flag}@anchor{c1b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Suspend_Flag}@anchor{110c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Suspend_Flag (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Ref}@anchor{c1c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Ref}@anchor{110d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Ref (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Ref}@anchor{c1d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Ref}@anchor{110e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Ref (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Forward_Ref}@anchor{c1e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Is_Forward_Ref}@anchor{110f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Is_Forward_Ref (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Forward_Ref}@anchor{c1f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Is_Forward_Ref}@anchor{1110}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Is_Forward_Ref (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Property}@anchor{c20}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Property}@anchor{1111}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Property (obj)
-
@*Return type:
-~PSLNode
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Property}@anchor{c21}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Property}@anchor{1112}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Property (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Sequence}@anchor{c22}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Sequence}@anchor{1113}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Sequence (obj)
-
@*Return type:
-~PSLNode
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Sequence}@anchor{c23}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Sequence}@anchor{1114}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Sequence (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Declaration}@anchor{c24}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Declaration}@anchor{1115}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Declaration (obj)
-
@*Return type:
-~PSLNode
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Declaration}@anchor{c25}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Declaration}@anchor{1116}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Declaration (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Expression}@anchor{c26}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Expression}@anchor{1117}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Expression (obj)
-
@*Return type:
-~PSLNode
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Expression}@anchor{c27}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Expression}@anchor{1118}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Boolean}@anchor{c28}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Psl_Boolean}@anchor{1119}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Psl_Boolean (obj)
-
@*Return type:
-~PSLNode
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Boolean}@anchor{c29}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Psl_Boolean}@anchor{111a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Psl_Boolean (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock}@anchor{c2a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock}@anchor{111b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Clock (obj)
-
@*Return type:
-~PSLNode
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNode}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock}@anchor{c2b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock}@anchor{111c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Clock (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_NFA}@anchor{c2c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_NFA}@anchor{111d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_NFA (obj)
-
@*Return type:
-~PSLNFA
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{PSLNFA}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_NFA}@anchor{c2d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_NFA}@anchor{111e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_NFA (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Nbr_States}@anchor{c2e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Nbr_States}@anchor{111f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Nbr_States (obj)
-
@*Return type:
-~Int32
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Nbr_States}@anchor{c2f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Nbr_States}@anchor{1120}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Nbr_States (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock_Sensitivity}@anchor{c30}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Clock_Sensitivity}@anchor{1121}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Clock_Sensitivity (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock_Sensitivity}@anchor{c31}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Clock_Sensitivity}@anchor{1122}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Clock_Sensitivity (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_EOS_Flag}@anchor{c32}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_EOS_Flag}@anchor{1123}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_EOS_Flag (obj)
-
@*Return type:
-~Boolean
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
@end deffn
@geindex Set_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_EOS_Flag}@anchor{c33}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_EOS_Flag}@anchor{1124}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_EOS_Flag (obj, value)
+@*Return type:
+None@footnote{https://docs.python.org/3.6/library/constants.html#None}
+
+@end deffn
+
+@geindex Get_PSL_Abort_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_PSL_Abort_Flag}@anchor{1125}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_PSL_Abort_Flag (obj)
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Boolean}, bound= c_bool@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_bool})
+
+@end deffn
+
+@geindex Set_PSL_Abort_Flag() (in module pyGHDL.libghdl.vhdl.nodes)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_PSL_Abort_Flag}@anchor{1126}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_PSL_Abort_Flag (obj, value)
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@@ -37817,86 +46988,78 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Count_Expression}@anchor{c34}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Count_Expression}@anchor{1127}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Count_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Count_Expression}@anchor{c35}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Count_Expression}@anchor{1128}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Count_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Clock_Expression}@anchor{c36}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Clock_Expression}@anchor{1129}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Clock_Expression (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Clock_Expression}@anchor{c37}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Clock_Expression}@anchor{112a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Clock_Expression (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Clock}@anchor{c38}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Default_Clock}@anchor{112b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Default_Clock (obj)
-
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Clock}@anchor{c39}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Default_Clock}@anchor{112c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Default_Clock (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Get_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Node}@anchor{c3a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Get_Foreign_Node}@anchor{112d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Get_Foreign_Node (obj)
-
@*Return type:
-~Int32
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Int32}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@end deffn
@geindex Set_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Node}@anchor{c3b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes pyGHDL libghdl vhdl nodes Set_Foreign_Node}@anchor{112e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes.Set_Foreign_Node (obj, value)
-
@*Return type:
None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -37917,7 +47080,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl nodes,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta doc}@anchor{106f}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta module-pyGHDL libghdl vhdl nodes_meta}@anchor{2c}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyghdl-libghdl-vhdl-nodes-meta}@anchor{1070}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta doc}@anchor{156d}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta module-pyGHDL libghdl vhdl nodes_meta}@anchor{30}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyghdl-libghdl-vhdl-nodes-meta}@anchor{156e}
@subsubsection pyGHDL.libghdl.vhdl.nodes_meta
@@ -37931,15 +47094,15 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{1071,,types}:
+@ref{156f,,types}:
An enumeration.
@item
-@ref{1072,,Attr}:
+@ref{1570,,Attr}:
An enumeration.
@item
-@ref{1073,,fields}:
+@ref{1571,,fields}:
An enumeration.
@end itemize
@@ -37949,1142 +47112,1147 @@ An enumeration.
@itemize -
@item
-@ref{1074,,get_fields_first()}:
+@ref{1572,,get_fields_first()}:
Return the list of fields for node @code{K}.
@item
-@ref{1075,,get_fields_last()}:
+@ref{1573,,get_fields_last()}:
Return the list of fields for node @code{K}.
@item
-@ref{1076,,get_field_by_index()}:
+@ref{1574,,get_field_by_index()}:
@item
-@ref{1077,,get_field_type()}:
+@ref{1575,,get_field_type()}:
Undocumented.
@item
-@ref{1078,,get_field_attribute()}:
+@ref{1576,,get_field_attribute()}:
Undocumented.
@item
-@ref{1079,,Has_First_Design_Unit()}:
+@ref{1577,,Has_First_Design_Unit()}:
+
+@item
+@ref{1578,,Has_Last_Design_Unit()}:
@item
-@ref{107a,,Has_Last_Design_Unit()}:
+@ref{1579,,Has_Library_Declaration()}:
@item
-@ref{107b,,Has_Library_Declaration()}:
+@ref{157a,,Has_File_Checksum()}:
@item
-@ref{107c,,Has_File_Checksum()}:
+@ref{157b,,Has_Analysis_Time_Stamp()}:
@item
-@ref{107d,,Has_Analysis_Time_Stamp()}:
+@ref{157c,,Has_Design_File_Source()}:
@item
-@ref{107e,,Has_Design_File_Source()}:
+@ref{157d,,Has_Library()}:
@item
-@ref{107f,,Has_Library()}:
+@ref{157e,,Has_File_Dependence_List()}:
@item
-@ref{1080,,Has_File_Dependence_List()}:
+@ref{157f,,Has_Design_File_Filename()}:
@item
-@ref{1081,,Has_Design_File_Filename()}:
+@ref{1580,,Has_Design_File_Directory()}:
@item
-@ref{1082,,Has_Design_File_Directory()}:
+@ref{1581,,Has_Design_File()}:
@item
-@ref{1083,,Has_Design_File()}:
+@ref{1582,,Has_Design_File_Chain()}:
@item
-@ref{1084,,Has_Design_File_Chain()}:
+@ref{1583,,Has_Library_Directory()}:
@item
-@ref{1085,,Has_Library_Directory()}:
+@ref{1584,,Has_Date()}:
@item
-@ref{1086,,Has_Date()}:
+@ref{1585,,Has_Context_Items()}:
@item
-@ref{1087,,Has_Context_Items()}:
+@ref{1586,,Has_Dependence_List()}:
@item
-@ref{1088,,Has_Dependence_List()}:
+@ref{1587,,Has_Analysis_Checks_List()}:
@item
-@ref{1089,,Has_Analysis_Checks_List()}:
+@ref{1588,,Has_Date_State()}:
@item
-@ref{108a,,Has_Date_State()}:
+@ref{1589,,Has_Guarded_Target_State()}:
@item
-@ref{108b,,Has_Guarded_Target_State()}:
+@ref{158a,,Has_Library_Unit()}:
@item
-@ref{108c,,Has_Library_Unit()}:
+@ref{158b,,Has_Hash_Chain()}:
@item
-@ref{108d,,Has_Hash_Chain()}:
+@ref{158c,,Has_Design_Unit_Source_Pos()}:
@item
-@ref{108e,,Has_Design_Unit_Source_Pos()}:
+@ref{158d,,Has_Design_Unit_Source_Line()}:
@item
-@ref{108f,,Has_Design_Unit_Source_Line()}:
+@ref{158e,,Has_Design_Unit_Source_Col()}:
@item
-@ref{1090,,Has_Design_Unit_Source_Col()}:
+@ref{158f,,Has_Value()}:
@item
-@ref{1091,,Has_Value()}:
+@ref{1590,,Has_Enum_Pos()}:
@item
-@ref{1092,,Has_Enum_Pos()}:
+@ref{1591,,Has_Physical_Literal()}:
@item
-@ref{1093,,Has_Physical_Literal()}:
+@ref{1592,,Has_Fp_Value()}:
@item
-@ref{1094,,Has_Fp_Value()}:
+@ref{1593,,Has_Simple_Aggregate_List()}:
@item
-@ref{1095,,Has_Simple_Aggregate_List()}:
+@ref{1594,,Has_String8_Id()}:
@item
-@ref{1096,,Has_String8_Id()}:
+@ref{1595,,Has_String_Length()}:
@item
-@ref{1097,,Has_String_Length()}:
+@ref{1596,,Has_Bit_String_Base()}:
@item
-@ref{1098,,Has_Bit_String_Base()}:
+@ref{1597,,Has_Has_Signed()}:
@item
-@ref{1099,,Has_Has_Signed()}:
+@ref{1598,,Has_Has_Sign()}:
@item
-@ref{109a,,Has_Has_Sign()}:
+@ref{1599,,Has_Has_Length()}:
@item
-@ref{109b,,Has_Has_Length()}:
+@ref{159a,,Has_Literal_Length()}:
@item
-@ref{109c,,Has_Literal_Length()}:
+@ref{159b,,Has_Literal_Origin()}:
@item
-@ref{109d,,Has_Literal_Origin()}:
+@ref{159c,,Has_Range_Origin()}:
@item
-@ref{109e,,Has_Range_Origin()}:
+@ref{159d,,Has_Literal_Subtype()}:
@item
-@ref{109f,,Has_Literal_Subtype()}:
+@ref{159e,,Has_Allocator_Subtype()}:
@item
-@ref{10a0,,Has_Allocator_Subtype()}:
+@ref{159f,,Has_Entity_Class()}:
@item
-@ref{10a1,,Has_Entity_Class()}:
+@ref{15a0,,Has_Entity_Name_List()}:
@item
-@ref{10a2,,Has_Entity_Name_List()}:
+@ref{15a1,,Has_Attribute_Designator()}:
@item
-@ref{10a3,,Has_Attribute_Designator()}:
+@ref{15a2,,Has_Attribute_Specification_Chain()}:
@item
-@ref{10a4,,Has_Attribute_Specification_Chain()}:
+@ref{15a3,,Has_Attribute_Specification()}:
@item
-@ref{10a5,,Has_Attribute_Specification()}:
+@ref{15a4,,Has_Static_Attribute_Flag()}:
@item
-@ref{10a6,,Has_Static_Attribute_Flag()}:
+@ref{15a5,,Has_Signal_List()}:
@item
-@ref{10a7,,Has_Signal_List()}:
+@ref{15a6,,Has_Quantity_List()}:
@item
-@ref{10a8,,Has_Quantity_List()}:
+@ref{15a7,,Has_Designated_Entity()}:
@item
-@ref{10a9,,Has_Designated_Entity()}:
+@ref{15a8,,Has_Formal()}:
@item
-@ref{10aa,,Has_Formal()}:
+@ref{15a9,,Has_Actual()}:
@item
-@ref{10ab,,Has_Actual()}:
+@ref{15aa,,Has_Actual_Conversion()}:
@item
-@ref{10ac,,Has_Actual_Conversion()}:
+@ref{15ab,,Has_Formal_Conversion()}:
@item
-@ref{10ad,,Has_Formal_Conversion()}:
+@ref{15ac,,Has_Whole_Association_Flag()}:
@item
-@ref{10ae,,Has_Whole_Association_Flag()}:
+@ref{15ad,,Has_Collapse_Signal_Flag()}:
@item
-@ref{10af,,Has_Collapse_Signal_Flag()}:
+@ref{15ae,,Has_Artificial_Flag()}:
@item
-@ref{10b0,,Has_Artificial_Flag()}:
+@ref{15af,,Has_Open_Flag()}:
@item
-@ref{10b1,,Has_Open_Flag()}:
+@ref{15b0,,Has_After_Drivers_Flag()}:
@item
-@ref{10b2,,Has_After_Drivers_Flag()}:
+@ref{15b1,,Has_We_Value()}:
@item
-@ref{10b3,,Has_We_Value()}:
+@ref{15b2,,Has_Time()}:
@item
-@ref{10b4,,Has_Time()}:
+@ref{15b3,,Has_Associated_Expr()}:
@item
-@ref{10b5,,Has_Associated_Expr()}:
+@ref{15b4,,Has_Associated_Block()}:
@item
-@ref{10b6,,Has_Associated_Block()}:
+@ref{15b5,,Has_Associated_Chain()}:
@item
-@ref{10b7,,Has_Associated_Chain()}:
+@ref{15b6,,Has_Choice_Name()}:
@item
-@ref{10b8,,Has_Choice_Name()}:
+@ref{15b7,,Has_Choice_Expression()}:
@item
-@ref{10b9,,Has_Choice_Expression()}:
+@ref{15b8,,Has_Choice_Range()}:
@item
-@ref{10ba,,Has_Choice_Range()}:
+@ref{15b9,,Has_Same_Alternative_Flag()}:
@item
-@ref{10bb,,Has_Same_Alternative_Flag()}:
+@ref{15ba,,Has_Element_Type_Flag()}:
@item
-@ref{10bc,,Has_Element_Type_Flag()}:
+@ref{15bb,,Has_Architecture()}:
@item
-@ref{10bd,,Has_Architecture()}:
+@ref{15bc,,Has_Block_Specification()}:
@item
-@ref{10be,,Has_Block_Specification()}:
+@ref{15bd,,Has_Prev_Block_Configuration()}:
@item
-@ref{10bf,,Has_Prev_Block_Configuration()}:
+@ref{15be,,Has_Configuration_Item_Chain()}:
@item
-@ref{10c0,,Has_Configuration_Item_Chain()}:
+@ref{15bf,,Has_Attribute_Value_Chain()}:
@item
-@ref{10c1,,Has_Attribute_Value_Chain()}:
+@ref{15c0,,Has_Spec_Chain()}:
@item
-@ref{10c2,,Has_Spec_Chain()}:
+@ref{15c1,,Has_Value_Chain()}:
@item
-@ref{10c3,,Has_Value_Chain()}:
+@ref{15c2,,Has_Attribute_Value_Spec_Chain()}:
@item
-@ref{10c4,,Has_Attribute_Value_Spec_Chain()}:
+@ref{15c3,,Has_Entity_Name()}:
@item
-@ref{10c5,,Has_Entity_Name()}:
+@ref{15c4,,Has_Package()}:
@item
-@ref{10c6,,Has_Package()}:
+@ref{15c5,,Has_Package_Body()}:
@item
-@ref{10c7,,Has_Package_Body()}:
+@ref{15c6,,Has_Instance_Package_Body()}:
@item
-@ref{10c8,,Has_Instance_Package_Body()}:
+@ref{15c7,,Has_Need_Body()}:
@item
-@ref{10c9,,Has_Need_Body()}:
+@ref{15c8,,Has_Macro_Expanded_Flag()}:
@item
-@ref{10ca,,Has_Macro_Expanded_Flag()}:
+@ref{15c9,,Has_Need_Instance_Bodies()}:
@item
-@ref{10cb,,Has_Need_Instance_Bodies()}:
+@ref{15ca,,Has_Hierarchical_Name()}:
@item
-@ref{10cc,,Has_Hierarchical_Name()}:
+@ref{15cb,,Has_Vunit_Item_Chain()}:
@item
-@ref{10cd,,Has_Inherit_Spec_Chain()}:
+@ref{15cc,,Has_Bound_Vunit_Chain()}:
@item
-@ref{10ce,,Has_Vunit_Item_Chain()}:
+@ref{15cd,,Has_Verification_Block_Configuration()}:
@item
-@ref{10cf,,Has_Bound_Vunit_Chain()}:
+@ref{15ce,,Has_Block_Configuration()}:
@item
-@ref{10d0,,Has_Verification_Block_Configuration()}:
+@ref{15cf,,Has_Concurrent_Statement_Chain()}:
@item
-@ref{10d1,,Has_Block_Configuration()}:
+@ref{15d0,,Has_Chain()}:
@item
-@ref{10d2,,Has_Concurrent_Statement_Chain()}:
+@ref{15d1,,Has_Port_Chain()}:
@item
-@ref{10d3,,Has_Chain()}:
+@ref{15d2,,Has_Generic_Chain()}:
@item
-@ref{10d4,,Has_Port_Chain()}:
+@ref{15d3,,Has_Type()}:
@item
-@ref{10d5,,Has_Generic_Chain()}:
+@ref{15d4,,Has_Subtype_Indication()}:
@item
-@ref{10d6,,Has_Type()}:
+@ref{15d5,,Has_Discrete_Range()}:
@item
-@ref{10d7,,Has_Subtype_Indication()}:
+@ref{15d6,,Has_Type_Definition()}:
@item
-@ref{10d8,,Has_Discrete_Range()}:
+@ref{15d7,,Has_Subtype_Definition()}:
@item
-@ref{10d9,,Has_Type_Definition()}:
+@ref{15d8,,Has_Incomplete_Type_Declaration()}:
@item
-@ref{10da,,Has_Subtype_Definition()}:
+@ref{15d9,,Has_Interface_Type_Subprograms()}:
@item
-@ref{10db,,Has_Incomplete_Type_Declaration()}:
+@ref{15da,,Has_Nature_Definition()}:
@item
-@ref{10dc,,Has_Interface_Type_Subprograms()}:
+@ref{15db,,Has_Nature()}:
@item
-@ref{10dd,,Has_Nature_Definition()}:
+@ref{15dc,,Has_Subnature_Indication()}:
@item
-@ref{10de,,Has_Nature()}:
+@ref{15dd,,Has_Mode()}:
@item
-@ref{10df,,Has_Subnature_Indication()}:
+@ref{15de,,Has_Guarded_Signal_Flag()}:
@item
-@ref{10e0,,Has_Mode()}:
+@ref{15df,,Has_Signal_Kind()}:
@item
-@ref{10e1,,Has_Guarded_Signal_Flag()}:
+@ref{15e0,,Has_Base_Name()}:
@item
-@ref{10e2,,Has_Signal_Kind()}:
+@ref{15e1,,Has_Interface_Declaration_Chain()}:
@item
-@ref{10e3,,Has_Base_Name()}:
+@ref{15e2,,Has_Subprogram_Specification()}:
@item
-@ref{10e4,,Has_Interface_Declaration_Chain()}:
+@ref{15e3,,Has_Sequential_Statement_Chain()}:
@item
-@ref{10e5,,Has_Subprogram_Specification()}:
+@ref{15e4,,Has_Simultaneous_Statement_Chain()}:
@item
-@ref{10e6,,Has_Sequential_Statement_Chain()}:
+@ref{15e5,,Has_Subprogram_Body()}:
@item
-@ref{10e7,,Has_Simultaneous_Statement_Chain()}:
+@ref{15e6,,Has_Overload_Number()}:
@item
-@ref{10e8,,Has_Subprogram_Body()}:
+@ref{15e7,,Has_Subprogram_Depth()}:
@item
-@ref{10e9,,Has_Overload_Number()}:
+@ref{15e8,,Has_Subprogram_Hash()}:
@item
-@ref{10ea,,Has_Subprogram_Depth()}:
+@ref{15e9,,Has_Impure_Depth()}:
@item
-@ref{10eb,,Has_Subprogram_Hash()}:
+@ref{15ea,,Has_Return_Type()}:
@item
-@ref{10ec,,Has_Impure_Depth()}:
+@ref{15eb,,Has_Implicit_Definition()}:
@item
-@ref{10ed,,Has_Return_Type()}:
+@ref{15ec,,Has_Uninstantiated_Subprogram_Name()}:
@item
-@ref{10ee,,Has_Implicit_Definition()}:
+@ref{15ed,,Has_Default_Value()}:
@item
-@ref{10ef,,Has_Uninstantiated_Subprogram_Name()}:
+@ref{15ee,,Has_Deferred_Declaration()}:
@item
-@ref{10f0,,Has_Default_Value()}:
+@ref{15ef,,Has_Deferred_Declaration_Flag()}:
@item
-@ref{10f1,,Has_Deferred_Declaration()}:
+@ref{15f0,,Has_Shared_Flag()}:
@item
-@ref{10f2,,Has_Deferred_Declaration_Flag()}:
+@ref{15f1,,Has_Design_Unit()}:
@item
-@ref{10f3,,Has_Shared_Flag()}:
+@ref{15f2,,Has_Block_Statement()}:
@item
-@ref{10f4,,Has_Design_Unit()}:
+@ref{15f3,,Has_Signal_Driver()}:
@item
-@ref{10f5,,Has_Block_Statement()}:
+@ref{15f4,,Has_Declaration_Chain()}:
@item
-@ref{10f6,,Has_Signal_Driver()}:
+@ref{15f5,,Has_File_Logical_Name()}:
@item
-@ref{10f7,,Has_Declaration_Chain()}:
+@ref{15f6,,Has_File_Open_Kind()}:
@item
-@ref{10f8,,Has_File_Logical_Name()}:
+@ref{15f7,,Has_Element_Position()}:
@item
-@ref{10f9,,Has_File_Open_Kind()}:
+@ref{15f8,,Has_Use_Clause_Chain()}:
@item
-@ref{10fa,,Has_Element_Position()}:
+@ref{15f9,,Has_Context_Reference_Chain()}:
@item
-@ref{10fb,,Has_Use_Clause_Chain()}:
+@ref{15fa,,Has_Inherit_Spec_Chain()}:
@item
-@ref{10fc,,Has_Context_Reference_Chain()}:
+@ref{15fb,,Has_Selected_Name()}:
@item
-@ref{10fd,,Has_Selected_Name()}:
+@ref{15fc,,Has_Type_Declarator()}:
@item
-@ref{10fe,,Has_Type_Declarator()}:
+@ref{15fd,,Has_Complete_Type_Definition()}:
@item
-@ref{10ff,,Has_Complete_Type_Definition()}:
+@ref{15fe,,Has_Incomplete_Type_Ref_Chain()}:
@item
-@ref{1100,,Has_Incomplete_Type_Ref_Chain()}:
+@ref{15ff,,Has_Associated_Type()}:
@item
-@ref{1101,,Has_Associated_Type()}:
+@ref{1600,,Has_Enumeration_Literal_List()}:
@item
-@ref{1102,,Has_Enumeration_Literal_List()}:
+@ref{1601,,Has_Entity_Class_Entry_Chain()}:
@item
-@ref{1103,,Has_Entity_Class_Entry_Chain()}:
+@ref{1602,,Has_Group_Constituent_List()}:
@item
-@ref{1104,,Has_Group_Constituent_List()}:
+@ref{1603,,Has_Unit_Chain()}:
@item
-@ref{1105,,Has_Unit_Chain()}:
+@ref{1604,,Has_Primary_Unit()}:
@item
-@ref{1106,,Has_Primary_Unit()}:
+@ref{1605,,Has_Identifier()}:
@item
-@ref{1107,,Has_Identifier()}:
+@ref{1606,,Has_Label()}:
@item
-@ref{1108,,Has_Label()}:
+@ref{1607,,Has_Visible_Flag()}:
@item
-@ref{1109,,Has_Visible_Flag()}:
+@ref{1608,,Has_Range_Constraint()}:
@item
-@ref{110a,,Has_Range_Constraint()}:
+@ref{1609,,Has_Direction()}:
@item
-@ref{110b,,Has_Direction()}:
+@ref{160a,,Has_Left_Limit()}:
@item
-@ref{110c,,Has_Left_Limit()}:
+@ref{160b,,Has_Right_Limit()}:
@item
-@ref{110d,,Has_Right_Limit()}:
+@ref{160c,,Has_Left_Limit_Expr()}:
@item
-@ref{110e,,Has_Left_Limit_Expr()}:
+@ref{160d,,Has_Right_Limit_Expr()}:
@item
-@ref{110f,,Has_Right_Limit_Expr()}:
+@ref{160e,,Has_Parent_Type()}:
@item
-@ref{1110,,Has_Parent_Type()}:
+@ref{160f,,Has_Simple_Nature()}:
@item
-@ref{1111,,Has_Simple_Nature()}:
+@ref{1610,,Has_Base_Nature()}:
@item
-@ref{1112,,Has_Base_Nature()}:
+@ref{1611,,Has_Resolution_Indication()}:
@item
-@ref{1113,,Has_Resolution_Indication()}:
+@ref{1612,,Has_Record_Element_Resolution_Chain()}:
@item
-@ref{1114,,Has_Record_Element_Resolution_Chain()}:
+@ref{1613,,Has_Tolerance()}:
@item
-@ref{1115,,Has_Tolerance()}:
+@ref{1614,,Has_Plus_Terminal_Name()}:
@item
-@ref{1116,,Has_Plus_Terminal_Name()}:
+@ref{1615,,Has_Minus_Terminal_Name()}:
@item
-@ref{1117,,Has_Minus_Terminal_Name()}:
+@ref{1616,,Has_Plus_Terminal()}:
@item
-@ref{1118,,Has_Plus_Terminal()}:
+@ref{1617,,Has_Minus_Terminal()}:
@item
-@ref{1119,,Has_Minus_Terminal()}:
+@ref{1618,,Has_Magnitude_Expression()}:
@item
-@ref{111a,,Has_Magnitude_Expression()}:
+@ref{1619,,Has_Phase_Expression()}:
@item
-@ref{111b,,Has_Phase_Expression()}:
+@ref{161a,,Has_Power_Expression()}:
@item
-@ref{111c,,Has_Power_Expression()}:
+@ref{161b,,Has_Simultaneous_Left()}:
@item
-@ref{111d,,Has_Simultaneous_Left()}:
+@ref{161c,,Has_Simultaneous_Right()}:
@item
-@ref{111e,,Has_Simultaneous_Right()}:
+@ref{161d,,Has_Text_File_Flag()}:
@item
-@ref{111f,,Has_Text_File_Flag()}:
+@ref{161e,,Has_Only_Characters_Flag()}:
@item
-@ref{1120,,Has_Only_Characters_Flag()}:
+@ref{161f,,Has_Is_Character_Type()}:
@item
-@ref{1121,,Has_Is_Character_Type()}:
+@ref{1620,,Has_Nature_Staticness()}:
@item
-@ref{1122,,Has_Nature_Staticness()}:
+@ref{1621,,Has_Type_Staticness()}:
@item
-@ref{1123,,Has_Type_Staticness()}:
+@ref{1622,,Has_Constraint_State()}:
@item
-@ref{1124,,Has_Constraint_State()}:
+@ref{1623,,Has_Index_Subtype_List()}:
@item
-@ref{1125,,Has_Index_Subtype_List()}:
+@ref{1624,,Has_Index_Subtype_Definition_List()}:
@item
-@ref{1126,,Has_Index_Subtype_Definition_List()}:
+@ref{1625,,Has_Element_Subtype_Indication()}:
@item
-@ref{1127,,Has_Element_Subtype_Indication()}:
+@ref{1626,,Has_Element_Subtype()}:
@item
-@ref{1128,,Has_Element_Subtype()}:
+@ref{1627,,Has_Element_Subnature_Indication()}:
@item
-@ref{1129,,Has_Element_Subnature_Indication()}:
+@ref{1628,,Has_Element_Subnature()}:
@item
-@ref{112a,,Has_Element_Subnature()}:
+@ref{1629,,Has_Index_Constraint_List()}:
@item
-@ref{112b,,Has_Index_Constraint_List()}:
+@ref{162a,,Has_Array_Element_Constraint()}:
@item
-@ref{112c,,Has_Array_Element_Constraint()}:
+@ref{162b,,Has_Has_Array_Constraint_Flag()}:
@item
-@ref{112d,,Has_Has_Array_Constraint_Flag()}:
+@ref{162c,,Has_Has_Element_Constraint_Flag()}:
@item
-@ref{112e,,Has_Has_Element_Constraint_Flag()}:
+@ref{162d,,Has_Elements_Declaration_List()}:
@item
-@ref{112f,,Has_Elements_Declaration_List()}:
+@ref{162e,,Has_Owned_Elements_Chain()}:
@item
-@ref{1130,,Has_Owned_Elements_Chain()}:
+@ref{162f,,Has_Designated_Type()}:
@item
-@ref{1131,,Has_Designated_Type()}:
+@ref{1630,,Has_Designated_Subtype_Indication()}:
@item
-@ref{1132,,Has_Designated_Subtype_Indication()}:
+@ref{1631,,Has_Index_List()}:
@item
-@ref{1133,,Has_Index_List()}:
+@ref{1632,,Has_Reference()}:
@item
-@ref{1134,,Has_Reference()}:
+@ref{1633,,Has_Nature_Declarator()}:
@item
-@ref{1135,,Has_Nature_Declarator()}:
+@ref{1634,,Has_Across_Type_Mark()}:
@item
-@ref{1136,,Has_Across_Type_Mark()}:
+@ref{1635,,Has_Through_Type_Mark()}:
@item
-@ref{1137,,Has_Through_Type_Mark()}:
+@ref{1636,,Has_Across_Type_Definition()}:
@item
-@ref{1138,,Has_Across_Type_Definition()}:
+@ref{1637,,Has_Through_Type_Definition()}:
@item
-@ref{1139,,Has_Through_Type_Definition()}:
+@ref{1638,,Has_Across_Type()}:
@item
-@ref{113a,,Has_Across_Type()}:
+@ref{1639,,Has_Through_Type()}:
@item
-@ref{113b,,Has_Through_Type()}:
+@ref{163a,,Has_Target()}:
@item
-@ref{113c,,Has_Target()}:
+@ref{163b,,Has_Waveform_Chain()}:
@item
-@ref{113d,,Has_Waveform_Chain()}:
+@ref{163c,,Has_Guard()}:
@item
-@ref{113e,,Has_Guard()}:
+@ref{163d,,Has_Delay_Mechanism()}:
@item
-@ref{113f,,Has_Delay_Mechanism()}:
+@ref{163e,,Has_Reject_Time_Expression()}:
@item
-@ref{1140,,Has_Reject_Time_Expression()}:
+@ref{163f,,Has_Force_Mode()}:
@item
-@ref{1141,,Has_Force_Mode()}:
+@ref{1640,,Has_Has_Force_Mode()}:
@item
-@ref{1142,,Has_Has_Force_Mode()}:
+@ref{1641,,Has_Sensitivity_List()}:
@item
-@ref{1143,,Has_Sensitivity_List()}:
+@ref{1642,,Has_Process_Origin()}:
@item
-@ref{1144,,Has_Process_Origin()}:
+@ref{1643,,Has_Package_Origin()}:
@item
-@ref{1145,,Has_Package_Origin()}:
+@ref{1644,,Has_Condition_Clause()}:
@item
-@ref{1146,,Has_Condition_Clause()}:
+@ref{1645,,Has_Break_Element()}:
@item
-@ref{1147,,Has_Break_Element()}:
+@ref{1646,,Has_Selector_Quantity()}:
@item
-@ref{1148,,Has_Selector_Quantity()}:
+@ref{1647,,Has_Break_Quantity()}:
@item
-@ref{1149,,Has_Break_Quantity()}:
+@ref{1648,,Has_Timeout_Clause()}:
@item
-@ref{114a,,Has_Timeout_Clause()}:
+@ref{1649,,Has_Postponed_Flag()}:
@item
-@ref{114b,,Has_Postponed_Flag()}:
+@ref{164a,,Has_Callees_List()}:
@item
-@ref{114c,,Has_Callees_List()}:
+@ref{164b,,Has_Passive_Flag()}:
@item
-@ref{114d,,Has_Passive_Flag()}:
+@ref{164c,,Has_Resolution_Function_Flag()}:
@item
-@ref{114e,,Has_Resolution_Function_Flag()}:
+@ref{164d,,Has_Wait_State()}:
@item
-@ref{114f,,Has_Wait_State()}:
+@ref{164e,,Has_All_Sensitized_State()}:
@item
-@ref{1150,,Has_All_Sensitized_State()}:
+@ref{164f,,Has_Seen_Flag()}:
@item
-@ref{1151,,Has_Seen_Flag()}:
+@ref{1650,,Has_Pure_Flag()}:
@item
-@ref{1152,,Has_Pure_Flag()}:
+@ref{1651,,Has_Foreign_Flag()}:
@item
-@ref{1153,,Has_Foreign_Flag()}:
+@ref{1652,,Has_Resolved_Flag()}:
@item
-@ref{1154,,Has_Resolved_Flag()}:
+@ref{1653,,Has_Signal_Type_Flag()}:
@item
-@ref{1155,,Has_Signal_Type_Flag()}:
+@ref{1654,,Has_Has_Signal_Flag()}:
@item
-@ref{1156,,Has_Has_Signal_Flag()}:
+@ref{1655,,Has_Purity_State()}:
@item
-@ref{1157,,Has_Purity_State()}:
+@ref{1656,,Has_Elab_Flag()}:
@item
-@ref{1158,,Has_Elab_Flag()}:
+@ref{1657,,Has_Vendor_Library_Flag()}:
@item
-@ref{1159,,Has_Vendor_Library_Flag()}:
+@ref{1658,,Has_Configuration_Mark_Flag()}:
@item
-@ref{115a,,Has_Configuration_Mark_Flag()}:
+@ref{1659,,Has_Configuration_Done_Flag()}:
@item
-@ref{115b,,Has_Configuration_Done_Flag()}:
+@ref{165a,,Has_Index_Constraint_Flag()}:
@item
-@ref{115c,,Has_Index_Constraint_Flag()}:
+@ref{165b,,Has_Hide_Implicit_Flag()}:
@item
-@ref{115d,,Has_Hide_Implicit_Flag()}:
+@ref{165c,,Has_Assertion_Condition()}:
@item
-@ref{115e,,Has_Assertion_Condition()}:
+@ref{165d,,Has_Report_Expression()}:
@item
-@ref{115f,,Has_Report_Expression()}:
+@ref{165e,,Has_Severity_Expression()}:
@item
-@ref{1160,,Has_Severity_Expression()}:
+@ref{165f,,Has_Instantiated_Unit()}:
@item
-@ref{1161,,Has_Instantiated_Unit()}:
+@ref{1660,,Has_Generic_Map_Aspect_Chain()}:
@item
-@ref{1162,,Has_Generic_Map_Aspect_Chain()}:
+@ref{1661,,Has_Port_Map_Aspect_Chain()}:
@item
-@ref{1163,,Has_Port_Map_Aspect_Chain()}:
+@ref{1662,,Has_Configuration_Name()}:
@item
-@ref{1164,,Has_Configuration_Name()}:
+@ref{1663,,Has_Component_Configuration()}:
@item
-@ref{1165,,Has_Component_Configuration()}:
+@ref{1664,,Has_Configuration_Specification()}:
@item
-@ref{1166,,Has_Configuration_Specification()}:
+@ref{1665,,Has_Default_Binding_Indication()}:
@item
-@ref{1167,,Has_Default_Binding_Indication()}:
+@ref{1666,,Has_Default_Configuration_Declaration()}:
@item
-@ref{1168,,Has_Default_Configuration_Declaration()}:
+@ref{1667,,Has_Expression()}:
@item
-@ref{1169,,Has_Expression()}:
+@ref{1668,,Has_Conditional_Expression_Chain()}:
@item
-@ref{116a,,Has_Conditional_Expression_Chain()}:
+@ref{1669,,Has_Allocator_Designated_Type()}:
@item
-@ref{116b,,Has_Allocator_Designated_Type()}:
+@ref{166a,,Has_Selected_Waveform_Chain()}:
@item
-@ref{116c,,Has_Selected_Waveform_Chain()}:
+@ref{166b,,Has_Conditional_Waveform_Chain()}:
@item
-@ref{116d,,Has_Conditional_Waveform_Chain()}:
+@ref{166c,,Has_Guard_Expression()}:
@item
-@ref{116e,,Has_Guard_Expression()}:
+@ref{166d,,Has_Guard_Decl()}:
@item
-@ref{116f,,Has_Guard_Decl()}:
+@ref{166e,,Has_Guard_Sensitivity_List()}:
@item
-@ref{1170,,Has_Guard_Sensitivity_List()}:
+@ref{166f,,Has_Signal_Attribute_Chain()}:
@item
-@ref{1171,,Has_Signal_Attribute_Chain()}:
+@ref{1670,,Has_Block_Block_Configuration()}:
@item
-@ref{1172,,Has_Block_Block_Configuration()}:
+@ref{1671,,Has_Package_Header()}:
@item
-@ref{1173,,Has_Package_Header()}:
+@ref{1672,,Has_Block_Header()}:
@item
-@ref{1174,,Has_Block_Header()}:
+@ref{1673,,Has_Uninstantiated_Package_Name()}:
@item
-@ref{1175,,Has_Uninstantiated_Package_Name()}:
+@ref{1674,,Has_Uninstantiated_Package_Decl()}:
@item
-@ref{1176,,Has_Uninstantiated_Package_Decl()}:
+@ref{1675,,Has_Instance_Source_File()}:
@item
-@ref{1177,,Has_Instance_Source_File()}:
+@ref{1676,,Has_Generate_Block_Configuration()}:
@item
-@ref{1178,,Has_Generate_Block_Configuration()}:
+@ref{1677,,Has_Generate_Statement_Body()}:
@item
-@ref{1179,,Has_Generate_Statement_Body()}:
+@ref{1678,,Has_Alternative_Label()}:
@item
-@ref{117a,,Has_Alternative_Label()}:
+@ref{1679,,Has_Generate_Else_Clause()}:
@item
-@ref{117b,,Has_Generate_Else_Clause()}:
+@ref{167a,,Has_Condition()}:
@item
-@ref{117c,,Has_Condition()}:
+@ref{167b,,Has_Else_Clause()}:
@item
-@ref{117d,,Has_Else_Clause()}:
+@ref{167c,,Has_Parameter_Specification()}:
@item
-@ref{117e,,Has_Parameter_Specification()}:
+@ref{167d,,Has_Parent()}:
@item
-@ref{117f,,Has_Parent()}:
+@ref{167e,,Has_Loop_Label()}:
@item
-@ref{1180,,Has_Loop_Label()}:
+@ref{167f,,Has_Exit_Flag()}:
@item
-@ref{1181,,Has_Exit_Flag()}:
+@ref{1680,,Has_Next_Flag()}:
@item
-@ref{1182,,Has_Next_Flag()}:
+@ref{1681,,Has_Component_Name()}:
@item
-@ref{1183,,Has_Component_Name()}:
+@ref{1682,,Has_Instantiation_List()}:
@item
-@ref{1184,,Has_Instantiation_List()}:
+@ref{1683,,Has_Entity_Aspect()}:
@item
-@ref{1185,,Has_Entity_Aspect()}:
+@ref{1684,,Has_Default_Entity_Aspect()}:
@item
-@ref{1186,,Has_Default_Entity_Aspect()}:
+@ref{1685,,Has_Binding_Indication()}:
@item
-@ref{1187,,Has_Binding_Indication()}:
+@ref{1686,,Has_Named_Entity()}:
@item
-@ref{1188,,Has_Named_Entity()}:
+@ref{1687,,Has_Referenced_Name()}:
@item
-@ref{1189,,Has_Referenced_Name()}:
+@ref{1688,,Has_Expr_Staticness()}:
@item
-@ref{118a,,Has_Expr_Staticness()}:
+@ref{1689,,Has_Scalar_Size()}:
@item
-@ref{118b,,Has_Scalar_Size()}:
+@ref{168a,,Has_Error_Origin()}:
@item
-@ref{118c,,Has_Error_Origin()}:
+@ref{168b,,Has_Operand()}:
@item
-@ref{118d,,Has_Operand()}:
+@ref{168c,,Has_Left()}:
@item
-@ref{118e,,Has_Left()}:
+@ref{168d,,Has_Right()}:
@item
-@ref{118f,,Has_Right()}:
+@ref{168e,,Has_Unit_Name()}:
@item
-@ref{1190,,Has_Unit_Name()}:
+@ref{168f,,Has_Name()}:
@item
-@ref{1191,,Has_Name()}:
+@ref{1690,,Has_Group_Template_Name()}:
@item
-@ref{1192,,Has_Group_Template_Name()}:
+@ref{1691,,Has_Name_Staticness()}:
@item
-@ref{1193,,Has_Name_Staticness()}:
+@ref{1692,,Has_Prefix()}:
@item
-@ref{1194,,Has_Prefix()}:
+@ref{1693,,Has_Signature_Prefix()}:
@item
-@ref{1195,,Has_Signature_Prefix()}:
+@ref{1694,,Has_External_Pathname()}:
@item
-@ref{1196,,Has_External_Pathname()}:
+@ref{1695,,Has_Pathname_Suffix()}:
@item
-@ref{1197,,Has_Pathname_Suffix()}:
+@ref{1696,,Has_Pathname_Expression()}:
@item
-@ref{1198,,Has_Pathname_Expression()}:
+@ref{1697,,Has_In_Formal_Flag()}:
@item
-@ref{1199,,Has_In_Formal_Flag()}:
+@ref{1698,,Has_Slice_Subtype()}:
@item
-@ref{119a,,Has_Slice_Subtype()}:
+@ref{1699,,Has_Suffix()}:
@item
-@ref{119b,,Has_Suffix()}:
+@ref{169a,,Has_Index_Subtype()}:
@item
-@ref{119c,,Has_Index_Subtype()}:
+@ref{169b,,Has_Parameter()}:
@item
-@ref{119d,,Has_Parameter()}:
+@ref{169c,,Has_Parameter_2()}:
@item
-@ref{119e,,Has_Parameter_2()}:
+@ref{169d,,Has_Parameter_3()}:
@item
-@ref{119f,,Has_Parameter_3()}:
+@ref{169e,,Has_Parameter_4()}:
@item
-@ref{11a0,,Has_Parameter_4()}:
+@ref{169f,,Has_Attr_Chain()}:
@item
-@ref{11a1,,Has_Attr_Chain()}:
+@ref{16a0,,Has_Signal_Attribute_Declaration()}:
@item
-@ref{11a2,,Has_Signal_Attribute_Declaration()}:
+@ref{16a1,,Has_Actual_Type()}:
@item
-@ref{11a3,,Has_Actual_Type()}:
+@ref{16a2,,Has_Actual_Type_Definition()}:
@item
-@ref{11a4,,Has_Actual_Type_Definition()}:
+@ref{16a3,,Has_Association_Chain()}:
@item
-@ref{11a5,,Has_Association_Chain()}:
+@ref{16a4,,Has_Individual_Association_Chain()}:
@item
-@ref{11a6,,Has_Individual_Association_Chain()}:
+@ref{16a5,,Has_Subprogram_Association_Chain()}:
@item
-@ref{11a7,,Has_Subprogram_Association_Chain()}:
+@ref{16a6,,Has_Aggregate_Info()}:
@item
-@ref{11a8,,Has_Aggregate_Info()}:
+@ref{16a7,,Has_Sub_Aggregate_Info()}:
@item
-@ref{11a9,,Has_Sub_Aggregate_Info()}:
+@ref{16a8,,Has_Aggr_Dynamic_Flag()}:
@item
-@ref{11aa,,Has_Aggr_Dynamic_Flag()}:
+@ref{16a9,,Has_Aggr_Min_Length()}:
@item
-@ref{11ab,,Has_Aggr_Min_Length()}:
+@ref{16aa,,Has_Aggr_Low_Limit()}:
@item
-@ref{11ac,,Has_Aggr_Low_Limit()}:
+@ref{16ab,,Has_Aggr_High_Limit()}:
@item
-@ref{11ad,,Has_Aggr_High_Limit()}:
+@ref{16ac,,Has_Aggr_Others_Flag()}:
@item
-@ref{11ae,,Has_Aggr_Others_Flag()}:
+@ref{16ad,,Has_Aggr_Named_Flag()}:
@item
-@ref{11af,,Has_Aggr_Named_Flag()}:
+@ref{16ae,,Has_Aggregate_Expand_Flag()}:
@item
-@ref{11b0,,Has_Aggregate_Expand_Flag()}:
+@ref{16af,,Has_Association_Choices_Chain()}:
@item
-@ref{11b1,,Has_Association_Choices_Chain()}:
+@ref{16b0,,Has_Case_Statement_Alternative_Chain()}:
@item
-@ref{11b2,,Has_Case_Statement_Alternative_Chain()}:
+@ref{16b1,,Has_Matching_Flag()}:
@item
-@ref{11b3,,Has_Choice_Staticness()}:
+@ref{16b2,,Has_Choice_Staticness()}:
@item
-@ref{11b4,,Has_Procedure_Call()}:
+@ref{16b3,,Has_Procedure_Call()}:
@item
-@ref{11b5,,Has_Implementation()}:
+@ref{16b4,,Has_Implementation()}:
@item
-@ref{11b6,,Has_Parameter_Association_Chain()}:
+@ref{16b5,,Has_Parameter_Association_Chain()}:
@item
-@ref{11b7,,Has_Method_Object()}:
+@ref{16b6,,Has_Method_Object()}:
@item
-@ref{11b8,,Has_Subtype_Type_Mark()}:
+@ref{16b7,,Has_Subtype_Type_Mark()}:
@item
-@ref{11b9,,Has_Subnature_Nature_Mark()}:
+@ref{16b8,,Has_Subnature_Nature_Mark()}:
@item
-@ref{11ba,,Has_Type_Conversion_Subtype()}:
+@ref{16b9,,Has_Type_Conversion_Subtype()}:
@item
-@ref{11bb,,Has_Type_Mark()}:
+@ref{16ba,,Has_Type_Mark()}:
@item
-@ref{11bc,,Has_File_Type_Mark()}:
+@ref{16bb,,Has_File_Type_Mark()}:
@item
-@ref{11bd,,Has_Return_Type_Mark()}:
+@ref{16bc,,Has_Return_Type_Mark()}:
@item
-@ref{11be,,Has_Has_Disconnect_Flag()}:
+@ref{16bd,,Has_Has_Disconnect_Flag()}:
@item
-@ref{11bf,,Has_Has_Active_Flag()}:
+@ref{16be,,Has_Has_Active_Flag()}:
@item
-@ref{11c0,,Has_Is_Within_Flag()}:
+@ref{16bf,,Has_Is_Within_Flag()}:
@item
-@ref{11c1,,Has_Type_Marks_List()}:
+@ref{16c0,,Has_Type_Marks_List()}:
@item
-@ref{11c2,,Has_Implicit_Alias_Flag()}:
+@ref{16c1,,Has_Implicit_Alias_Flag()}:
@item
-@ref{11c3,,Has_Alias_Signature()}:
+@ref{16c2,,Has_Alias_Signature()}:
@item
-@ref{11c4,,Has_Attribute_Signature()}:
+@ref{16c3,,Has_Attribute_Signature()}:
@item
-@ref{11c5,,Has_Overload_List()}:
+@ref{16c4,,Has_Overload_List()}:
@item
-@ref{11c6,,Has_Simple_Name_Identifier()}:
+@ref{16c5,,Has_Simple_Name_Identifier()}:
@item
-@ref{11c7,,Has_Simple_Name_Subtype()}:
+@ref{16c6,,Has_Simple_Name_Subtype()}:
@item
-@ref{11c8,,Has_Protected_Type_Body()}:
+@ref{16c7,,Has_Protected_Type_Body()}:
@item
-@ref{11c9,,Has_Protected_Type_Declaration()}:
+@ref{16c8,,Has_Protected_Type_Declaration()}:
@item
-@ref{11ca,,Has_Use_Flag()}:
+@ref{16c9,,Has_Use_Flag()}:
@item
-@ref{11cb,,Has_End_Has_Reserved_Id()}:
+@ref{16ca,,Has_End_Has_Reserved_Id()}:
@item
-@ref{11cc,,Has_End_Has_Identifier()}:
+@ref{16cb,,Has_End_Has_Identifier()}:
@item
-@ref{11cd,,Has_End_Has_Postponed()}:
+@ref{16cc,,Has_End_Has_Postponed()}:
@item
-@ref{11ce,,Has_Has_Label()}:
+@ref{16cd,,Has_Has_Label()}:
@item
-@ref{11cf,,Has_Has_Begin()}:
+@ref{16ce,,Has_Has_Begin()}:
@item
-@ref{11d0,,Has_Has_End()}:
+@ref{16cf,,Has_Has_End()}:
@item
-@ref{11d1,,Has_Has_Is()}:
+@ref{16d0,,Has_Has_Is()}:
@item
-@ref{11d2,,Has_Has_Pure()}:
+@ref{16d1,,Has_Has_Pure()}:
@item
-@ref{11d3,,Has_Has_Body()}:
+@ref{16d2,,Has_Has_Body()}:
@item
-@ref{11d4,,Has_Has_Parameter()}:
+@ref{16d3,,Has_Has_Parameter()}:
@item
-@ref{11d5,,Has_Has_Component()}:
+@ref{16d4,,Has_Has_Component()}:
@item
-@ref{11d6,,Has_Has_Identifier_List()}:
+@ref{16d5,,Has_Has_Identifier_List()}:
@item
-@ref{11d7,,Has_Has_Mode()}:
+@ref{16d6,,Has_Has_Mode()}:
@item
-@ref{11d8,,Has_Has_Class()}:
+@ref{16d7,,Has_Has_Class()}:
@item
-@ref{11d9,,Has_Has_Delay_Mechanism()}:
+@ref{16d8,,Has_Has_Delay_Mechanism()}:
@item
-@ref{11da,,Has_Suspend_Flag()}:
+@ref{16d9,,Has_Suspend_Flag()}:
@item
-@ref{11db,,Has_Is_Ref()}:
+@ref{16da,,Has_Is_Ref()}:
@item
-@ref{11dc,,Has_Is_Forward_Ref()}:
+@ref{16db,,Has_Is_Forward_Ref()}:
@item
-@ref{11dd,,Has_Psl_Property()}:
+@ref{16dc,,Has_Psl_Property()}:
@item
-@ref{11de,,Has_Psl_Sequence()}:
+@ref{16dd,,Has_Psl_Sequence()}:
@item
-@ref{11df,,Has_Psl_Declaration()}:
+@ref{16de,,Has_Psl_Declaration()}:
@item
-@ref{11e0,,Has_Psl_Expression()}:
+@ref{16df,,Has_Psl_Expression()}:
@item
-@ref{11e1,,Has_Psl_Boolean()}:
+@ref{16e0,,Has_Psl_Boolean()}:
@item
-@ref{11e2,,Has_PSL_Clock()}:
+@ref{16e1,,Has_PSL_Clock()}:
@item
-@ref{11e3,,Has_PSL_NFA()}:
+@ref{16e2,,Has_PSL_NFA()}:
@item
-@ref{11e4,,Has_PSL_Nbr_States()}:
+@ref{16e3,,Has_PSL_Nbr_States()}:
@item
-@ref{11e5,,Has_PSL_Clock_Sensitivity()}:
+@ref{16e4,,Has_PSL_Clock_Sensitivity()}:
@item
-@ref{11e6,,Has_PSL_EOS_Flag()}:
+@ref{16e5,,Has_PSL_EOS_Flag()}:
@item
-@ref{11e7,,Has_Count_Expression()}:
+@ref{16e6,,Has_PSL_Abort_Flag()}:
@item
-@ref{11e8,,Has_Clock_Expression()}:
+@ref{16e7,,Has_Count_Expression()}:
@item
-@ref{11e9,,Has_Default_Clock()}:
+@ref{16e8,,Has_Clock_Expression()}:
@item
-@ref{11ea,,Has_Foreign_Node()}:
+@ref{16e9,,Has_Default_Clock()}:
+
+@item
+@ref{16ea,,Has_Foreign_Node()}:
@end itemize
@c #-----------------------------------
@geindex types (class in pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types}@anchor{1071}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types}@anchor{156f}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.types (value)
-
An enumeration.
@subsubheading Inheritance
@@ -39095,176 +48263,175 @@ An enumeration.
@geindex Boolean (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Boolean}@anchor{11eb}
-@deffn {Attribute} Boolean = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Boolean}@anchor{16eb}
+@deffn {Attribute} Boolean = 0
@end deffn
@geindex Date_State_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Date_State_Type}@anchor{11ec}
-@deffn {Attribute} Date_State_Type = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Date_State_Type}@anchor{16ec}
+@deffn {Attribute} Date_State_Type = 1
@end deffn
@geindex Date_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Date_Type}@anchor{11ed}
-@deffn {Attribute} Date_Type = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Date_Type}@anchor{16ed}
+@deffn {Attribute} Date_Type = 2
@end deffn
@geindex Direction_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Direction_Type}@anchor{11ee}
-@deffn {Attribute} Direction_Type = 3
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Direction_Type}@anchor{16ee}
+@deffn {Attribute} Direction_Type = 3
@end deffn
@geindex File_Checksum_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types File_Checksum_Id}@anchor{11ef}
-@deffn {Attribute} File_Checksum_Id = 4
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types File_Checksum_Id}@anchor{16ef}
+@deffn {Attribute} File_Checksum_Id = 4
@end deffn
@geindex Fp64 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Fp64}@anchor{11f0}
-@deffn {Attribute} Fp64 = 5
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Fp64}@anchor{16f0}
+@deffn {Attribute} Fp64 = 5
@end deffn
@geindex Iir (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir}@anchor{11f1}
-@deffn {Attribute} Iir = 6
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir}@anchor{16f1}
+@deffn {Attribute} Iir = 6
@end deffn
@geindex Iir_All_Sensitized (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_All_Sensitized}@anchor{11f2}
-@deffn {Attribute} Iir_All_Sensitized = 7
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_All_Sensitized}@anchor{16f2}
+@deffn {Attribute} Iir_All_Sensitized = 7
@end deffn
@geindex Iir_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Constraint}@anchor{11f3}
-@deffn {Attribute} Iir_Constraint = 8
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Constraint}@anchor{16f3}
+@deffn {Attribute} Iir_Constraint = 8
@end deffn
@geindex Iir_Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Delay_Mechanism}@anchor{11f4}
-@deffn {Attribute} Iir_Delay_Mechanism = 9
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Delay_Mechanism}@anchor{16f4}
+@deffn {Attribute} Iir_Delay_Mechanism = 9
@end deffn
@geindex Iir_Flist (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Flist}@anchor{11f5}
-@deffn {Attribute} Iir_Flist = 10
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Flist}@anchor{16f5}
+@deffn {Attribute} Iir_Flist = 10
@end deffn
@geindex Iir_Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Force_Mode}@anchor{11f6}
-@deffn {Attribute} Iir_Force_Mode = 11
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Force_Mode}@anchor{16f6}
+@deffn {Attribute} Iir_Force_Mode = 11
@end deffn
@geindex Iir_Index32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Index32}@anchor{11f7}
-@deffn {Attribute} Iir_Index32 = 12
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Index32}@anchor{16f7}
+@deffn {Attribute} Iir_Index32 = 12
@end deffn
@geindex Iir_Int32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Int32}@anchor{11f8}
-@deffn {Attribute} Iir_Int32 = 13
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Int32}@anchor{16f8}
+@deffn {Attribute} Iir_Int32 = 13
@end deffn
@geindex Iir_List (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_List}@anchor{11f9}
-@deffn {Attribute} Iir_List = 14
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_List}@anchor{16f9}
+@deffn {Attribute} Iir_List = 14
@end deffn
@geindex Iir_Mode (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Mode}@anchor{11fa}
-@deffn {Attribute} Iir_Mode = 15
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Mode}@anchor{16fa}
+@deffn {Attribute} Iir_Mode = 15
@end deffn
@geindex Iir_Predefined_Functions (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Predefined_Functions}@anchor{11fb}
-@deffn {Attribute} Iir_Predefined_Functions = 16
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Predefined_Functions}@anchor{16fb}
+@deffn {Attribute} Iir_Predefined_Functions = 16
@end deffn
@geindex Iir_Pure_State (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Pure_State}@anchor{11fc}
-@deffn {Attribute} Iir_Pure_State = 17
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Pure_State}@anchor{16fc}
+@deffn {Attribute} Iir_Pure_State = 17
@end deffn
@geindex Iir_Signal_Kind (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Signal_Kind}@anchor{11fd}
-@deffn {Attribute} Iir_Signal_Kind = 18
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Signal_Kind}@anchor{16fd}
+@deffn {Attribute} Iir_Signal_Kind = 18
@end deffn
@geindex Iir_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Staticness}@anchor{11fe}
-@deffn {Attribute} Iir_Staticness = 19
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Iir_Staticness}@anchor{16fe}
+@deffn {Attribute} Iir_Staticness = 19
@end deffn
@geindex Int32 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Int32}@anchor{11ff}
-@deffn {Attribute} Int32 = 20
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Int32}@anchor{16ff}
+@deffn {Attribute} Int32 = 20
@end deffn
@geindex Int64 (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Int64}@anchor{1200}
-@deffn {Attribute} Int64 = 21
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Int64}@anchor{1700}
+@deffn {Attribute} Int64 = 21
@end deffn
@geindex Name_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Name_Id}@anchor{1201}
-@deffn {Attribute} Name_Id = 22
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Name_Id}@anchor{1701}
+@deffn {Attribute} Name_Id = 22
@end deffn
@geindex Number_Base_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Number_Base_Type}@anchor{1202}
-@deffn {Attribute} Number_Base_Type = 23
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Number_Base_Type}@anchor{1702}
+@deffn {Attribute} Number_Base_Type = 23
@end deffn
@geindex PSL_NFA (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types PSL_NFA}@anchor{1203}
-@deffn {Attribute} PSL_NFA = 24
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types PSL_NFA}@anchor{1703}
+@deffn {Attribute} PSL_NFA = 24
@end deffn
@geindex PSL_Node (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types PSL_Node}@anchor{1204}
-@deffn {Attribute} PSL_Node = 25
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types PSL_Node}@anchor{1704}
+@deffn {Attribute} PSL_Node = 25
@end deffn
@geindex Scalar_Size (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Scalar_Size}@anchor{1205}
-@deffn {Attribute} Scalar_Size = 26
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Scalar_Size}@anchor{1705}
+@deffn {Attribute} Scalar_Size = 26
@end deffn
@geindex Source_File_Entry (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Source_File_Entry}@anchor{1206}
-@deffn {Attribute} Source_File_Entry = 27
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Source_File_Entry}@anchor{1706}
+@deffn {Attribute} Source_File_Entry = 27
@end deffn
@geindex Source_Ptr (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Source_Ptr}@anchor{1207}
-@deffn {Attribute} Source_Ptr = 28
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Source_Ptr}@anchor{1707}
+@deffn {Attribute} Source_Ptr = 28
@end deffn
@geindex String8_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types String8_Id}@anchor{1208}
-@deffn {Attribute} String8_Id = 29
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types String8_Id}@anchor{1708}
+@deffn {Attribute} String8_Id = 29
@end deffn
@geindex Time_Stamp_Id (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Time_Stamp_Id}@anchor{1209}
-@deffn {Attribute} Time_Stamp_Id = 30
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Time_Stamp_Id}@anchor{1709}
+@deffn {Attribute} Time_Stamp_Id = 30
@end deffn
@geindex Token_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Token_Type}@anchor{120a}
-@deffn {Attribute} Token_Type = 31
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Token_Type}@anchor{170a}
+@deffn {Attribute} Token_Type = 31
@end deffn
@geindex Tri_State_Type (pyGHDL.libghdl.vhdl.nodes_meta.types attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Tri_State_Type}@anchor{120b}
-@deffn {Attribute} Tri_State_Type = 32
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta types Tri_State_Type}@anchor{170b}
+@deffn {Attribute} Tri_State_Type = 32
@end deffn
@end deffn
@geindex Attr (class in pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr}@anchor{1072}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr}@anchor{1570}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.Attr (value)
-
An enumeration.
@subsubheading Inheritance
@@ -39275,56 +48442,55 @@ An enumeration.
@geindex ANone (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr ANone}@anchor{120c}
-@deffn {Attribute} ANone = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr ANone}@anchor{170c}
+@deffn {Attribute} ANone = 0
@end deffn
@geindex Chain (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Chain}@anchor{120d}
-@deffn {Attribute} Chain = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Chain}@anchor{170d}
+@deffn {Attribute} Chain = 1
@end deffn
@geindex Chain_Next (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Chain_Next}@anchor{120e}
-@deffn {Attribute} Chain_Next = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Chain_Next}@anchor{170e}
+@deffn {Attribute} Chain_Next = 2
@end deffn
@geindex Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Forward_Ref}@anchor{120f}
-@deffn {Attribute} Forward_Ref = 3
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Forward_Ref}@anchor{170f}
+@deffn {Attribute} Forward_Ref = 3
@end deffn
@geindex Maybe_Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Maybe_Forward_Ref}@anchor{1210}
-@deffn {Attribute} Maybe_Forward_Ref = 4
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Maybe_Forward_Ref}@anchor{1710}
+@deffn {Attribute} Maybe_Forward_Ref = 4
@end deffn
@geindex Maybe_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Maybe_Ref}@anchor{1211}
-@deffn {Attribute} Maybe_Ref = 5
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Maybe_Ref}@anchor{1711}
+@deffn {Attribute} Maybe_Ref = 5
@end deffn
@geindex Of_Maybe_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Of_Maybe_Ref}@anchor{1212}
-@deffn {Attribute} Of_Maybe_Ref = 6
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Of_Maybe_Ref}@anchor{1712}
+@deffn {Attribute} Of_Maybe_Ref = 6
@end deffn
@geindex Of_Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Of_Ref}@anchor{1213}
-@deffn {Attribute} Of_Ref = 7
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Of_Ref}@anchor{1713}
+@deffn {Attribute} Of_Ref = 7
@end deffn
@geindex Ref (pyGHDL.libghdl.vhdl.nodes_meta.Attr attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Ref}@anchor{1214}
-@deffn {Attribute} Ref = 8
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Attr Ref}@anchor{1714}
+@deffn {Attribute} Ref = 8
@end deffn
@end deffn
@geindex fields (class in pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields}@anchor{1073}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields}@anchor{1571}
@deffn {Class} pyGHDL.libghdl.vhdl.nodes_meta.fields (value)
-
An enumeration.
@subsubheading Inheritance
@@ -39335,1853 +48501,1863 @@ An enumeration.
@geindex First_Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields First_Design_Unit}@anchor{1215}
-@deffn {Attribute} First_Design_Unit = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields First_Design_Unit}@anchor{1715}
+@deffn {Attribute} First_Design_Unit = 0
@end deffn
@geindex Last_Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Last_Design_Unit}@anchor{1216}
-@deffn {Attribute} Last_Design_Unit = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Last_Design_Unit}@anchor{1716}
+@deffn {Attribute} Last_Design_Unit = 1
@end deffn
@geindex Library_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Declaration}@anchor{1217}
-@deffn {Attribute} Library_Declaration = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Declaration}@anchor{1717}
+@deffn {Attribute} Library_Declaration = 2
@end deffn
@geindex File_Checksum (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Checksum}@anchor{1218}
-@deffn {Attribute} File_Checksum = 3
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Checksum}@anchor{1718}
+@deffn {Attribute} File_Checksum = 3
@end deffn
@geindex Analysis_Time_Stamp (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Analysis_Time_Stamp}@anchor{1219}
-@deffn {Attribute} Analysis_Time_Stamp = 4
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Analysis_Time_Stamp}@anchor{1719}
+@deffn {Attribute} Analysis_Time_Stamp = 4
@end deffn
@geindex Design_File_Source (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Source}@anchor{121a}
-@deffn {Attribute} Design_File_Source = 5
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Source}@anchor{171a}
+@deffn {Attribute} Design_File_Source = 5
@end deffn
@geindex Library (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library}@anchor{121b}
-@deffn {Attribute} Library = 6
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library}@anchor{171b}
+@deffn {Attribute} Library = 6
@end deffn
@geindex File_Dependence_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Dependence_List}@anchor{121c}
-@deffn {Attribute} File_Dependence_List = 7
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Dependence_List}@anchor{171c}
+@deffn {Attribute} File_Dependence_List = 7
@end deffn
@geindex Design_File_Filename (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Filename}@anchor{121d}
-@deffn {Attribute} Design_File_Filename = 8
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Filename}@anchor{171d}
+@deffn {Attribute} Design_File_Filename = 8
@end deffn
@geindex Design_File_Directory (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Directory}@anchor{121e}
-@deffn {Attribute} Design_File_Directory = 9
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Directory}@anchor{171e}
+@deffn {Attribute} Design_File_Directory = 9
@end deffn
@geindex Design_File (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File}@anchor{121f}
-@deffn {Attribute} Design_File = 10
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File}@anchor{171f}
+@deffn {Attribute} Design_File = 10
@end deffn
@geindex Design_File_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Chain}@anchor{1220}
-@deffn {Attribute} Design_File_Chain = 11
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_File_Chain}@anchor{1720}
+@deffn {Attribute} Design_File_Chain = 11
@end deffn
@geindex Library_Directory (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Directory}@anchor{1221}
-@deffn {Attribute} Library_Directory = 12
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Directory}@anchor{1721}
+@deffn {Attribute} Library_Directory = 12
@end deffn
@geindex Date (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Date}@anchor{1222}
-@deffn {Attribute} Date = 13
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Date}@anchor{1722}
+@deffn {Attribute} Date = 13
@end deffn
@geindex Context_Items (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Context_Items}@anchor{1223}
-@deffn {Attribute} Context_Items = 14
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Context_Items}@anchor{1723}
+@deffn {Attribute} Context_Items = 14
@end deffn
@geindex Dependence_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Dependence_List}@anchor{1224}
-@deffn {Attribute} Dependence_List = 15
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Dependence_List}@anchor{1724}
+@deffn {Attribute} Dependence_List = 15
@end deffn
@geindex Analysis_Checks_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Analysis_Checks_List}@anchor{1225}
-@deffn {Attribute} Analysis_Checks_List = 16
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Analysis_Checks_List}@anchor{1725}
+@deffn {Attribute} Analysis_Checks_List = 16
@end deffn
@geindex Date_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Date_State}@anchor{1226}
-@deffn {Attribute} Date_State = 17
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Date_State}@anchor{1726}
+@deffn {Attribute} Date_State = 17
@end deffn
@geindex Guarded_Target_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guarded_Target_State}@anchor{1227}
-@deffn {Attribute} Guarded_Target_State = 18
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guarded_Target_State}@anchor{1727}
+@deffn {Attribute} Guarded_Target_State = 18
@end deffn
@geindex Library_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Unit}@anchor{1228}
-@deffn {Attribute} Library_Unit = 19
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Library_Unit}@anchor{1728}
+@deffn {Attribute} Library_Unit = 19
@end deffn
@geindex Hash_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hash_Chain}@anchor{1229}
-@deffn {Attribute} Hash_Chain = 20
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hash_Chain}@anchor{1729}
+@deffn {Attribute} Hash_Chain = 20
@end deffn
@geindex Design_Unit_Source_Pos (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Pos}@anchor{122a}
-@deffn {Attribute} Design_Unit_Source_Pos = 21
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Pos}@anchor{172a}
+@deffn {Attribute} Design_Unit_Source_Pos = 21
@end deffn
@geindex Design_Unit_Source_Line (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Line}@anchor{122b}
-@deffn {Attribute} Design_Unit_Source_Line = 22
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Line}@anchor{172b}
+@deffn {Attribute} Design_Unit_Source_Line = 22
@end deffn
@geindex Design_Unit_Source_Col (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Col}@anchor{122c}
-@deffn {Attribute} Design_Unit_Source_Col = 23
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit_Source_Col}@anchor{172c}
+@deffn {Attribute} Design_Unit_Source_Col = 23
@end deffn
@geindex Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Value}@anchor{122d}
-@deffn {Attribute} Value = 24
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Value}@anchor{172d}
+@deffn {Attribute} Value = 24
@end deffn
@geindex Enum_Pos (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Enum_Pos}@anchor{122e}
-@deffn {Attribute} Enum_Pos = 25
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Enum_Pos}@anchor{172e}
+@deffn {Attribute} Enum_Pos = 25
@end deffn
@geindex Physical_Literal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Physical_Literal}@anchor{122f}
-@deffn {Attribute} Physical_Literal = 26
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Physical_Literal}@anchor{172f}
+@deffn {Attribute} Physical_Literal = 26
@end deffn
@geindex Fp_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Fp_Value}@anchor{1230}
-@deffn {Attribute} Fp_Value = 27
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Fp_Value}@anchor{1730}
+@deffn {Attribute} Fp_Value = 27
@end deffn
@geindex Simple_Aggregate_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Aggregate_List}@anchor{1231}
-@deffn {Attribute} Simple_Aggregate_List = 28
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Aggregate_List}@anchor{1731}
+@deffn {Attribute} Simple_Aggregate_List = 28
@end deffn
@geindex String8_Id (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields String8_Id}@anchor{1232}
-@deffn {Attribute} String8_Id = 29
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields String8_Id}@anchor{1732}
+@deffn {Attribute} String8_Id = 29
@end deffn
@geindex String_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields String_Length}@anchor{1233}
-@deffn {Attribute} String_Length = 30
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields String_Length}@anchor{1733}
+@deffn {Attribute} String_Length = 30
@end deffn
@geindex Bit_String_Base (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Bit_String_Base}@anchor{1234}
-@deffn {Attribute} Bit_String_Base = 31
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Bit_String_Base}@anchor{1734}
+@deffn {Attribute} Bit_String_Base = 31
@end deffn
@geindex Has_Signed (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Signed}@anchor{1235}
-@deffn {Attribute} Has_Signed = 32
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Signed}@anchor{1735}
+@deffn {Attribute} Has_Signed = 32
@end deffn
@geindex Has_Sign (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Sign}@anchor{1236}
-@deffn {Attribute} Has_Sign = 33
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Sign}@anchor{1736}
+@deffn {Attribute} Has_Sign = 33
@end deffn
@geindex Has_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Length}@anchor{1237}
-@deffn {Attribute} Has_Length = 34
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Length}@anchor{1737}
+@deffn {Attribute} Has_Length = 34
@end deffn
@geindex Literal_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Length}@anchor{1238}
-@deffn {Attribute} Literal_Length = 35
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Length}@anchor{1738}
+@deffn {Attribute} Literal_Length = 35
@end deffn
@geindex Literal_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Origin}@anchor{1239}
-@deffn {Attribute} Literal_Origin = 36
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Origin}@anchor{1739}
+@deffn {Attribute} Literal_Origin = 36
@end deffn
@geindex Range_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Range_Origin}@anchor{123a}
-@deffn {Attribute} Range_Origin = 37
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Range_Origin}@anchor{173a}
+@deffn {Attribute} Range_Origin = 37
@end deffn
@geindex Literal_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Subtype}@anchor{123b}
-@deffn {Attribute} Literal_Subtype = 38
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Literal_Subtype}@anchor{173b}
+@deffn {Attribute} Literal_Subtype = 38
@end deffn
@geindex Allocator_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Allocator_Subtype}@anchor{123c}
-@deffn {Attribute} Allocator_Subtype = 39
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Allocator_Subtype}@anchor{173c}
+@deffn {Attribute} Allocator_Subtype = 39
@end deffn
@geindex Entity_Class (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Class}@anchor{123d}
-@deffn {Attribute} Entity_Class = 40
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Class}@anchor{173d}
+@deffn {Attribute} Entity_Class = 40
@end deffn
@geindex Entity_Name_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Name_List}@anchor{123e}
-@deffn {Attribute} Entity_Name_List = 41
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Name_List}@anchor{173e}
+@deffn {Attribute} Entity_Name_List = 41
@end deffn
@geindex Attribute_Designator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Designator}@anchor{123f}
-@deffn {Attribute} Attribute_Designator = 42
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Designator}@anchor{173f}
+@deffn {Attribute} Attribute_Designator = 42
@end deffn
@geindex Attribute_Specification_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Specification_Chain}@anchor{1240}
-@deffn {Attribute} Attribute_Specification_Chain = 43
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Specification_Chain}@anchor{1740}
+@deffn {Attribute} Attribute_Specification_Chain = 43
@end deffn
@geindex Attribute_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Specification}@anchor{1241}
-@deffn {Attribute} Attribute_Specification = 44
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Specification}@anchor{1741}
+@deffn {Attribute} Attribute_Specification = 44
@end deffn
@geindex Static_Attribute_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Static_Attribute_Flag}@anchor{1242}
-@deffn {Attribute} Static_Attribute_Flag = 45
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Static_Attribute_Flag}@anchor{1742}
+@deffn {Attribute} Static_Attribute_Flag = 45
@end deffn
@geindex Signal_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_List}@anchor{1243}
-@deffn {Attribute} Signal_List = 46
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_List}@anchor{1743}
+@deffn {Attribute} Signal_List = 46
@end deffn
@geindex Quantity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Quantity_List}@anchor{1244}
-@deffn {Attribute} Quantity_List = 47
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Quantity_List}@anchor{1744}
+@deffn {Attribute} Quantity_List = 47
@end deffn
@geindex Designated_Entity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Entity}@anchor{1245}
-@deffn {Attribute} Designated_Entity = 48
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Entity}@anchor{1745}
+@deffn {Attribute} Designated_Entity = 48
@end deffn
@geindex Formal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Formal}@anchor{1246}
-@deffn {Attribute} Formal = 49
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Formal}@anchor{1746}
+@deffn {Attribute} Formal = 49
@end deffn
@geindex Actual (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual}@anchor{1247}
-@deffn {Attribute} Actual = 50
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual}@anchor{1747}
+@deffn {Attribute} Actual = 50
@end deffn
@geindex Actual_Conversion (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Conversion}@anchor{1248}
-@deffn {Attribute} Actual_Conversion = 51
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Conversion}@anchor{1748}
+@deffn {Attribute} Actual_Conversion = 51
@end deffn
@geindex Formal_Conversion (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Formal_Conversion}@anchor{1249}
-@deffn {Attribute} Formal_Conversion = 52
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Formal_Conversion}@anchor{1749}
+@deffn {Attribute} Formal_Conversion = 52
@end deffn
@geindex Whole_Association_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Whole_Association_Flag}@anchor{124a}
-@deffn {Attribute} Whole_Association_Flag = 53
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Whole_Association_Flag}@anchor{174a}
+@deffn {Attribute} Whole_Association_Flag = 53
@end deffn
@geindex Collapse_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Collapse_Signal_Flag}@anchor{124b}
-@deffn {Attribute} Collapse_Signal_Flag = 54
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Collapse_Signal_Flag}@anchor{174b}
+@deffn {Attribute} Collapse_Signal_Flag = 54
@end deffn
@geindex Artificial_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Artificial_Flag}@anchor{124c}
-@deffn {Attribute} Artificial_Flag = 55
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Artificial_Flag}@anchor{174c}
+@deffn {Attribute} Artificial_Flag = 55
@end deffn
@geindex Open_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Open_Flag}@anchor{124d}
-@deffn {Attribute} Open_Flag = 56
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Open_Flag}@anchor{174d}
+@deffn {Attribute} Open_Flag = 56
@end deffn
@geindex After_Drivers_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields After_Drivers_Flag}@anchor{124e}
-@deffn {Attribute} After_Drivers_Flag = 57
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields After_Drivers_Flag}@anchor{174e}
+@deffn {Attribute} After_Drivers_Flag = 57
@end deffn
@geindex We_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields We_Value}@anchor{124f}
-@deffn {Attribute} We_Value = 58
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields We_Value}@anchor{174f}
+@deffn {Attribute} We_Value = 58
@end deffn
@geindex Time (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Time}@anchor{1250}
-@deffn {Attribute} Time = 59
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Time}@anchor{1750}
+@deffn {Attribute} Time = 59
@end deffn
@geindex Associated_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Expr}@anchor{1251}
-@deffn {Attribute} Associated_Expr = 60
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Expr}@anchor{1751}
+@deffn {Attribute} Associated_Expr = 60
@end deffn
@geindex Associated_Block (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Block}@anchor{1252}
-@deffn {Attribute} Associated_Block = 61
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Block}@anchor{1752}
+@deffn {Attribute} Associated_Block = 61
@end deffn
@geindex Associated_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Chain}@anchor{1253}
-@deffn {Attribute} Associated_Chain = 62
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Chain}@anchor{1753}
+@deffn {Attribute} Associated_Chain = 62
@end deffn
@geindex Choice_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Name}@anchor{1254}
-@deffn {Attribute} Choice_Name = 63
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Name}@anchor{1754}
+@deffn {Attribute} Choice_Name = 63
@end deffn
@geindex Choice_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Expression}@anchor{1255}
-@deffn {Attribute} Choice_Expression = 64
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Expression}@anchor{1755}
+@deffn {Attribute} Choice_Expression = 64
@end deffn
@geindex Choice_Range (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Range}@anchor{1256}
-@deffn {Attribute} Choice_Range = 65
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Range}@anchor{1756}
+@deffn {Attribute} Choice_Range = 65
@end deffn
@geindex Same_Alternative_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Same_Alternative_Flag}@anchor{1257}
-@deffn {Attribute} Same_Alternative_Flag = 66
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Same_Alternative_Flag}@anchor{1757}
+@deffn {Attribute} Same_Alternative_Flag = 66
@end deffn
@geindex Element_Type_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Type_Flag}@anchor{1258}
-@deffn {Attribute} Element_Type_Flag = 67
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Type_Flag}@anchor{1758}
+@deffn {Attribute} Element_Type_Flag = 67
@end deffn
@geindex Architecture (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Architecture}@anchor{1259}
-@deffn {Attribute} Architecture = 68
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Architecture}@anchor{1759}
+@deffn {Attribute} Architecture = 68
@end deffn
@geindex Block_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Specification}@anchor{125a}
-@deffn {Attribute} Block_Specification = 69
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Specification}@anchor{175a}
+@deffn {Attribute} Block_Specification = 69
@end deffn
@geindex Prev_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Prev_Block_Configuration}@anchor{125b}
-@deffn {Attribute} Prev_Block_Configuration = 70
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Prev_Block_Configuration}@anchor{175b}
+@deffn {Attribute} Prev_Block_Configuration = 70
@end deffn
@geindex Configuration_Item_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Item_Chain}@anchor{125c}
-@deffn {Attribute} Configuration_Item_Chain = 71
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Item_Chain}@anchor{175c}
+@deffn {Attribute} Configuration_Item_Chain = 71
@end deffn
@geindex Attribute_Value_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Value_Chain}@anchor{125d}
-@deffn {Attribute} Attribute_Value_Chain = 72
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Value_Chain}@anchor{175d}
+@deffn {Attribute} Attribute_Value_Chain = 72
@end deffn
@geindex Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Spec_Chain}@anchor{125e}
-@deffn {Attribute} Spec_Chain = 73
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Spec_Chain}@anchor{175e}
+@deffn {Attribute} Spec_Chain = 73
@end deffn
@geindex Value_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Value_Chain}@anchor{125f}
-@deffn {Attribute} Value_Chain = 74
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Value_Chain}@anchor{175f}
+@deffn {Attribute} Value_Chain = 74
@end deffn
@geindex Attribute_Value_Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Value_Spec_Chain}@anchor{1260}
-@deffn {Attribute} Attribute_Value_Spec_Chain = 75
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Value_Spec_Chain}@anchor{1760}
+@deffn {Attribute} Attribute_Value_Spec_Chain = 75
@end deffn
@geindex Entity_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Name}@anchor{1261}
-@deffn {Attribute} Entity_Name = 76
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Name}@anchor{1761}
+@deffn {Attribute} Entity_Name = 76
@end deffn
@geindex Package (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package}@anchor{1262}
-@deffn {Attribute} Package = 77
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package}@anchor{1762}
+@deffn {Attribute} Package = 77
@end deffn
@geindex Package_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Body}@anchor{1263}
-@deffn {Attribute} Package_Body = 78
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Body}@anchor{1763}
+@deffn {Attribute} Package_Body = 78
@end deffn
@geindex Instance_Package_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instance_Package_Body}@anchor{1264}
-@deffn {Attribute} Instance_Package_Body = 79
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instance_Package_Body}@anchor{1764}
+@deffn {Attribute} Instance_Package_Body = 79
@end deffn
@geindex Need_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Need_Body}@anchor{1265}
-@deffn {Attribute} Need_Body = 80
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Need_Body}@anchor{1765}
+@deffn {Attribute} Need_Body = 80
@end deffn
@geindex Macro_Expanded_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Macro_Expanded_Flag}@anchor{1266}
-@deffn {Attribute} Macro_Expanded_Flag = 81
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Macro_Expanded_Flag}@anchor{1766}
+@deffn {Attribute} Macro_Expanded_Flag = 81
@end deffn
@geindex Need_Instance_Bodies (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Need_Instance_Bodies}@anchor{1267}
-@deffn {Attribute} Need_Instance_Bodies = 82
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Need_Instance_Bodies}@anchor{1767}
+@deffn {Attribute} Need_Instance_Bodies = 82
@end deffn
@geindex Hierarchical_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hierarchical_Name}@anchor{1268}
-@deffn {Attribute} Hierarchical_Name = 83
-@end deffn
-
-@geindex Inherit_Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Inherit_Spec_Chain}@anchor{1269}
-@deffn {Attribute} Inherit_Spec_Chain = 84
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hierarchical_Name}@anchor{1768}
+@deffn {Attribute} Hierarchical_Name = 83
@end deffn
@geindex Vunit_Item_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Vunit_Item_Chain}@anchor{126a}
-@deffn {Attribute} Vunit_Item_Chain = 85
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Vunit_Item_Chain}@anchor{1769}
+@deffn {Attribute} Vunit_Item_Chain = 84
@end deffn
@geindex Bound_Vunit_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Bound_Vunit_Chain}@anchor{126b}
-@deffn {Attribute} Bound_Vunit_Chain = 86
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Bound_Vunit_Chain}@anchor{176a}
+@deffn {Attribute} Bound_Vunit_Chain = 85
@end deffn
@geindex Verification_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Verification_Block_Configuration}@anchor{126c}
-@deffn {Attribute} Verification_Block_Configuration = 87
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Verification_Block_Configuration}@anchor{176b}
+@deffn {Attribute} Verification_Block_Configuration = 86
@end deffn
@geindex Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Configuration}@anchor{126d}
-@deffn {Attribute} Block_Configuration = 88
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Configuration}@anchor{176c}
+@deffn {Attribute} Block_Configuration = 87
@end deffn
@geindex Concurrent_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Concurrent_Statement_Chain}@anchor{126e}
-@deffn {Attribute} Concurrent_Statement_Chain = 89
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Concurrent_Statement_Chain}@anchor{176d}
+@deffn {Attribute} Concurrent_Statement_Chain = 88
@end deffn
@geindex Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Chain}@anchor{126f}
-@deffn {Attribute} Chain = 90
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Chain}@anchor{176e}
+@deffn {Attribute} Chain = 89
@end deffn
@geindex Port_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Port_Chain}@anchor{1270}
-@deffn {Attribute} Port_Chain = 91
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Port_Chain}@anchor{176f}
+@deffn {Attribute} Port_Chain = 90
@end deffn
@geindex Generic_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generic_Chain}@anchor{1271}
-@deffn {Attribute} Generic_Chain = 92
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generic_Chain}@anchor{1770}
+@deffn {Attribute} Generic_Chain = 91
@end deffn
@geindex Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type}@anchor{1272}
-@deffn {Attribute} Type = 93
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type}@anchor{1771}
+@deffn {Attribute} Type = 92
@end deffn
@geindex Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Indication}@anchor{1273}
-@deffn {Attribute} Subtype_Indication = 94
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Indication}@anchor{1772}
+@deffn {Attribute} Subtype_Indication = 93
@end deffn
@geindex Discrete_Range (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Discrete_Range}@anchor{1274}
-@deffn {Attribute} Discrete_Range = 95
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Discrete_Range}@anchor{1773}
+@deffn {Attribute} Discrete_Range = 94
@end deffn
@geindex Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Definition}@anchor{1275}
-@deffn {Attribute} Type_Definition = 96
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Definition}@anchor{1774}
+@deffn {Attribute} Type_Definition = 95
@end deffn
@geindex Subtype_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Definition}@anchor{1276}
-@deffn {Attribute} Subtype_Definition = 97
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Definition}@anchor{1775}
+@deffn {Attribute} Subtype_Definition = 96
@end deffn
@geindex Incomplete_Type_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Incomplete_Type_Declaration}@anchor{1277}
-@deffn {Attribute} Incomplete_Type_Declaration = 98
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Incomplete_Type_Declaration}@anchor{1776}
+@deffn {Attribute} Incomplete_Type_Declaration = 97
@end deffn
@geindex Interface_Type_Subprograms (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Interface_Type_Subprograms}@anchor{1278}
-@deffn {Attribute} Interface_Type_Subprograms = 99
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Interface_Type_Subprograms}@anchor{1777}
+@deffn {Attribute} Interface_Type_Subprograms = 98
@end deffn
@geindex Nature_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Definition}@anchor{1279}
-@deffn {Attribute} Nature_Definition = 100
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Definition}@anchor{1778}
+@deffn {Attribute} Nature_Definition = 99
@end deffn
@geindex Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature}@anchor{127a}
-@deffn {Attribute} Nature = 101
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature}@anchor{1779}
+@deffn {Attribute} Nature = 100
@end deffn
@geindex Subnature_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subnature_Indication}@anchor{127b}
-@deffn {Attribute} Subnature_Indication = 102
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subnature_Indication}@anchor{177a}
+@deffn {Attribute} Subnature_Indication = 101
@end deffn
@geindex Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Mode}@anchor{127c}
-@deffn {Attribute} Mode = 103
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Mode}@anchor{177b}
+@deffn {Attribute} Mode = 102
@end deffn
@geindex Guarded_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guarded_Signal_Flag}@anchor{127d}
-@deffn {Attribute} Guarded_Signal_Flag = 104
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guarded_Signal_Flag}@anchor{177c}
+@deffn {Attribute} Guarded_Signal_Flag = 103
@end deffn
@geindex Signal_Kind (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Kind}@anchor{127e}
-@deffn {Attribute} Signal_Kind = 105
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Kind}@anchor{177d}
+@deffn {Attribute} Signal_Kind = 104
@end deffn
@geindex Base_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Base_Name}@anchor{127f}
-@deffn {Attribute} Base_Name = 106
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Base_Name}@anchor{177e}
+@deffn {Attribute} Base_Name = 105
@end deffn
@geindex Interface_Declaration_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Interface_Declaration_Chain}@anchor{1280}
-@deffn {Attribute} Interface_Declaration_Chain = 107
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Interface_Declaration_Chain}@anchor{177f}
+@deffn {Attribute} Interface_Declaration_Chain = 106
@end deffn
@geindex Subprogram_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Specification}@anchor{1281}
-@deffn {Attribute} Subprogram_Specification = 108
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Specification}@anchor{1780}
+@deffn {Attribute} Subprogram_Specification = 107
@end deffn
@geindex Sequential_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sequential_Statement_Chain}@anchor{1282}
-@deffn {Attribute} Sequential_Statement_Chain = 109
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sequential_Statement_Chain}@anchor{1781}
+@deffn {Attribute} Sequential_Statement_Chain = 108
@end deffn
@geindex Simultaneous_Statement_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Statement_Chain}@anchor{1283}
-@deffn {Attribute} Simultaneous_Statement_Chain = 110
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Statement_Chain}@anchor{1782}
+@deffn {Attribute} Simultaneous_Statement_Chain = 109
@end deffn
@geindex Subprogram_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Body}@anchor{1284}
-@deffn {Attribute} Subprogram_Body = 111
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Body}@anchor{1783}
+@deffn {Attribute} Subprogram_Body = 110
@end deffn
@geindex Overload_Number (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Overload_Number}@anchor{1285}
-@deffn {Attribute} Overload_Number = 112
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Overload_Number}@anchor{1784}
+@deffn {Attribute} Overload_Number = 111
@end deffn
@geindex Subprogram_Depth (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Depth}@anchor{1286}
-@deffn {Attribute} Subprogram_Depth = 113
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Depth}@anchor{1785}
+@deffn {Attribute} Subprogram_Depth = 112
@end deffn
@geindex Subprogram_Hash (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Hash}@anchor{1287}
-@deffn {Attribute} Subprogram_Hash = 114
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Hash}@anchor{1786}
+@deffn {Attribute} Subprogram_Hash = 113
@end deffn
@geindex Impure_Depth (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Impure_Depth}@anchor{1288}
-@deffn {Attribute} Impure_Depth = 115
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Impure_Depth}@anchor{1787}
+@deffn {Attribute} Impure_Depth = 114
@end deffn
@geindex Return_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Return_Type}@anchor{1289}
-@deffn {Attribute} Return_Type = 116
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Return_Type}@anchor{1788}
+@deffn {Attribute} Return_Type = 115
@end deffn
@geindex Implicit_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implicit_Definition}@anchor{128a}
-@deffn {Attribute} Implicit_Definition = 117
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implicit_Definition}@anchor{1789}
+@deffn {Attribute} Implicit_Definition = 116
@end deffn
@geindex Uninstantiated_Subprogram_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Subprogram_Name}@anchor{128b}
-@deffn {Attribute} Uninstantiated_Subprogram_Name = 118
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Subprogram_Name}@anchor{178a}
+@deffn {Attribute} Uninstantiated_Subprogram_Name = 117
@end deffn
@geindex Default_Value (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Value}@anchor{128c}
-@deffn {Attribute} Default_Value = 119
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Value}@anchor{178b}
+@deffn {Attribute} Default_Value = 118
@end deffn
@geindex Deferred_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Deferred_Declaration}@anchor{128d}
-@deffn {Attribute} Deferred_Declaration = 120
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Deferred_Declaration}@anchor{178c}
+@deffn {Attribute} Deferred_Declaration = 119
@end deffn
@geindex Deferred_Declaration_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Deferred_Declaration_Flag}@anchor{128e}
-@deffn {Attribute} Deferred_Declaration_Flag = 121
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Deferred_Declaration_Flag}@anchor{178d}
+@deffn {Attribute} Deferred_Declaration_Flag = 120
@end deffn
@geindex Shared_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Shared_Flag}@anchor{128f}
-@deffn {Attribute} Shared_Flag = 122
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Shared_Flag}@anchor{178e}
+@deffn {Attribute} Shared_Flag = 121
@end deffn
@geindex Design_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit}@anchor{1290}
-@deffn {Attribute} Design_Unit = 123
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Design_Unit}@anchor{178f}
+@deffn {Attribute} Design_Unit = 122
@end deffn
@geindex Block_Statement (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Statement}@anchor{1291}
-@deffn {Attribute} Block_Statement = 124
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Statement}@anchor{1790}
+@deffn {Attribute} Block_Statement = 123
@end deffn
@geindex Signal_Driver (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Driver}@anchor{1292}
-@deffn {Attribute} Signal_Driver = 125
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Driver}@anchor{1791}
+@deffn {Attribute} Signal_Driver = 124
@end deffn
@geindex Declaration_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Declaration_Chain}@anchor{1293}
-@deffn {Attribute} Declaration_Chain = 126
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Declaration_Chain}@anchor{1792}
+@deffn {Attribute} Declaration_Chain = 125
@end deffn
@geindex File_Logical_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Logical_Name}@anchor{1294}
-@deffn {Attribute} File_Logical_Name = 127
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Logical_Name}@anchor{1793}
+@deffn {Attribute} File_Logical_Name = 126
@end deffn
@geindex File_Open_Kind (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Open_Kind}@anchor{1295}
-@deffn {Attribute} File_Open_Kind = 128
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Open_Kind}@anchor{1794}
+@deffn {Attribute} File_Open_Kind = 127
@end deffn
@geindex Element_Position (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Position}@anchor{1296}
-@deffn {Attribute} Element_Position = 129
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Position}@anchor{1795}
+@deffn {Attribute} Element_Position = 128
@end deffn
@geindex Use_Clause_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Use_Clause_Chain}@anchor{1297}
-@deffn {Attribute} Use_Clause_Chain = 130
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Use_Clause_Chain}@anchor{1796}
+@deffn {Attribute} Use_Clause_Chain = 129
@end deffn
@geindex Context_Reference_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Context_Reference_Chain}@anchor{1298}
-@deffn {Attribute} Context_Reference_Chain = 131
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Context_Reference_Chain}@anchor{1797}
+@deffn {Attribute} Context_Reference_Chain = 130
+@end deffn
+
+@geindex Inherit_Spec_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Inherit_Spec_Chain}@anchor{1798}
+@deffn {Attribute} Inherit_Spec_Chain = 131
@end deffn
@geindex Selected_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selected_Name}@anchor{1299}
-@deffn {Attribute} Selected_Name = 132
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selected_Name}@anchor{1799}
+@deffn {Attribute} Selected_Name = 132
@end deffn
@geindex Type_Declarator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Declarator}@anchor{129a}
-@deffn {Attribute} Type_Declarator = 133
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Declarator}@anchor{179a}
+@deffn {Attribute} Type_Declarator = 133
@end deffn
@geindex Complete_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Complete_Type_Definition}@anchor{129b}
-@deffn {Attribute} Complete_Type_Definition = 134
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Complete_Type_Definition}@anchor{179b}
+@deffn {Attribute} Complete_Type_Definition = 134
@end deffn
@geindex Incomplete_Type_Ref_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Incomplete_Type_Ref_Chain}@anchor{129c}
-@deffn {Attribute} Incomplete_Type_Ref_Chain = 135
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Incomplete_Type_Ref_Chain}@anchor{179c}
+@deffn {Attribute} Incomplete_Type_Ref_Chain = 135
@end deffn
@geindex Associated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Type}@anchor{129d}
-@deffn {Attribute} Associated_Type = 136
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Associated_Type}@anchor{179d}
+@deffn {Attribute} Associated_Type = 136
@end deffn
@geindex Enumeration_Literal_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Enumeration_Literal_List}@anchor{129e}
-@deffn {Attribute} Enumeration_Literal_List = 137
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Enumeration_Literal_List}@anchor{179e}
+@deffn {Attribute} Enumeration_Literal_List = 137
@end deffn
@geindex Entity_Class_Entry_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Class_Entry_Chain}@anchor{129f}
-@deffn {Attribute} Entity_Class_Entry_Chain = 138
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Class_Entry_Chain}@anchor{179f}
+@deffn {Attribute} Entity_Class_Entry_Chain = 138
@end deffn
@geindex Group_Constituent_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Group_Constituent_List}@anchor{12a0}
-@deffn {Attribute} Group_Constituent_List = 139
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Group_Constituent_List}@anchor{17a0}
+@deffn {Attribute} Group_Constituent_List = 139
@end deffn
@geindex Unit_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Unit_Chain}@anchor{12a1}
-@deffn {Attribute} Unit_Chain = 140
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Unit_Chain}@anchor{17a1}
+@deffn {Attribute} Unit_Chain = 140
@end deffn
@geindex Primary_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Primary_Unit}@anchor{12a2}
-@deffn {Attribute} Primary_Unit = 141
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Primary_Unit}@anchor{17a2}
+@deffn {Attribute} Primary_Unit = 141
@end deffn
@geindex Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Identifier}@anchor{12a3}
-@deffn {Attribute} Identifier = 142
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Identifier}@anchor{17a3}
+@deffn {Attribute} Identifier = 142
@end deffn
@geindex Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Label}@anchor{12a4}
-@deffn {Attribute} Label = 143
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Label}@anchor{17a4}
+@deffn {Attribute} Label = 143
@end deffn
@geindex Visible_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Visible_Flag}@anchor{12a5}
-@deffn {Attribute} Visible_Flag = 144
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Visible_Flag}@anchor{17a5}
+@deffn {Attribute} Visible_Flag = 144
@end deffn
@geindex Range_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Range_Constraint}@anchor{12a6}
-@deffn {Attribute} Range_Constraint = 145
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Range_Constraint}@anchor{17a6}
+@deffn {Attribute} Range_Constraint = 145
@end deffn
@geindex Direction (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Direction}@anchor{12a7}
-@deffn {Attribute} Direction = 146
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Direction}@anchor{17a7}
+@deffn {Attribute} Direction = 146
@end deffn
@geindex Left_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left_Limit}@anchor{12a8}
-@deffn {Attribute} Left_Limit = 147
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left_Limit}@anchor{17a8}
+@deffn {Attribute} Left_Limit = 147
@end deffn
@geindex Right_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right_Limit}@anchor{12a9}
-@deffn {Attribute} Right_Limit = 148
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right_Limit}@anchor{17a9}
+@deffn {Attribute} Right_Limit = 148
@end deffn
@geindex Left_Limit_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left_Limit_Expr}@anchor{12aa}
-@deffn {Attribute} Left_Limit_Expr = 149
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left_Limit_Expr}@anchor{17aa}
+@deffn {Attribute} Left_Limit_Expr = 149
@end deffn
@geindex Right_Limit_Expr (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right_Limit_Expr}@anchor{12ab}
-@deffn {Attribute} Right_Limit_Expr = 150
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right_Limit_Expr}@anchor{17ab}
+@deffn {Attribute} Right_Limit_Expr = 150
@end deffn
@geindex Parent_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parent_Type}@anchor{12ac}
-@deffn {Attribute} Parent_Type = 151
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parent_Type}@anchor{17ac}
+@deffn {Attribute} Parent_Type = 151
@end deffn
@geindex Simple_Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Nature}@anchor{12ad}
-@deffn {Attribute} Simple_Nature = 152
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Nature}@anchor{17ad}
+@deffn {Attribute} Simple_Nature = 152
@end deffn
@geindex Base_Nature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Base_Nature}@anchor{12ae}
-@deffn {Attribute} Base_Nature = 153
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Base_Nature}@anchor{17ae}
+@deffn {Attribute} Base_Nature = 153
@end deffn
@geindex Resolution_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolution_Indication}@anchor{12af}
-@deffn {Attribute} Resolution_Indication = 154
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolution_Indication}@anchor{17af}
+@deffn {Attribute} Resolution_Indication = 154
@end deffn
@geindex Record_Element_Resolution_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Record_Element_Resolution_Chain}@anchor{12b0}
-@deffn {Attribute} Record_Element_Resolution_Chain = 155
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Record_Element_Resolution_Chain}@anchor{17b0}
+@deffn {Attribute} Record_Element_Resolution_Chain = 155
@end deffn
@geindex Tolerance (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Tolerance}@anchor{12b1}
-@deffn {Attribute} Tolerance = 156
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Tolerance}@anchor{17b1}
+@deffn {Attribute} Tolerance = 156
@end deffn
@geindex Plus_Terminal_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Plus_Terminal_Name}@anchor{12b2}
-@deffn {Attribute} Plus_Terminal_Name = 157
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Plus_Terminal_Name}@anchor{17b2}
+@deffn {Attribute} Plus_Terminal_Name = 157
@end deffn
@geindex Minus_Terminal_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Minus_Terminal_Name}@anchor{12b3}
-@deffn {Attribute} Minus_Terminal_Name = 158
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Minus_Terminal_Name}@anchor{17b3}
+@deffn {Attribute} Minus_Terminal_Name = 158
@end deffn
@geindex Plus_Terminal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Plus_Terminal}@anchor{12b4}
-@deffn {Attribute} Plus_Terminal = 159
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Plus_Terminal}@anchor{17b4}
+@deffn {Attribute} Plus_Terminal = 159
@end deffn
@geindex Minus_Terminal (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Minus_Terminal}@anchor{12b5}
-@deffn {Attribute} Minus_Terminal = 160
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Minus_Terminal}@anchor{17b5}
+@deffn {Attribute} Minus_Terminal = 160
@end deffn
@geindex Magnitude_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Magnitude_Expression}@anchor{12b6}
-@deffn {Attribute} Magnitude_Expression = 161
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Magnitude_Expression}@anchor{17b6}
+@deffn {Attribute} Magnitude_Expression = 161
@end deffn
@geindex Phase_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Phase_Expression}@anchor{12b7}
-@deffn {Attribute} Phase_Expression = 162
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Phase_Expression}@anchor{17b7}
+@deffn {Attribute} Phase_Expression = 162
@end deffn
@geindex Power_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Power_Expression}@anchor{12b8}
-@deffn {Attribute} Power_Expression = 163
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Power_Expression}@anchor{17b8}
+@deffn {Attribute} Power_Expression = 163
@end deffn
@geindex Simultaneous_Left (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Left}@anchor{12b9}
-@deffn {Attribute} Simultaneous_Left = 164
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Left}@anchor{17b9}
+@deffn {Attribute} Simultaneous_Left = 164
@end deffn
@geindex Simultaneous_Right (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Right}@anchor{12ba}
-@deffn {Attribute} Simultaneous_Right = 165
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simultaneous_Right}@anchor{17ba}
+@deffn {Attribute} Simultaneous_Right = 165
@end deffn
@geindex Text_File_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Text_File_Flag}@anchor{12bb}
-@deffn {Attribute} Text_File_Flag = 166
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Text_File_Flag}@anchor{17bb}
+@deffn {Attribute} Text_File_Flag = 166
@end deffn
@geindex Only_Characters_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Only_Characters_Flag}@anchor{12bc}
-@deffn {Attribute} Only_Characters_Flag = 167
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Only_Characters_Flag}@anchor{17bc}
+@deffn {Attribute} Only_Characters_Flag = 167
@end deffn
@geindex Is_Character_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Character_Type}@anchor{12bd}
-@deffn {Attribute} Is_Character_Type = 168
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Character_Type}@anchor{17bd}
+@deffn {Attribute} Is_Character_Type = 168
@end deffn
@geindex Nature_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Staticness}@anchor{12be}
-@deffn {Attribute} Nature_Staticness = 169
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Staticness}@anchor{17be}
+@deffn {Attribute} Nature_Staticness = 169
@end deffn
@geindex Type_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Staticness}@anchor{12bf}
-@deffn {Attribute} Type_Staticness = 170
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Staticness}@anchor{17bf}
+@deffn {Attribute} Type_Staticness = 170
@end deffn
@geindex Constraint_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Constraint_State}@anchor{12c0}
-@deffn {Attribute} Constraint_State = 171
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Constraint_State}@anchor{17c0}
+@deffn {Attribute} Constraint_State = 171
@end deffn
@geindex Index_Subtype_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype_List}@anchor{12c1}
-@deffn {Attribute} Index_Subtype_List = 172
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype_List}@anchor{17c1}
+@deffn {Attribute} Index_Subtype_List = 172
@end deffn
@geindex Index_Subtype_Definition_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype_Definition_List}@anchor{12c2}
-@deffn {Attribute} Index_Subtype_Definition_List = 173
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype_Definition_List}@anchor{17c2}
+@deffn {Attribute} Index_Subtype_Definition_List = 173
@end deffn
@geindex Element_Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subtype_Indication}@anchor{12c3}
-@deffn {Attribute} Element_Subtype_Indication = 174
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subtype_Indication}@anchor{17c3}
+@deffn {Attribute} Element_Subtype_Indication = 174
@end deffn
@geindex Element_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subtype}@anchor{12c4}
-@deffn {Attribute} Element_Subtype = 175
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subtype}@anchor{17c4}
+@deffn {Attribute} Element_Subtype = 175
@end deffn
@geindex Element_Subnature_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subnature_Indication}@anchor{12c5}
-@deffn {Attribute} Element_Subnature_Indication = 176
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subnature_Indication}@anchor{17c5}
+@deffn {Attribute} Element_Subnature_Indication = 176
@end deffn
@geindex Element_Subnature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subnature}@anchor{12c6}
-@deffn {Attribute} Element_Subnature = 177
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Element_Subnature}@anchor{17c6}
+@deffn {Attribute} Element_Subnature = 177
@end deffn
@geindex Index_Constraint_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Constraint_List}@anchor{12c7}
-@deffn {Attribute} Index_Constraint_List = 178
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Constraint_List}@anchor{17c7}
+@deffn {Attribute} Index_Constraint_List = 178
@end deffn
@geindex Array_Element_Constraint (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Array_Element_Constraint}@anchor{12c8}
-@deffn {Attribute} Array_Element_Constraint = 179
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Array_Element_Constraint}@anchor{17c8}
+@deffn {Attribute} Array_Element_Constraint = 179
@end deffn
@geindex Has_Array_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Array_Constraint_Flag}@anchor{12c9}
-@deffn {Attribute} Has_Array_Constraint_Flag = 180
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Array_Constraint_Flag}@anchor{17c9}
+@deffn {Attribute} Has_Array_Constraint_Flag = 180
@end deffn
@geindex Has_Element_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Element_Constraint_Flag}@anchor{12ca}
-@deffn {Attribute} Has_Element_Constraint_Flag = 181
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Element_Constraint_Flag}@anchor{17ca}
+@deffn {Attribute} Has_Element_Constraint_Flag = 181
@end deffn
@geindex Elements_Declaration_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Elements_Declaration_List}@anchor{12cb}
-@deffn {Attribute} Elements_Declaration_List = 182
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Elements_Declaration_List}@anchor{17cb}
+@deffn {Attribute} Elements_Declaration_List = 182
@end deffn
@geindex Owned_Elements_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Owned_Elements_Chain}@anchor{12cc}
-@deffn {Attribute} Owned_Elements_Chain = 183
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Owned_Elements_Chain}@anchor{17cc}
+@deffn {Attribute} Owned_Elements_Chain = 183
@end deffn
@geindex Designated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Type}@anchor{12cd}
-@deffn {Attribute} Designated_Type = 184
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Type}@anchor{17cd}
+@deffn {Attribute} Designated_Type = 184
@end deffn
@geindex Designated_Subtype_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Subtype_Indication}@anchor{12ce}
-@deffn {Attribute} Designated_Subtype_Indication = 185
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Designated_Subtype_Indication}@anchor{17ce}
+@deffn {Attribute} Designated_Subtype_Indication = 185
@end deffn
@geindex Index_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_List}@anchor{12cf}
-@deffn {Attribute} Index_List = 186
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_List}@anchor{17cf}
+@deffn {Attribute} Index_List = 186
@end deffn
@geindex Reference (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Reference}@anchor{12d0}
-@deffn {Attribute} Reference = 187
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Reference}@anchor{17d0}
+@deffn {Attribute} Reference = 187
@end deffn
@geindex Nature_Declarator (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Declarator}@anchor{12d1}
-@deffn {Attribute} Nature_Declarator = 188
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Nature_Declarator}@anchor{17d1}
+@deffn {Attribute} Nature_Declarator = 188
@end deffn
@geindex Across_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type_Mark}@anchor{12d2}
-@deffn {Attribute} Across_Type_Mark = 189
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type_Mark}@anchor{17d2}
+@deffn {Attribute} Across_Type_Mark = 189
@end deffn
@geindex Through_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type_Mark}@anchor{12d3}
-@deffn {Attribute} Through_Type_Mark = 190
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type_Mark}@anchor{17d3}
+@deffn {Attribute} Through_Type_Mark = 190
@end deffn
@geindex Across_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type_Definition}@anchor{12d4}
-@deffn {Attribute} Across_Type_Definition = 191
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type_Definition}@anchor{17d4}
+@deffn {Attribute} Across_Type_Definition = 191
@end deffn
@geindex Through_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type_Definition}@anchor{12d5}
-@deffn {Attribute} Through_Type_Definition = 192
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type_Definition}@anchor{17d5}
+@deffn {Attribute} Through_Type_Definition = 192
@end deffn
@geindex Across_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type}@anchor{12d6}
-@deffn {Attribute} Across_Type = 193
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Across_Type}@anchor{17d6}
+@deffn {Attribute} Across_Type = 193
@end deffn
@geindex Through_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type}@anchor{12d7}
-@deffn {Attribute} Through_Type = 194
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Through_Type}@anchor{17d7}
+@deffn {Attribute} Through_Type = 194
@end deffn
@geindex Target (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Target}@anchor{12d8}
-@deffn {Attribute} Target = 195
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Target}@anchor{17d8}
+@deffn {Attribute} Target = 195
@end deffn
@geindex Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Waveform_Chain}@anchor{12d9}
-@deffn {Attribute} Waveform_Chain = 196
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Waveform_Chain}@anchor{17d9}
+@deffn {Attribute} Waveform_Chain = 196
@end deffn
@geindex Guard (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard}@anchor{12da}
-@deffn {Attribute} Guard = 197
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard}@anchor{17da}
+@deffn {Attribute} Guard = 197
@end deffn
@geindex Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Delay_Mechanism}@anchor{12db}
-@deffn {Attribute} Delay_Mechanism = 198
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Delay_Mechanism}@anchor{17db}
+@deffn {Attribute} Delay_Mechanism = 198
@end deffn
@geindex Reject_Time_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Reject_Time_Expression}@anchor{12dc}
-@deffn {Attribute} Reject_Time_Expression = 199
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Reject_Time_Expression}@anchor{17dc}
+@deffn {Attribute} Reject_Time_Expression = 199
@end deffn
@geindex Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Force_Mode}@anchor{12dd}
-@deffn {Attribute} Force_Mode = 200
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Force_Mode}@anchor{17dd}
+@deffn {Attribute} Force_Mode = 200
@end deffn
@geindex Has_Force_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Force_Mode}@anchor{12de}
-@deffn {Attribute} Has_Force_Mode = 201
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Force_Mode}@anchor{17de}
+@deffn {Attribute} Has_Force_Mode = 201
@end deffn
@geindex Sensitivity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sensitivity_List}@anchor{12df}
-@deffn {Attribute} Sensitivity_List = 202
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sensitivity_List}@anchor{17df}
+@deffn {Attribute} Sensitivity_List = 202
@end deffn
@geindex Process_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Process_Origin}@anchor{12e0}
-@deffn {Attribute} Process_Origin = 203
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Process_Origin}@anchor{17e0}
+@deffn {Attribute} Process_Origin = 203
@end deffn
@geindex Package_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Origin}@anchor{12e1}
-@deffn {Attribute} Package_Origin = 204
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Origin}@anchor{17e1}
+@deffn {Attribute} Package_Origin = 204
@end deffn
@geindex Condition_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Condition_Clause}@anchor{12e2}
-@deffn {Attribute} Condition_Clause = 205
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Condition_Clause}@anchor{17e2}
+@deffn {Attribute} Condition_Clause = 205
@end deffn
@geindex Break_Element (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Break_Element}@anchor{12e3}
-@deffn {Attribute} Break_Element = 206
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Break_Element}@anchor{17e3}
+@deffn {Attribute} Break_Element = 206
@end deffn
@geindex Selector_Quantity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selector_Quantity}@anchor{12e4}
-@deffn {Attribute} Selector_Quantity = 207
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selector_Quantity}@anchor{17e4}
+@deffn {Attribute} Selector_Quantity = 207
@end deffn
@geindex Break_Quantity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Break_Quantity}@anchor{12e5}
-@deffn {Attribute} Break_Quantity = 208
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Break_Quantity}@anchor{17e5}
+@deffn {Attribute} Break_Quantity = 208
@end deffn
@geindex Timeout_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Timeout_Clause}@anchor{12e6}
-@deffn {Attribute} Timeout_Clause = 209
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Timeout_Clause}@anchor{17e6}
+@deffn {Attribute} Timeout_Clause = 209
@end deffn
@geindex Postponed_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Postponed_Flag}@anchor{12e7}
-@deffn {Attribute} Postponed_Flag = 210
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Postponed_Flag}@anchor{17e7}
+@deffn {Attribute} Postponed_Flag = 210
@end deffn
@geindex Callees_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Callees_List}@anchor{12e8}
-@deffn {Attribute} Callees_List = 211
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Callees_List}@anchor{17e8}
+@deffn {Attribute} Callees_List = 211
@end deffn
@geindex Passive_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Passive_Flag}@anchor{12e9}
-@deffn {Attribute} Passive_Flag = 212
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Passive_Flag}@anchor{17e9}
+@deffn {Attribute} Passive_Flag = 212
@end deffn
@geindex Resolution_Function_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolution_Function_Flag}@anchor{12ea}
-@deffn {Attribute} Resolution_Function_Flag = 213
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolution_Function_Flag}@anchor{17ea}
+@deffn {Attribute} Resolution_Function_Flag = 213
@end deffn
@geindex Wait_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Wait_State}@anchor{12eb}
-@deffn {Attribute} Wait_State = 214
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Wait_State}@anchor{17eb}
+@deffn {Attribute} Wait_State = 214
@end deffn
@geindex All_Sensitized_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields All_Sensitized_State}@anchor{12ec}
-@deffn {Attribute} All_Sensitized_State = 215
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields All_Sensitized_State}@anchor{17ec}
+@deffn {Attribute} All_Sensitized_State = 215
@end deffn
@geindex Seen_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Seen_Flag}@anchor{12ed}
-@deffn {Attribute} Seen_Flag = 216
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Seen_Flag}@anchor{17ed}
+@deffn {Attribute} Seen_Flag = 216
@end deffn
@geindex Pure_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pure_Flag}@anchor{12ee}
-@deffn {Attribute} Pure_Flag = 217
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pure_Flag}@anchor{17ee}
+@deffn {Attribute} Pure_Flag = 217
@end deffn
@geindex Foreign_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Foreign_Flag}@anchor{12ef}
-@deffn {Attribute} Foreign_Flag = 218
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Foreign_Flag}@anchor{17ef}
+@deffn {Attribute} Foreign_Flag = 218
@end deffn
@geindex Resolved_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolved_Flag}@anchor{12f0}
-@deffn {Attribute} Resolved_Flag = 219
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Resolved_Flag}@anchor{17f0}
+@deffn {Attribute} Resolved_Flag = 219
@end deffn
@geindex Signal_Type_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Type_Flag}@anchor{12f1}
-@deffn {Attribute} Signal_Type_Flag = 220
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Type_Flag}@anchor{17f1}
+@deffn {Attribute} Signal_Type_Flag = 220
@end deffn
@geindex Has_Signal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Signal_Flag}@anchor{12f2}
-@deffn {Attribute} Has_Signal_Flag = 221
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Signal_Flag}@anchor{17f2}
+@deffn {Attribute} Has_Signal_Flag = 221
@end deffn
@geindex Purity_State (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Purity_State}@anchor{12f3}
-@deffn {Attribute} Purity_State = 222
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Purity_State}@anchor{17f3}
+@deffn {Attribute} Purity_State = 222
@end deffn
@geindex Elab_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Elab_Flag}@anchor{12f4}
-@deffn {Attribute} Elab_Flag = 223
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Elab_Flag}@anchor{17f4}
+@deffn {Attribute} Elab_Flag = 223
@end deffn
@geindex Vendor_Library_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Vendor_Library_Flag}@anchor{12f5}
-@deffn {Attribute} Vendor_Library_Flag = 224
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Vendor_Library_Flag}@anchor{17f5}
+@deffn {Attribute} Vendor_Library_Flag = 224
@end deffn
@geindex Configuration_Mark_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Mark_Flag}@anchor{12f6}
-@deffn {Attribute} Configuration_Mark_Flag = 225
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Mark_Flag}@anchor{17f6}
+@deffn {Attribute} Configuration_Mark_Flag = 225
@end deffn
@geindex Configuration_Done_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Done_Flag}@anchor{12f7}
-@deffn {Attribute} Configuration_Done_Flag = 226
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Done_Flag}@anchor{17f7}
+@deffn {Attribute} Configuration_Done_Flag = 226
@end deffn
@geindex Index_Constraint_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Constraint_Flag}@anchor{12f8}
-@deffn {Attribute} Index_Constraint_Flag = 227
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Constraint_Flag}@anchor{17f8}
+@deffn {Attribute} Index_Constraint_Flag = 227
@end deffn
@geindex Hide_Implicit_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hide_Implicit_Flag}@anchor{12f9}
-@deffn {Attribute} Hide_Implicit_Flag = 228
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Hide_Implicit_Flag}@anchor{17f9}
+@deffn {Attribute} Hide_Implicit_Flag = 228
@end deffn
@geindex Assertion_Condition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Assertion_Condition}@anchor{12fa}
-@deffn {Attribute} Assertion_Condition = 229
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Assertion_Condition}@anchor{17fa}
+@deffn {Attribute} Assertion_Condition = 229
@end deffn
@geindex Report_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Report_Expression}@anchor{12fb}
-@deffn {Attribute} Report_Expression = 230
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Report_Expression}@anchor{17fb}
+@deffn {Attribute} Report_Expression = 230
@end deffn
@geindex Severity_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Severity_Expression}@anchor{12fc}
-@deffn {Attribute} Severity_Expression = 231
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Severity_Expression}@anchor{17fc}
+@deffn {Attribute} Severity_Expression = 231
@end deffn
@geindex Instantiated_Unit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instantiated_Unit}@anchor{12fd}
-@deffn {Attribute} Instantiated_Unit = 232
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instantiated_Unit}@anchor{17fd}
+@deffn {Attribute} Instantiated_Unit = 232
@end deffn
@geindex Generic_Map_Aspect_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generic_Map_Aspect_Chain}@anchor{12fe}
-@deffn {Attribute} Generic_Map_Aspect_Chain = 233
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generic_Map_Aspect_Chain}@anchor{17fe}
+@deffn {Attribute} Generic_Map_Aspect_Chain = 233
@end deffn
@geindex Port_Map_Aspect_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Port_Map_Aspect_Chain}@anchor{12ff}
-@deffn {Attribute} Port_Map_Aspect_Chain = 234
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Port_Map_Aspect_Chain}@anchor{17ff}
+@deffn {Attribute} Port_Map_Aspect_Chain = 234
@end deffn
@geindex Configuration_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Name}@anchor{1300}
-@deffn {Attribute} Configuration_Name = 235
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Name}@anchor{1800}
+@deffn {Attribute} Configuration_Name = 235
@end deffn
@geindex Component_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Component_Configuration}@anchor{1301}
-@deffn {Attribute} Component_Configuration = 236
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Component_Configuration}@anchor{1801}
+@deffn {Attribute} Component_Configuration = 236
@end deffn
@geindex Configuration_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Specification}@anchor{1302}
-@deffn {Attribute} Configuration_Specification = 237
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Configuration_Specification}@anchor{1802}
+@deffn {Attribute} Configuration_Specification = 237
@end deffn
@geindex Default_Binding_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Binding_Indication}@anchor{1303}
-@deffn {Attribute} Default_Binding_Indication = 238
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Binding_Indication}@anchor{1803}
+@deffn {Attribute} Default_Binding_Indication = 238
@end deffn
@geindex Default_Configuration_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Configuration_Declaration}@anchor{1304}
-@deffn {Attribute} Default_Configuration_Declaration = 239
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Configuration_Declaration}@anchor{1804}
+@deffn {Attribute} Default_Configuration_Declaration = 239
@end deffn
@geindex Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Expression}@anchor{1305}
-@deffn {Attribute} Expression = 240
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Expression}@anchor{1805}
+@deffn {Attribute} Expression = 240
@end deffn
@geindex Conditional_Expression_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Conditional_Expression_Chain}@anchor{1306}
-@deffn {Attribute} Conditional_Expression_Chain = 241
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Conditional_Expression_Chain}@anchor{1806}
+@deffn {Attribute} Conditional_Expression_Chain = 241
@end deffn
@geindex Allocator_Designated_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Allocator_Designated_Type}@anchor{1307}
-@deffn {Attribute} Allocator_Designated_Type = 242
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Allocator_Designated_Type}@anchor{1807}
+@deffn {Attribute} Allocator_Designated_Type = 242
@end deffn
@geindex Selected_Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selected_Waveform_Chain}@anchor{1308}
-@deffn {Attribute} Selected_Waveform_Chain = 243
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Selected_Waveform_Chain}@anchor{1808}
+@deffn {Attribute} Selected_Waveform_Chain = 243
@end deffn
@geindex Conditional_Waveform_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Conditional_Waveform_Chain}@anchor{1309}
-@deffn {Attribute} Conditional_Waveform_Chain = 244
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Conditional_Waveform_Chain}@anchor{1809}
+@deffn {Attribute} Conditional_Waveform_Chain = 244
@end deffn
@geindex Guard_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Expression}@anchor{130a}
-@deffn {Attribute} Guard_Expression = 245
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Expression}@anchor{180a}
+@deffn {Attribute} Guard_Expression = 245
@end deffn
@geindex Guard_Decl (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Decl}@anchor{130b}
-@deffn {Attribute} Guard_Decl = 246
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Decl}@anchor{180b}
+@deffn {Attribute} Guard_Decl = 246
@end deffn
@geindex Guard_Sensitivity_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Sensitivity_List}@anchor{130c}
-@deffn {Attribute} Guard_Sensitivity_List = 247
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Guard_Sensitivity_List}@anchor{180c}
+@deffn {Attribute} Guard_Sensitivity_List = 247
@end deffn
@geindex Signal_Attribute_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Attribute_Chain}@anchor{130d}
-@deffn {Attribute} Signal_Attribute_Chain = 248
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Attribute_Chain}@anchor{180d}
+@deffn {Attribute} Signal_Attribute_Chain = 248
@end deffn
@geindex Block_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Block_Configuration}@anchor{130e}
-@deffn {Attribute} Block_Block_Configuration = 249
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Block_Configuration}@anchor{180e}
+@deffn {Attribute} Block_Block_Configuration = 249
@end deffn
@geindex Package_Header (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Header}@anchor{130f}
-@deffn {Attribute} Package_Header = 250
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Package_Header}@anchor{180f}
+@deffn {Attribute} Package_Header = 250
@end deffn
@geindex Block_Header (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Header}@anchor{1310}
-@deffn {Attribute} Block_Header = 251
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Block_Header}@anchor{1810}
+@deffn {Attribute} Block_Header = 251
@end deffn
@geindex Uninstantiated_Package_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Package_Name}@anchor{1311}
-@deffn {Attribute} Uninstantiated_Package_Name = 252
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Package_Name}@anchor{1811}
+@deffn {Attribute} Uninstantiated_Package_Name = 252
@end deffn
@geindex Uninstantiated_Package_Decl (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Package_Decl}@anchor{1312}
-@deffn {Attribute} Uninstantiated_Package_Decl = 253
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Uninstantiated_Package_Decl}@anchor{1812}
+@deffn {Attribute} Uninstantiated_Package_Decl = 253
@end deffn
@geindex Instance_Source_File (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instance_Source_File}@anchor{1313}
-@deffn {Attribute} Instance_Source_File = 254
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instance_Source_File}@anchor{1813}
+@deffn {Attribute} Instance_Source_File = 254
@end deffn
@geindex Generate_Block_Configuration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Block_Configuration}@anchor{1314}
-@deffn {Attribute} Generate_Block_Configuration = 255
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Block_Configuration}@anchor{1814}
+@deffn {Attribute} Generate_Block_Configuration = 255
@end deffn
@geindex Generate_Statement_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Statement_Body}@anchor{1315}
-@deffn {Attribute} Generate_Statement_Body = 256
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Statement_Body}@anchor{1815}
+@deffn {Attribute} Generate_Statement_Body = 256
@end deffn
@geindex Alternative_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Alternative_Label}@anchor{1316}
-@deffn {Attribute} Alternative_Label = 257
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Alternative_Label}@anchor{1816}
+@deffn {Attribute} Alternative_Label = 257
@end deffn
@geindex Generate_Else_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Else_Clause}@anchor{1317}
-@deffn {Attribute} Generate_Else_Clause = 258
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Generate_Else_Clause}@anchor{1817}
+@deffn {Attribute} Generate_Else_Clause = 258
@end deffn
@geindex Condition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Condition}@anchor{1318}
-@deffn {Attribute} Condition = 259
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Condition}@anchor{1818}
+@deffn {Attribute} Condition = 259
@end deffn
@geindex Else_Clause (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Else_Clause}@anchor{1319}
-@deffn {Attribute} Else_Clause = 260
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Else_Clause}@anchor{1819}
+@deffn {Attribute} Else_Clause = 260
@end deffn
@geindex Parameter_Specification (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_Specification}@anchor{131a}
-@deffn {Attribute} Parameter_Specification = 261
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_Specification}@anchor{181a}
+@deffn {Attribute} Parameter_Specification = 261
@end deffn
@geindex Parent (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parent}@anchor{131b}
-@deffn {Attribute} Parent = 262
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parent}@anchor{181b}
+@deffn {Attribute} Parent = 262
@end deffn
@geindex Loop_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Loop_Label}@anchor{131c}
-@deffn {Attribute} Loop_Label = 263
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Loop_Label}@anchor{181c}
+@deffn {Attribute} Loop_Label = 263
@end deffn
@geindex Exit_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Exit_Flag}@anchor{131d}
-@deffn {Attribute} Exit_Flag = 264
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Exit_Flag}@anchor{181d}
+@deffn {Attribute} Exit_Flag = 264
@end deffn
@geindex Next_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Next_Flag}@anchor{131e}
-@deffn {Attribute} Next_Flag = 265
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Next_Flag}@anchor{181e}
+@deffn {Attribute} Next_Flag = 265
@end deffn
@geindex Component_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Component_Name}@anchor{131f}
-@deffn {Attribute} Component_Name = 266
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Component_Name}@anchor{181f}
+@deffn {Attribute} Component_Name = 266
@end deffn
@geindex Instantiation_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instantiation_List}@anchor{1320}
-@deffn {Attribute} Instantiation_List = 267
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Instantiation_List}@anchor{1820}
+@deffn {Attribute} Instantiation_List = 267
@end deffn
@geindex Entity_Aspect (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Aspect}@anchor{1321}
-@deffn {Attribute} Entity_Aspect = 268
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Entity_Aspect}@anchor{1821}
+@deffn {Attribute} Entity_Aspect = 268
@end deffn
@geindex Default_Entity_Aspect (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Entity_Aspect}@anchor{1322}
-@deffn {Attribute} Default_Entity_Aspect = 269
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Entity_Aspect}@anchor{1822}
+@deffn {Attribute} Default_Entity_Aspect = 269
@end deffn
@geindex Binding_Indication (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Binding_Indication}@anchor{1323}
-@deffn {Attribute} Binding_Indication = 270
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Binding_Indication}@anchor{1823}
+@deffn {Attribute} Binding_Indication = 270
@end deffn
@geindex Named_Entity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Named_Entity}@anchor{1324}
-@deffn {Attribute} Named_Entity = 271
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Named_Entity}@anchor{1824}
+@deffn {Attribute} Named_Entity = 271
@end deffn
@geindex Referenced_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Referenced_Name}@anchor{1325}
-@deffn {Attribute} Referenced_Name = 272
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Referenced_Name}@anchor{1825}
+@deffn {Attribute} Referenced_Name = 272
@end deffn
@geindex Expr_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Expr_Staticness}@anchor{1326}
-@deffn {Attribute} Expr_Staticness = 273
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Expr_Staticness}@anchor{1826}
+@deffn {Attribute} Expr_Staticness = 273
@end deffn
@geindex Scalar_Size (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Scalar_Size}@anchor{1327}
-@deffn {Attribute} Scalar_Size = 274
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Scalar_Size}@anchor{1827}
+@deffn {Attribute} Scalar_Size = 274
@end deffn
@geindex Error_Origin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Error_Origin}@anchor{1328}
-@deffn {Attribute} Error_Origin = 275
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Error_Origin}@anchor{1828}
+@deffn {Attribute} Error_Origin = 275
@end deffn
@geindex Operand (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Operand}@anchor{1329}
-@deffn {Attribute} Operand = 276
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Operand}@anchor{1829}
+@deffn {Attribute} Operand = 276
@end deffn
@geindex Left (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left}@anchor{132a}
-@deffn {Attribute} Left = 277
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Left}@anchor{182a}
+@deffn {Attribute} Left = 277
@end deffn
@geindex Right (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right}@anchor{132b}
-@deffn {Attribute} Right = 278
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Right}@anchor{182b}
+@deffn {Attribute} Right = 278
@end deffn
@geindex Unit_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Unit_Name}@anchor{132c}
-@deffn {Attribute} Unit_Name = 279
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Unit_Name}@anchor{182c}
+@deffn {Attribute} Unit_Name = 279
@end deffn
@geindex Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Name}@anchor{132d}
-@deffn {Attribute} Name = 280
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Name}@anchor{182d}
+@deffn {Attribute} Name = 280
@end deffn
@geindex Group_Template_Name (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Group_Template_Name}@anchor{132e}
-@deffn {Attribute} Group_Template_Name = 281
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Group_Template_Name}@anchor{182e}
+@deffn {Attribute} Group_Template_Name = 281
@end deffn
@geindex Name_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Name_Staticness}@anchor{132f}
-@deffn {Attribute} Name_Staticness = 282
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Name_Staticness}@anchor{182f}
+@deffn {Attribute} Name_Staticness = 282
@end deffn
@geindex Prefix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Prefix}@anchor{1330}
-@deffn {Attribute} Prefix = 283
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Prefix}@anchor{1830}
+@deffn {Attribute} Prefix = 283
@end deffn
@geindex Signature_Prefix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signature_Prefix}@anchor{1331}
-@deffn {Attribute} Signature_Prefix = 284
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signature_Prefix}@anchor{1831}
+@deffn {Attribute} Signature_Prefix = 284
@end deffn
@geindex External_Pathname (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields External_Pathname}@anchor{1332}
-@deffn {Attribute} External_Pathname = 285
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields External_Pathname}@anchor{1832}
+@deffn {Attribute} External_Pathname = 285
@end deffn
@geindex Pathname_Suffix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pathname_Suffix}@anchor{1333}
-@deffn {Attribute} Pathname_Suffix = 286
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pathname_Suffix}@anchor{1833}
+@deffn {Attribute} Pathname_Suffix = 286
@end deffn
@geindex Pathname_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pathname_Expression}@anchor{1334}
-@deffn {Attribute} Pathname_Expression = 287
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Pathname_Expression}@anchor{1834}
+@deffn {Attribute} Pathname_Expression = 287
@end deffn
@geindex In_Formal_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields In_Formal_Flag}@anchor{1335}
-@deffn {Attribute} In_Formal_Flag = 288
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields In_Formal_Flag}@anchor{1835}
+@deffn {Attribute} In_Formal_Flag = 288
@end deffn
@geindex Slice_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Slice_Subtype}@anchor{1336}
-@deffn {Attribute} Slice_Subtype = 289
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Slice_Subtype}@anchor{1836}
+@deffn {Attribute} Slice_Subtype = 289
@end deffn
@geindex Suffix (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Suffix}@anchor{1337}
-@deffn {Attribute} Suffix = 290
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Suffix}@anchor{1837}
+@deffn {Attribute} Suffix = 290
@end deffn
@geindex Index_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype}@anchor{1338}
-@deffn {Attribute} Index_Subtype = 291
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Index_Subtype}@anchor{1838}
+@deffn {Attribute} Index_Subtype = 291
@end deffn
@geindex Parameter (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter}@anchor{1339}
-@deffn {Attribute} Parameter = 292
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter}@anchor{1839}
+@deffn {Attribute} Parameter = 292
@end deffn
@geindex Parameter_2 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_2}@anchor{133a}
-@deffn {Attribute} Parameter_2 = 293
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_2}@anchor{183a}
+@deffn {Attribute} Parameter_2 = 293
@end deffn
@geindex Parameter_3 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_3}@anchor{133b}
-@deffn {Attribute} Parameter_3 = 294
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_3}@anchor{183b}
+@deffn {Attribute} Parameter_3 = 294
@end deffn
@geindex Parameter_4 (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_4}@anchor{133c}
-@deffn {Attribute} Parameter_4 = 295
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_4}@anchor{183c}
+@deffn {Attribute} Parameter_4 = 295
@end deffn
@geindex Attr_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attr_Chain}@anchor{133d}
-@deffn {Attribute} Attr_Chain = 296
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attr_Chain}@anchor{183d}
+@deffn {Attribute} Attr_Chain = 296
@end deffn
@geindex Signal_Attribute_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Attribute_Declaration}@anchor{133e}
-@deffn {Attribute} Signal_Attribute_Declaration = 297
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Signal_Attribute_Declaration}@anchor{183e}
+@deffn {Attribute} Signal_Attribute_Declaration = 297
@end deffn
@geindex Actual_Type (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Type}@anchor{133f}
-@deffn {Attribute} Actual_Type = 298
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Type}@anchor{183f}
+@deffn {Attribute} Actual_Type = 298
@end deffn
@geindex Actual_Type_Definition (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Type_Definition}@anchor{1340}
-@deffn {Attribute} Actual_Type_Definition = 299
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Actual_Type_Definition}@anchor{1840}
+@deffn {Attribute} Actual_Type_Definition = 299
@end deffn
@geindex Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Association_Chain}@anchor{1341}
-@deffn {Attribute} Association_Chain = 300
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Association_Chain}@anchor{1841}
+@deffn {Attribute} Association_Chain = 300
@end deffn
@geindex Individual_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Individual_Association_Chain}@anchor{1342}
-@deffn {Attribute} Individual_Association_Chain = 301
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Individual_Association_Chain}@anchor{1842}
+@deffn {Attribute} Individual_Association_Chain = 301
@end deffn
@geindex Subprogram_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Association_Chain}@anchor{1343}
-@deffn {Attribute} Subprogram_Association_Chain = 302
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subprogram_Association_Chain}@anchor{1843}
+@deffn {Attribute} Subprogram_Association_Chain = 302
@end deffn
@geindex Aggregate_Info (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggregate_Info}@anchor{1344}
-@deffn {Attribute} Aggregate_Info = 303
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggregate_Info}@anchor{1844}
+@deffn {Attribute} Aggregate_Info = 303
@end deffn
@geindex Sub_Aggregate_Info (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sub_Aggregate_Info}@anchor{1345}
-@deffn {Attribute} Sub_Aggregate_Info = 304
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Sub_Aggregate_Info}@anchor{1845}
+@deffn {Attribute} Sub_Aggregate_Info = 304
@end deffn
@geindex Aggr_Dynamic_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Dynamic_Flag}@anchor{1346}
-@deffn {Attribute} Aggr_Dynamic_Flag = 305
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Dynamic_Flag}@anchor{1846}
+@deffn {Attribute} Aggr_Dynamic_Flag = 305
@end deffn
@geindex Aggr_Min_Length (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Min_Length}@anchor{1347}
-@deffn {Attribute} Aggr_Min_Length = 306
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Min_Length}@anchor{1847}
+@deffn {Attribute} Aggr_Min_Length = 306
@end deffn
@geindex Aggr_Low_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Low_Limit}@anchor{1348}
-@deffn {Attribute} Aggr_Low_Limit = 307
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Low_Limit}@anchor{1848}
+@deffn {Attribute} Aggr_Low_Limit = 307
@end deffn
@geindex Aggr_High_Limit (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_High_Limit}@anchor{1349}
-@deffn {Attribute} Aggr_High_Limit = 308
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_High_Limit}@anchor{1849}
+@deffn {Attribute} Aggr_High_Limit = 308
@end deffn
@geindex Aggr_Others_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Others_Flag}@anchor{134a}
-@deffn {Attribute} Aggr_Others_Flag = 309
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Others_Flag}@anchor{184a}
+@deffn {Attribute} Aggr_Others_Flag = 309
@end deffn
@geindex Aggr_Named_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Named_Flag}@anchor{134b}
-@deffn {Attribute} Aggr_Named_Flag = 310
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggr_Named_Flag}@anchor{184b}
+@deffn {Attribute} Aggr_Named_Flag = 310
@end deffn
@geindex Aggregate_Expand_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggregate_Expand_Flag}@anchor{134c}
-@deffn {Attribute} Aggregate_Expand_Flag = 311
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Aggregate_Expand_Flag}@anchor{184c}
+@deffn {Attribute} Aggregate_Expand_Flag = 311
@end deffn
@geindex Association_Choices_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Association_Choices_Chain}@anchor{134d}
-@deffn {Attribute} Association_Choices_Chain = 312
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Association_Choices_Chain}@anchor{184d}
+@deffn {Attribute} Association_Choices_Chain = 312
@end deffn
@geindex Case_Statement_Alternative_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Case_Statement_Alternative_Chain}@anchor{134e}
-@deffn {Attribute} Case_Statement_Alternative_Chain = 313
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Case_Statement_Alternative_Chain}@anchor{184e}
+@deffn {Attribute} Case_Statement_Alternative_Chain = 313
+@end deffn
+
+@geindex Matching_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Matching_Flag}@anchor{184f}
+@deffn {Attribute} Matching_Flag = 314
@end deffn
@geindex Choice_Staticness (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Staticness}@anchor{134f}
-@deffn {Attribute} Choice_Staticness = 314
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Choice_Staticness}@anchor{1850}
+@deffn {Attribute} Choice_Staticness = 315
@end deffn
@geindex Procedure_Call (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Procedure_Call}@anchor{1350}
-@deffn {Attribute} Procedure_Call = 315
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Procedure_Call}@anchor{1851}
+@deffn {Attribute} Procedure_Call = 316
@end deffn
@geindex Implementation (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implementation}@anchor{1351}
-@deffn {Attribute} Implementation = 316
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implementation}@anchor{1852}
+@deffn {Attribute} Implementation = 317
@end deffn
@geindex Parameter_Association_Chain (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_Association_Chain}@anchor{1352}
-@deffn {Attribute} Parameter_Association_Chain = 317
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Parameter_Association_Chain}@anchor{1853}
+@deffn {Attribute} Parameter_Association_Chain = 318
@end deffn
@geindex Method_Object (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Method_Object}@anchor{1353}
-@deffn {Attribute} Method_Object = 318
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Method_Object}@anchor{1854}
+@deffn {Attribute} Method_Object = 319
@end deffn
@geindex Subtype_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Type_Mark}@anchor{1354}
-@deffn {Attribute} Subtype_Type_Mark = 319
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subtype_Type_Mark}@anchor{1855}
+@deffn {Attribute} Subtype_Type_Mark = 320
@end deffn
@geindex Subnature_Nature_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subnature_Nature_Mark}@anchor{1355}
-@deffn {Attribute} Subnature_Nature_Mark = 320
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Subnature_Nature_Mark}@anchor{1856}
+@deffn {Attribute} Subnature_Nature_Mark = 321
@end deffn
@geindex Type_Conversion_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Conversion_Subtype}@anchor{1356}
-@deffn {Attribute} Type_Conversion_Subtype = 321
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Conversion_Subtype}@anchor{1857}
+@deffn {Attribute} Type_Conversion_Subtype = 322
@end deffn
@geindex Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Mark}@anchor{1357}
-@deffn {Attribute} Type_Mark = 322
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Mark}@anchor{1858}
+@deffn {Attribute} Type_Mark = 323
@end deffn
@geindex File_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Type_Mark}@anchor{1358}
-@deffn {Attribute} File_Type_Mark = 323
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields File_Type_Mark}@anchor{1859}
+@deffn {Attribute} File_Type_Mark = 324
@end deffn
@geindex Return_Type_Mark (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Return_Type_Mark}@anchor{1359}
-@deffn {Attribute} Return_Type_Mark = 324
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Return_Type_Mark}@anchor{185a}
+@deffn {Attribute} Return_Type_Mark = 325
@end deffn
@geindex Has_Disconnect_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Disconnect_Flag}@anchor{135a}
-@deffn {Attribute} Has_Disconnect_Flag = 325
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Disconnect_Flag}@anchor{185b}
+@deffn {Attribute} Has_Disconnect_Flag = 326
@end deffn
@geindex Has_Active_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Active_Flag}@anchor{135b}
-@deffn {Attribute} Has_Active_Flag = 326
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Active_Flag}@anchor{185c}
+@deffn {Attribute} Has_Active_Flag = 327
@end deffn
@geindex Is_Within_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Within_Flag}@anchor{135c}
-@deffn {Attribute} Is_Within_Flag = 327
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Within_Flag}@anchor{185d}
+@deffn {Attribute} Is_Within_Flag = 328
@end deffn
@geindex Type_Marks_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Marks_List}@anchor{135d}
-@deffn {Attribute} Type_Marks_List = 328
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Type_Marks_List}@anchor{185e}
+@deffn {Attribute} Type_Marks_List = 329
@end deffn
@geindex Implicit_Alias_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implicit_Alias_Flag}@anchor{135e}
-@deffn {Attribute} Implicit_Alias_Flag = 329
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Implicit_Alias_Flag}@anchor{185f}
+@deffn {Attribute} Implicit_Alias_Flag = 330
@end deffn
@geindex Alias_Signature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Alias_Signature}@anchor{135f}
-@deffn {Attribute} Alias_Signature = 330
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Alias_Signature}@anchor{1860}
+@deffn {Attribute} Alias_Signature = 331
@end deffn
@geindex Attribute_Signature (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Signature}@anchor{1360}
-@deffn {Attribute} Attribute_Signature = 331
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Attribute_Signature}@anchor{1861}
+@deffn {Attribute} Attribute_Signature = 332
@end deffn
@geindex Overload_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Overload_List}@anchor{1361}
-@deffn {Attribute} Overload_List = 332
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Overload_List}@anchor{1862}
+@deffn {Attribute} Overload_List = 333
@end deffn
@geindex Simple_Name_Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Name_Identifier}@anchor{1362}
-@deffn {Attribute} Simple_Name_Identifier = 333
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Name_Identifier}@anchor{1863}
+@deffn {Attribute} Simple_Name_Identifier = 334
@end deffn
@geindex Simple_Name_Subtype (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Name_Subtype}@anchor{1363}
-@deffn {Attribute} Simple_Name_Subtype = 334
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Simple_Name_Subtype}@anchor{1864}
+@deffn {Attribute} Simple_Name_Subtype = 335
@end deffn
@geindex Protected_Type_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Protected_Type_Body}@anchor{1364}
-@deffn {Attribute} Protected_Type_Body = 335
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Protected_Type_Body}@anchor{1865}
+@deffn {Attribute} Protected_Type_Body = 336
@end deffn
@geindex Protected_Type_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Protected_Type_Declaration}@anchor{1365}
-@deffn {Attribute} Protected_Type_Declaration = 336
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Protected_Type_Declaration}@anchor{1866}
+@deffn {Attribute} Protected_Type_Declaration = 337
@end deffn
@geindex Use_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Use_Flag}@anchor{1366}
-@deffn {Attribute} Use_Flag = 337
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Use_Flag}@anchor{1867}
+@deffn {Attribute} Use_Flag = 338
@end deffn
@geindex End_Has_Reserved_Id (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Reserved_Id}@anchor{1367}
-@deffn {Attribute} End_Has_Reserved_Id = 338
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Reserved_Id}@anchor{1868}
+@deffn {Attribute} End_Has_Reserved_Id = 339
@end deffn
@geindex End_Has_Identifier (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Identifier}@anchor{1368}
-@deffn {Attribute} End_Has_Identifier = 339
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Identifier}@anchor{1869}
+@deffn {Attribute} End_Has_Identifier = 340
@end deffn
@geindex End_Has_Postponed (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Postponed}@anchor{1369}
-@deffn {Attribute} End_Has_Postponed = 340
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields End_Has_Postponed}@anchor{186a}
+@deffn {Attribute} End_Has_Postponed = 341
@end deffn
@geindex Has_Label (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Label}@anchor{136a}
-@deffn {Attribute} Has_Label = 341
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Label}@anchor{186b}
+@deffn {Attribute} Has_Label = 342
@end deffn
@geindex Has_Begin (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Begin}@anchor{136b}
-@deffn {Attribute} Has_Begin = 342
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Begin}@anchor{186c}
+@deffn {Attribute} Has_Begin = 343
@end deffn
@geindex Has_End (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_End}@anchor{136c}
-@deffn {Attribute} Has_End = 343
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_End}@anchor{186d}
+@deffn {Attribute} Has_End = 344
@end deffn
@geindex Has_Is (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Is}@anchor{136d}
-@deffn {Attribute} Has_Is = 344
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Is}@anchor{186e}
+@deffn {Attribute} Has_Is = 345
@end deffn
@geindex Has_Pure (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Pure}@anchor{136e}
-@deffn {Attribute} Has_Pure = 345
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Pure}@anchor{186f}
+@deffn {Attribute} Has_Pure = 346
@end deffn
@geindex Has_Body (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Body}@anchor{136f}
-@deffn {Attribute} Has_Body = 346
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Body}@anchor{1870}
+@deffn {Attribute} Has_Body = 347
@end deffn
@geindex Has_Parameter (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Parameter}@anchor{1370}
-@deffn {Attribute} Has_Parameter = 347
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Parameter}@anchor{1871}
+@deffn {Attribute} Has_Parameter = 348
@end deffn
@geindex Has_Component (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Component}@anchor{1371}
-@deffn {Attribute} Has_Component = 348
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Component}@anchor{1872}
+@deffn {Attribute} Has_Component = 349
@end deffn
@geindex Has_Identifier_List (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Identifier_List}@anchor{1372}
-@deffn {Attribute} Has_Identifier_List = 349
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Identifier_List}@anchor{1873}
+@deffn {Attribute} Has_Identifier_List = 350
@end deffn
@geindex Has_Mode (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Mode}@anchor{1373}
-@deffn {Attribute} Has_Mode = 350
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Mode}@anchor{1874}
+@deffn {Attribute} Has_Mode = 351
@end deffn
@geindex Has_Class (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Class}@anchor{1374}
-@deffn {Attribute} Has_Class = 351
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Class}@anchor{1875}
+@deffn {Attribute} Has_Class = 352
@end deffn
@geindex Has_Delay_Mechanism (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Delay_Mechanism}@anchor{1375}
-@deffn {Attribute} Has_Delay_Mechanism = 352
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Has_Delay_Mechanism}@anchor{1876}
+@deffn {Attribute} Has_Delay_Mechanism = 353
@end deffn
@geindex Suspend_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Suspend_Flag}@anchor{1376}
-@deffn {Attribute} Suspend_Flag = 353
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Suspend_Flag}@anchor{1877}
+@deffn {Attribute} Suspend_Flag = 354
@end deffn
@geindex Is_Ref (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Ref}@anchor{1377}
-@deffn {Attribute} Is_Ref = 354
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Ref}@anchor{1878}
+@deffn {Attribute} Is_Ref = 355
@end deffn
@geindex Is_Forward_Ref (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Forward_Ref}@anchor{1378}
-@deffn {Attribute} Is_Forward_Ref = 355
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Is_Forward_Ref}@anchor{1879}
+@deffn {Attribute} Is_Forward_Ref = 356
@end deffn
@geindex Psl_Property (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Property}@anchor{1379}
-@deffn {Attribute} Psl_Property = 356
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Property}@anchor{187a}
+@deffn {Attribute} Psl_Property = 357
@end deffn
@geindex Psl_Sequence (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Sequence}@anchor{137a}
-@deffn {Attribute} Psl_Sequence = 357
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Sequence}@anchor{187b}
+@deffn {Attribute} Psl_Sequence = 358
@end deffn
@geindex Psl_Declaration (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Declaration}@anchor{137b}
-@deffn {Attribute} Psl_Declaration = 358
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Declaration}@anchor{187c}
+@deffn {Attribute} Psl_Declaration = 359
@end deffn
@geindex Psl_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Expression}@anchor{137c}
-@deffn {Attribute} Psl_Expression = 359
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Expression}@anchor{187d}
+@deffn {Attribute} Psl_Expression = 360
@end deffn
@geindex Psl_Boolean (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Boolean}@anchor{137d}
-@deffn {Attribute} Psl_Boolean = 360
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Psl_Boolean}@anchor{187e}
+@deffn {Attribute} Psl_Boolean = 361
@end deffn
@geindex PSL_Clock (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Clock}@anchor{137e}
-@deffn {Attribute} PSL_Clock = 361
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Clock}@anchor{187f}
+@deffn {Attribute} PSL_Clock = 362
@end deffn
@geindex PSL_NFA (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_NFA}@anchor{137f}
-@deffn {Attribute} PSL_NFA = 362
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_NFA}@anchor{1880}
+@deffn {Attribute} PSL_NFA = 363
@end deffn
@geindex PSL_Nbr_States (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Nbr_States}@anchor{1380}
-@deffn {Attribute} PSL_Nbr_States = 363
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Nbr_States}@anchor{1881}
+@deffn {Attribute} PSL_Nbr_States = 364
@end deffn
@geindex PSL_Clock_Sensitivity (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Clock_Sensitivity}@anchor{1381}
-@deffn {Attribute} PSL_Clock_Sensitivity = 364
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Clock_Sensitivity}@anchor{1882}
+@deffn {Attribute} PSL_Clock_Sensitivity = 365
@end deffn
@geindex PSL_EOS_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_EOS_Flag}@anchor{1382}
-@deffn {Attribute} PSL_EOS_Flag = 365
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_EOS_Flag}@anchor{1883}
+@deffn {Attribute} PSL_EOS_Flag = 366
+@end deffn
+
+@geindex PSL_Abort_Flag (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields PSL_Abort_Flag}@anchor{1884}
+@deffn {Attribute} PSL_Abort_Flag = 367
@end deffn
@geindex Count_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Count_Expression}@anchor{1383}
-@deffn {Attribute} Count_Expression = 366
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Count_Expression}@anchor{1885}
+@deffn {Attribute} Count_Expression = 368
@end deffn
@geindex Clock_Expression (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Clock_Expression}@anchor{1384}
-@deffn {Attribute} Clock_Expression = 367
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Clock_Expression}@anchor{1886}
+@deffn {Attribute} Clock_Expression = 369
@end deffn
@geindex Default_Clock (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Clock}@anchor{1385}
-@deffn {Attribute} Default_Clock = 368
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Default_Clock}@anchor{1887}
+@deffn {Attribute} Default_Clock = 370
@end deffn
@geindex Foreign_Node (pyGHDL.libghdl.vhdl.nodes_meta.fields attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Foreign_Node}@anchor{1386}
-@deffn {Attribute} Foreign_Node = 369
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta fields Foreign_Node}@anchor{1888}
+@deffn {Attribute} Foreign_Node = 371
@end deffn
@end deffn
@@ -41190,20 +50366,19 @@ An enumeration.
@strong{Functions}
@geindex get_fields_first() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_first}@anchor{1074}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_first}@anchor{1572}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_fields_first (K)
-
Return the list of fields for node @code{K}.
In Ada @code{Vhdl.Nodes_Meta.Get_Fields} returns a @code{Fields_Array}. To emulate
-this array access, the API provides @code{get_fields_first} and @ref{1075,,get_fields_last()}.
+this array access, the API provides @code{get_fields_first} and @ref{1573,,get_fields_last()}.
The fields are sorted: first the non nodes/list of nodes, then the
nodes/lists that aren’t reference, and then the reference.
@*Parameters:
-@code{K} (@code{~IirKind}) – Node to get first array index from.
+@code{K} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Node to get first array index from.
@*Return type:
@@ -41212,20 +50387,19 @@ int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@end deffn
@geindex get_fields_last() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_last}@anchor{1075}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_fields_last}@anchor{1573}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_fields_last (K)
-
Return the list of fields for node @code{K}.
In Ada @code{Vhdl.Nodes_Meta.Get_Fields} returns a @code{Fields_Array}. To emulate
-this array access, the API provides @ref{1074,,get_fields_first()} and @code{get_fields_last}.
+this array access, the API provides @ref{1572,,get_fields_first()} and @code{get_fields_last}.
The fields are sorted: first the non nodes/list of nodes, then the
nodes/lists that aren’t reference, and then the reference.
@*Parameters:
-@code{K} (@code{~IirKind}) – Node to get last array index from.
+@code{K} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{IirKind}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Node to get last array index from.
@*Return type:
@@ -41234,1341 +50408,1206 @@ int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@end deffn
@geindex get_field_by_index() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_by_index}@anchor{1076}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_by_index}@anchor{1574}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_by_index (K)
-
@*Return type:
int@footnote{https://docs.python.org/3.6/library/functions.html#int}
@end deffn
@geindex get_field_type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_type}@anchor{1077}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_type}@anchor{1575}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_type (*args)
-
@end deffn
@geindex get_field_attribute() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_attribute}@anchor{1078}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta get_field_attribute}@anchor{1576}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.get_field_attribute (*args)
-
@end deffn
@geindex Has_First_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_First_Design_Unit}@anchor{1079}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_First_Design_Unit}@anchor{1577}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_First_Design_Unit (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Last_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Last_Design_Unit}@anchor{107a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Last_Design_Unit}@anchor{1578}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Last_Design_Unit (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Library_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Declaration}@anchor{107b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Declaration}@anchor{1579}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Declaration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_File_Checksum() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Checksum}@anchor{107c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Checksum}@anchor{157a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Checksum (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Analysis_Time_Stamp() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Time_Stamp}@anchor{107d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Time_Stamp}@anchor{157b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Analysis_Time_Stamp (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Design_File_Source() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Source}@anchor{107e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Source}@anchor{157c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Source (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Library() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library}@anchor{107f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library}@anchor{157d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_File_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Dependence_List}@anchor{1080}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Dependence_List}@anchor{157e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Dependence_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Design_File_Filename() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Filename}@anchor{1081}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Filename}@anchor{157f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Filename (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Design_File_Directory() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Directory}@anchor{1082}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Directory}@anchor{1580}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Directory (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Design_File() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File}@anchor{1083}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File}@anchor{1581}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Design_File_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Chain}@anchor{1084}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_File_Chain}@anchor{1582}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Library_Directory() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Directory}@anchor{1085}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Directory}@anchor{1583}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Directory (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Date() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date}@anchor{1086}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date}@anchor{1584}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Date (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Context_Items() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Items}@anchor{1087}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Items}@anchor{1585}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Context_Items (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Dependence_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Dependence_List}@anchor{1088}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Dependence_List}@anchor{1586}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Dependence_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Analysis_Checks_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Checks_List}@anchor{1089}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Analysis_Checks_List}@anchor{1587}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Analysis_Checks_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Date_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date_State}@anchor{108a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Date_State}@anchor{1588}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Date_State (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Guarded_Target_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Target_State}@anchor{108b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Target_State}@anchor{1589}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guarded_Target_State (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Library_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Unit}@anchor{108c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Library_Unit}@anchor{158a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Unit (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Hash_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hash_Chain}@anchor{108d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hash_Chain}@anchor{158b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hash_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Design_Unit_Source_Pos() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Pos}@anchor{108e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Pos}@anchor{158c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Pos (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Design_Unit_Source_Line() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Line}@anchor{108f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Line}@anchor{158d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Line (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Design_Unit_Source_Col() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Col}@anchor{1090}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit_Source_Col}@anchor{158e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Col (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value}@anchor{1091}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value}@anchor{158f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Value (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Enum_Pos() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enum_Pos}@anchor{1092}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enum_Pos}@anchor{1590}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Enum_Pos (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Physical_Literal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Physical_Literal}@anchor{1093}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Physical_Literal}@anchor{1591}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Physical_Literal (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Fp_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Fp_Value}@anchor{1094}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Fp_Value}@anchor{1592}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Fp_Value (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Simple_Aggregate_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Aggregate_List}@anchor{1095}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Aggregate_List}@anchor{1593}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Aggregate_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_String8_Id() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String8_Id}@anchor{1096}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String8_Id}@anchor{1594}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_String8_Id (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_String_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String_Length}@anchor{1097}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_String_Length}@anchor{1595}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_String_Length (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Bit_String_Base() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bit_String_Base}@anchor{1098}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bit_String_Base}@anchor{1596}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Bit_String_Base (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Signed() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signed}@anchor{1099}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signed}@anchor{1597}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Signed (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Sign() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Sign}@anchor{109a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Sign}@anchor{1598}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Sign (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Length}@anchor{109b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Length}@anchor{1599}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Length (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Literal_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Length}@anchor{109c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Length}@anchor{159a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Length (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Literal_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Origin}@anchor{109d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Origin}@anchor{159b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Origin (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Range_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Origin}@anchor{109e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Origin}@anchor{159c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Range_Origin (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Literal_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Subtype}@anchor{109f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Literal_Subtype}@anchor{159d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Subtype (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Allocator_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Subtype}@anchor{10a0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Subtype}@anchor{159e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Allocator_Subtype (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Entity_Class() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class}@anchor{10a1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class}@anchor{159f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Class (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Entity_Name_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name_List}@anchor{10a2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name_List}@anchor{15a0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Name_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Attribute_Designator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Designator}@anchor{10a3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Designator}@anchor{15a1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Designator (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Attribute_Specification_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification_Chain}@anchor{10a4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification_Chain}@anchor{15a2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Specification_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Attribute_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification}@anchor{10a5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Specification}@anchor{15a3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Specification (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Static_Attribute_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Static_Attribute_Flag}@anchor{10a6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Static_Attribute_Flag}@anchor{15a4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Static_Attribute_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Signal_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_List}@anchor{10a7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_List}@anchor{15a5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Quantity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Quantity_List}@anchor{10a8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Quantity_List}@anchor{15a6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Quantity_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Designated_Entity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Entity}@anchor{10a9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Entity}@anchor{15a7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Entity (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Formal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal}@anchor{10aa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal}@anchor{15a8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Formal (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Actual() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual}@anchor{10ab}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual}@anchor{15a9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Actual_Conversion() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Conversion}@anchor{10ac}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Conversion}@anchor{15aa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Conversion (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Formal_Conversion() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal_Conversion}@anchor{10ad}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Formal_Conversion}@anchor{15ab}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Formal_Conversion (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Whole_Association_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Whole_Association_Flag}@anchor{10ae}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Whole_Association_Flag}@anchor{15ac}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Whole_Association_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Collapse_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Collapse_Signal_Flag}@anchor{10af}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Collapse_Signal_Flag}@anchor{15ad}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Collapse_Signal_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Artificial_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Artificial_Flag}@anchor{10b0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Artificial_Flag}@anchor{15ae}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Artificial_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Open_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Open_Flag}@anchor{10b1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Open_Flag}@anchor{15af}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Open_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_After_Drivers_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_After_Drivers_Flag}@anchor{10b2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_After_Drivers_Flag}@anchor{15b0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_After_Drivers_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_We_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_We_Value}@anchor{10b3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_We_Value}@anchor{15b1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_We_Value (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Time() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Time}@anchor{10b4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Time}@anchor{15b2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Time (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Associated_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Expr}@anchor{10b5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Expr}@anchor{15b3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Expr (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Associated_Block() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Block}@anchor{10b6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Block}@anchor{15b4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Block (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Associated_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Chain}@anchor{10b7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Chain}@anchor{15b5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Choice_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Name}@anchor{10b8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Name}@anchor{15b6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Choice_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Expression}@anchor{10b9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Expression}@anchor{15b7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Choice_Range() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Range}@anchor{10ba}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Range}@anchor{15b8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Range (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Same_Alternative_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Same_Alternative_Flag}@anchor{10bb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Same_Alternative_Flag}@anchor{15b9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Same_Alternative_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Element_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Type_Flag}@anchor{10bc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Type_Flag}@anchor{15ba}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Type_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Architecture() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Architecture}@anchor{10bd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Architecture}@anchor{15bb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Architecture (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Block_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Specification}@anchor{10be}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Specification}@anchor{15bc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Specification (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Prev_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prev_Block_Configuration}@anchor{10bf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prev_Block_Configuration}@anchor{15bd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Prev_Block_Configuration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Configuration_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Item_Chain}@anchor{10c0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Item_Chain}@anchor{15be}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Item_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Attribute_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Chain}@anchor{10c1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Chain}@anchor{15bf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Value_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Spec_Chain}@anchor{10c2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Spec_Chain}@anchor{15c0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Spec_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Value_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value_Chain}@anchor{10c3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Value_Chain}@anchor{15c1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Value_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Attribute_Value_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Spec_Chain}@anchor{10c4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Value_Spec_Chain}@anchor{15c2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Value_Spec_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Entity_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name}@anchor{10c5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Name}@anchor{15c3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Package() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package}@anchor{10c6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package}@anchor{15c4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Body}@anchor{10c7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Body}@anchor{15c5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Body (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Instance_Package_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Package_Body}@anchor{10c8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Package_Body}@anchor{15c6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instance_Package_Body (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Need_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Body}@anchor{10c9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Body}@anchor{15c7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Need_Body (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Macro_Expanded_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Macro_Expanded_Flag}@anchor{10ca}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Macro_Expanded_Flag}@anchor{15c8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Macro_Expanded_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Need_Instance_Bodies() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Instance_Bodies}@anchor{10cb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Need_Instance_Bodies}@anchor{15c9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Need_Instance_Bodies (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Hierarchical_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hierarchical_Name}@anchor{10cc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hierarchical_Name}@anchor{15ca}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hierarchical_Name (kind)
-
-@*Return type:
-bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
-
-@end deffn
-
-@geindex Has_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Inherit_Spec_Chain}@anchor{10cd}
-@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Inherit_Spec_Chain (kind)
-
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Vunit_Item_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vunit_Item_Chain}@anchor{10ce}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vunit_Item_Chain}@anchor{15cb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Vunit_Item_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Bound_Vunit_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bound_Vunit_Chain}@anchor{10cf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Bound_Vunit_Chain}@anchor{15cc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Bound_Vunit_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Verification_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Verification_Block_Configuration}@anchor{10d0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Verification_Block_Configuration}@anchor{15cd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Verification_Block_Configuration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Configuration}@anchor{10d1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Configuration}@anchor{15ce}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Configuration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Concurrent_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Concurrent_Statement_Chain}@anchor{10d2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Concurrent_Statement_Chain}@anchor{15cf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Concurrent_Statement_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Chain}@anchor{10d3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Chain}@anchor{15d0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Port_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Chain}@anchor{10d4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Chain}@anchor{15d1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Port_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Generic_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Chain}@anchor{10d5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Chain}@anchor{15d2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generic_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type}@anchor{10d6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type}@anchor{15d3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Indication}@anchor{10d7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Indication}@anchor{15d4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Indication (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Discrete_Range() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Discrete_Range}@anchor{10d8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Discrete_Range}@anchor{15d5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Discrete_Range (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Definition}@anchor{10d9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Definition}@anchor{15d6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Definition (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Subtype_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Definition}@anchor{10da}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Definition}@anchor{15d7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Definition (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Incomplete_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Declaration}@anchor{10db}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Declaration}@anchor{15d8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Incomplete_Type_Declaration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Interface_Type_Subprograms() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Type_Subprograms}@anchor{10dc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Type_Subprograms}@anchor{15d9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Type_Subprograms (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Nature_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Definition}@anchor{10dd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Definition}@anchor{15da}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Definition (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature}@anchor{10de}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature}@anchor{15db}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Indication}@anchor{10df}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Indication}@anchor{15dc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subnature_Indication (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Mode}@anchor{10e0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Mode}@anchor{15dd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Mode (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Guarded_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Signal_Flag}@anchor{10e1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guarded_Signal_Flag}@anchor{15de}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guarded_Signal_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Signal_Kind() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Kind}@anchor{10e2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Kind}@anchor{15df}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Kind (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Base_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Name}@anchor{10e3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Name}@anchor{15e0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Base_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Interface_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Declaration_Chain}@anchor{10e4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Interface_Declaration_Chain}@anchor{15e1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Declaration_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Specification}@anchor{10e5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Specification}@anchor{15e2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Specification (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Sequential_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sequential_Statement_Chain}@anchor{10e6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sequential_Statement_Chain}@anchor{15e3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sequential_Statement_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Simultaneous_Statement_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Statement_Chain}@anchor{10e7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Statement_Chain}@anchor{15e4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Statement_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Subprogram_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Body}@anchor{10e8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Body}@anchor{15e5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Body (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Overload_Number() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_Number}@anchor{10e9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_Number}@anchor{15e6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Overload_Number (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Subprogram_Depth() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Depth}@anchor{10ea}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Depth}@anchor{15e7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Depth (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Subprogram_Hash() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Hash}@anchor{10eb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Hash}@anchor{15e8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Hash (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Impure_Depth() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Impure_Depth}@anchor{10ec}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Impure_Depth}@anchor{15e9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Impure_Depth (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Return_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type}@anchor{10ed}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type}@anchor{15ea}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Type (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Implicit_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Definition}@anchor{10ee}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Definition}@anchor{15eb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implicit_Definition (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Uninstantiated_Subprogram_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Subprogram_Name}@anchor{10ef}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Subprogram_Name}@anchor{15ec}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Subprogram_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Default_Value() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Value}@anchor{10f0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Value}@anchor{15ed}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Value (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Deferred_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration}@anchor{10f1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration}@anchor{15ee}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Deferred_Declaration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Deferred_Declaration_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration_Flag}@anchor{10f2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Deferred_Declaration_Flag}@anchor{15ef}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Deferred_Declaration_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Shared_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Shared_Flag}@anchor{10f3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Shared_Flag}@anchor{15f0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Shared_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Design_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit}@anchor{10f4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Design_Unit}@anchor{15f1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Block_Statement() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Statement}@anchor{10f5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Statement}@anchor{15f2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Statement (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Signal_Driver() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Driver}@anchor{10f6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Driver}@anchor{15f3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Driver (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Declaration_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Declaration_Chain}@anchor{10f7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Declaration_Chain}@anchor{15f4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Declaration_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_File_Logical_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Logical_Name}@anchor{10f8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Logical_Name}@anchor{15f5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Logical_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_File_Open_Kind() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Open_Kind}@anchor{10f9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Open_Kind}@anchor{15f6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Open_Kind (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Element_Position() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Position}@anchor{10fa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Position}@anchor{15f7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Position (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Use_Clause_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Clause_Chain}@anchor{10fb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Clause_Chain}@anchor{15f8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Use_Clause_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Context_Reference_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Reference_Chain}@anchor{10fc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Context_Reference_Chain}@anchor{15f9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Context_Reference_Chain (kind)
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Inherit_Spec_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Inherit_Spec_Chain}@anchor{15fa}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Inherit_Spec_Chain (kind)
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@@ -42576,1819 +51615,1646 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Selected_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Name}@anchor{10fd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Name}@anchor{15fb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selected_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Type_Declarator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Declarator}@anchor{10fe}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Declarator}@anchor{15fc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Declarator (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Complete_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Complete_Type_Definition}@anchor{10ff}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Complete_Type_Definition}@anchor{15fd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Complete_Type_Definition (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Incomplete_Type_Ref_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Ref_Chain}@anchor{1100}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Incomplete_Type_Ref_Chain}@anchor{15fe}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Incomplete_Type_Ref_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Associated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Type}@anchor{1101}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Associated_Type}@anchor{15ff}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Type (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Enumeration_Literal_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enumeration_Literal_List}@anchor{1102}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Enumeration_Literal_List}@anchor{1600}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Enumeration_Literal_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Entity_Class_Entry_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class_Entry_Chain}@anchor{1103}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Class_Entry_Chain}@anchor{1601}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Class_Entry_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Group_Constituent_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Constituent_List}@anchor{1104}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Constituent_List}@anchor{1602}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Group_Constituent_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Unit_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Chain}@anchor{1105}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Chain}@anchor{1603}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Unit_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Primary_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Primary_Unit}@anchor{1106}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Primary_Unit}@anchor{1604}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Primary_Unit (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Identifier}@anchor{1107}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Identifier}@anchor{1605}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Identifier (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Label}@anchor{1108}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Label}@anchor{1606}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Label (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Visible_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Visible_Flag}@anchor{1109}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Visible_Flag}@anchor{1607}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Visible_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Range_Constraint() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Constraint}@anchor{110a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Range_Constraint}@anchor{1608}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Range_Constraint (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Direction() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Direction}@anchor{110b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Direction}@anchor{1609}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Direction (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Left_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit}@anchor{110c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit}@anchor{160a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left_Limit (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Right_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit}@anchor{110d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit}@anchor{160b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right_Limit (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Left_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit_Expr}@anchor{110e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left_Limit_Expr}@anchor{160c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left_Limit_Expr (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Right_Limit_Expr() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit_Expr}@anchor{110f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right_Limit_Expr}@anchor{160d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right_Limit_Expr (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Parent_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent_Type}@anchor{1110}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent_Type}@anchor{160e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parent_Type (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Simple_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Nature}@anchor{1111}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Nature}@anchor{160f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Nature (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Base_Nature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Nature}@anchor{1112}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Base_Nature}@anchor{1610}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Base_Nature (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Resolution_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Indication}@anchor{1113}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Indication}@anchor{1611}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolution_Indication (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Record_Element_Resolution_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Record_Element_Resolution_Chain}@anchor{1114}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Record_Element_Resolution_Chain}@anchor{1612}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Record_Element_Resolution_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Tolerance() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Tolerance}@anchor{1115}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Tolerance}@anchor{1613}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Tolerance (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Plus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal_Name}@anchor{1116}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal_Name}@anchor{1614}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Plus_Terminal_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Minus_Terminal_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal_Name}@anchor{1117}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal_Name}@anchor{1615}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Minus_Terminal_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Plus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal}@anchor{1118}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Plus_Terminal}@anchor{1616}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Plus_Terminal (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Minus_Terminal() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal}@anchor{1119}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Minus_Terminal}@anchor{1617}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Minus_Terminal (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Magnitude_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Magnitude_Expression}@anchor{111a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Magnitude_Expression}@anchor{1618}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Magnitude_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Phase_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Phase_Expression}@anchor{111b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Phase_Expression}@anchor{1619}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Phase_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Power_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Power_Expression}@anchor{111c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Power_Expression}@anchor{161a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Power_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Simultaneous_Left() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Left}@anchor{111d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Left}@anchor{161b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Left (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Simultaneous_Right() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Right}@anchor{111e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simultaneous_Right}@anchor{161c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Right (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Text_File_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Text_File_Flag}@anchor{111f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Text_File_Flag}@anchor{161d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Text_File_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Only_Characters_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Only_Characters_Flag}@anchor{1120}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Only_Characters_Flag}@anchor{161e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Only_Characters_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Is_Character_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Character_Type}@anchor{1121}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Character_Type}@anchor{161f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Character_Type (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Nature_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Staticness}@anchor{1122}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Staticness}@anchor{1620}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Staticness (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Type_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Staticness}@anchor{1123}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Staticness}@anchor{1621}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Staticness (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Constraint_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Constraint_State}@anchor{1124}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Constraint_State}@anchor{1622}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Constraint_State (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Index_Subtype_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_List}@anchor{1125}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_List}@anchor{1623}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Index_Subtype_Definition_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_Definition_List}@anchor{1126}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype_Definition_List}@anchor{1624}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype_Definition_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Element_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype_Indication}@anchor{1127}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype_Indication}@anchor{1625}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subtype_Indication (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Element_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype}@anchor{1128}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subtype}@anchor{1626}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subtype (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Element_Subnature_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature_Indication}@anchor{1129}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature_Indication}@anchor{1627}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subnature_Indication (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Element_Subnature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature}@anchor{112a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Element_Subnature}@anchor{1628}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subnature (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Index_Constraint_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_List}@anchor{112b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_List}@anchor{1629}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Constraint_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Array_Element_Constraint() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Array_Element_Constraint}@anchor{112c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Array_Element_Constraint}@anchor{162a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Array_Element_Constraint (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Array_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Array_Constraint_Flag}@anchor{112d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Array_Constraint_Flag}@anchor{162b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Array_Constraint_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Element_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Element_Constraint_Flag}@anchor{112e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Element_Constraint_Flag}@anchor{162c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Element_Constraint_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Elements_Declaration_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elements_Declaration_List}@anchor{112f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elements_Declaration_List}@anchor{162d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Elements_Declaration_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Owned_Elements_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Owned_Elements_Chain}@anchor{1130}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Owned_Elements_Chain}@anchor{162e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Owned_Elements_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Type}@anchor{1131}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Type}@anchor{162f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Type (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Designated_Subtype_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Subtype_Indication}@anchor{1132}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Designated_Subtype_Indication}@anchor{1630}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Subtype_Indication (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Index_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_List}@anchor{1133}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_List}@anchor{1631}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Reference() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reference}@anchor{1134}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reference}@anchor{1632}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Reference (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Nature_Declarator() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Declarator}@anchor{1135}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Nature_Declarator}@anchor{1633}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Declarator (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Across_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Mark}@anchor{1136}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Mark}@anchor{1634}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type_Mark (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Through_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Mark}@anchor{1137}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Mark}@anchor{1635}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type_Mark (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Across_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Definition}@anchor{1138}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type_Definition}@anchor{1636}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type_Definition (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Through_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Definition}@anchor{1139}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type_Definition}@anchor{1637}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type_Definition (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Across_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type}@anchor{113a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Across_Type}@anchor{1638}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Through_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type}@anchor{113b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Through_Type}@anchor{1639}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Target() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Target}@anchor{113c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Target}@anchor{163a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Target (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Waveform_Chain}@anchor{113d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Waveform_Chain}@anchor{163b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Waveform_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Guard() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard}@anchor{113e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard}@anchor{163c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Delay_Mechanism}@anchor{113f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Delay_Mechanism}@anchor{163d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Delay_Mechanism (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Reject_Time_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reject_Time_Expression}@anchor{1140}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Reject_Time_Expression}@anchor{163e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Reject_Time_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Force_Mode}@anchor{1141}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Force_Mode}@anchor{163f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Force_Mode (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Force_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Force_Mode}@anchor{1142}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Force_Mode}@anchor{1640}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Force_Mode (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sensitivity_List}@anchor{1143}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sensitivity_List}@anchor{1641}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sensitivity_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Process_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Process_Origin}@anchor{1144}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Process_Origin}@anchor{1642}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Process_Origin (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Package_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Origin}@anchor{1145}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Origin}@anchor{1643}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Origin (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Condition_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition_Clause}@anchor{1146}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition_Clause}@anchor{1644}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Condition_Clause (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Break_Element() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Element}@anchor{1147}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Element}@anchor{1645}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Break_Element (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Selector_Quantity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selector_Quantity}@anchor{1148}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selector_Quantity}@anchor{1646}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selector_Quantity (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Break_Quantity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Quantity}@anchor{1149}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Break_Quantity}@anchor{1647}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Break_Quantity (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Timeout_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Timeout_Clause}@anchor{114a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Timeout_Clause}@anchor{1648}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Timeout_Clause (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Postponed_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Postponed_Flag}@anchor{114b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Postponed_Flag}@anchor{1649}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Postponed_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Callees_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Callees_List}@anchor{114c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Callees_List}@anchor{164a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Callees_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Passive_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Passive_Flag}@anchor{114d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Passive_Flag}@anchor{164b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Passive_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Resolution_Function_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Function_Flag}@anchor{114e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolution_Function_Flag}@anchor{164c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolution_Function_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Wait_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Wait_State}@anchor{114f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Wait_State}@anchor{164d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Wait_State (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_All_Sensitized_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_All_Sensitized_State}@anchor{1150}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_All_Sensitized_State}@anchor{164e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_All_Sensitized_State (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Seen_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Seen_Flag}@anchor{1151}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Seen_Flag}@anchor{164f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Seen_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Pure_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pure_Flag}@anchor{1152}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pure_Flag}@anchor{1650}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pure_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Foreign_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Flag}@anchor{1153}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Flag}@anchor{1651}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Foreign_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Resolved_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolved_Flag}@anchor{1154}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Resolved_Flag}@anchor{1652}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolved_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Signal_Type_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Type_Flag}@anchor{1155}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Type_Flag}@anchor{1653}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Type_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Signal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signal_Flag}@anchor{1156}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Signal_Flag}@anchor{1654}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Signal_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Purity_State() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Purity_State}@anchor{1157}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Purity_State}@anchor{1655}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Purity_State (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Elab_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elab_Flag}@anchor{1158}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Elab_Flag}@anchor{1656}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Elab_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Vendor_Library_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vendor_Library_Flag}@anchor{1159}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Vendor_Library_Flag}@anchor{1657}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Vendor_Library_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Configuration_Mark_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Mark_Flag}@anchor{115a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Mark_Flag}@anchor{1658}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Mark_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Configuration_Done_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Done_Flag}@anchor{115b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Done_Flag}@anchor{1659}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Done_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Index_Constraint_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_Flag}@anchor{115c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Constraint_Flag}@anchor{165a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Constraint_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Hide_Implicit_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hide_Implicit_Flag}@anchor{115d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Hide_Implicit_Flag}@anchor{165b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Hide_Implicit_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Assertion_Condition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Assertion_Condition}@anchor{115e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Assertion_Condition}@anchor{165c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Assertion_Condition (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Report_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Report_Expression}@anchor{115f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Report_Expression}@anchor{165d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Report_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Severity_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Severity_Expression}@anchor{1160}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Severity_Expression}@anchor{165e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Severity_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Instantiated_Unit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiated_Unit}@anchor{1161}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiated_Unit}@anchor{165f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiated_Unit (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Generic_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Map_Aspect_Chain}@anchor{1162}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generic_Map_Aspect_Chain}@anchor{1660}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generic_Map_Aspect_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Port_Map_Aspect_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Map_Aspect_Chain}@anchor{1163}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Port_Map_Aspect_Chain}@anchor{1661}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Port_Map_Aspect_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Configuration_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Name}@anchor{1164}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Name}@anchor{1662}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Component_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Configuration}@anchor{1165}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Configuration}@anchor{1663}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Component_Configuration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Configuration_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Specification}@anchor{1166}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Configuration_Specification}@anchor{1664}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Specification (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Default_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Binding_Indication}@anchor{1167}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Binding_Indication}@anchor{1665}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Binding_Indication (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Default_Configuration_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Configuration_Declaration}@anchor{1168}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Configuration_Declaration}@anchor{1666}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Configuration_Declaration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expression}@anchor{1169}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expression}@anchor{1667}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Conditional_Expression_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Expression_Chain}@anchor{116a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Expression_Chain}@anchor{1668}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Conditional_Expression_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Allocator_Designated_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Designated_Type}@anchor{116b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Allocator_Designated_Type}@anchor{1669}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Allocator_Designated_Type (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Selected_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Waveform_Chain}@anchor{116c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Selected_Waveform_Chain}@anchor{166a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Selected_Waveform_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Conditional_Waveform_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Waveform_Chain}@anchor{116d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Conditional_Waveform_Chain}@anchor{166b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Conditional_Waveform_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Guard_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Expression}@anchor{116e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Expression}@anchor{166c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Guard_Decl() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Decl}@anchor{116f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Decl}@anchor{166d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Decl (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Guard_Sensitivity_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Sensitivity_List}@anchor{1170}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Guard_Sensitivity_List}@anchor{166e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Sensitivity_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Signal_Attribute_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Attribute_Chain}@anchor{1171}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Attribute_Chain}@anchor{166f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Attribute_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Block_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Block_Configuration}@anchor{1172}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Block_Configuration}@anchor{1670}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Block_Configuration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Package_Header() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Header}@anchor{1173}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Package_Header}@anchor{1671}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Header (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Block_Header() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Header}@anchor{1174}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Block_Header}@anchor{1672}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Header (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Uninstantiated_Package_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Name}@anchor{1175}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Name}@anchor{1673}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Package_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Uninstantiated_Package_Decl() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Decl}@anchor{1176}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Uninstantiated_Package_Decl}@anchor{1674}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Package_Decl (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Instance_Source_File() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Source_File}@anchor{1177}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instance_Source_File}@anchor{1675}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instance_Source_File (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Generate_Block_Configuration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Block_Configuration}@anchor{1178}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Block_Configuration}@anchor{1676}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Block_Configuration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Generate_Statement_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Statement_Body}@anchor{1179}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Statement_Body}@anchor{1677}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Statement_Body (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Alternative_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alternative_Label}@anchor{117a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alternative_Label}@anchor{1678}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Alternative_Label (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Generate_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Else_Clause}@anchor{117b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Generate_Else_Clause}@anchor{1679}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Else_Clause (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Condition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition}@anchor{117c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Condition}@anchor{167a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Condition (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Else_Clause() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Else_Clause}@anchor{117d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Else_Clause}@anchor{167b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Else_Clause (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Parameter_Specification() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Specification}@anchor{117e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Specification}@anchor{167c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_Specification (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Parent() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent}@anchor{117f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parent}@anchor{167d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parent (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Loop_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Loop_Label}@anchor{1180}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Loop_Label}@anchor{167e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Loop_Label (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Exit_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Exit_Flag}@anchor{1181}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Exit_Flag}@anchor{167f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Exit_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Next_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Next_Flag}@anchor{1182}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Next_Flag}@anchor{1680}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Next_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Component_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Name}@anchor{1183}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Component_Name}@anchor{1681}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Component_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Instantiation_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiation_List}@anchor{1184}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Instantiation_List}@anchor{1682}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiation_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Aspect}@anchor{1185}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Entity_Aspect}@anchor{1683}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Aspect (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Default_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Entity_Aspect}@anchor{1186}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Entity_Aspect}@anchor{1684}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Entity_Aspect (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Binding_Indication() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Binding_Indication}@anchor{1187}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Binding_Indication}@anchor{1685}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Binding_Indication (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Named_Entity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Named_Entity}@anchor{1188}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Named_Entity}@anchor{1686}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Named_Entity (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Referenced_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Referenced_Name}@anchor{1189}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Referenced_Name}@anchor{1687}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Referenced_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Expr_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expr_Staticness}@anchor{118a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Expr_Staticness}@anchor{1688}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Expr_Staticness (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Scalar_Size() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Scalar_Size}@anchor{118b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Scalar_Size}@anchor{1689}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Scalar_Size (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Error_Origin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Error_Origin}@anchor{118c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Error_Origin}@anchor{168a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Error_Origin (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Operand() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Operand}@anchor{118d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Operand}@anchor{168b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Operand (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Left() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left}@anchor{118e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Left}@anchor{168c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Left (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Right() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right}@anchor{118f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Right}@anchor{168d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Right (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Unit_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Name}@anchor{1190}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Unit_Name}@anchor{168e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Unit_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name}@anchor{1191}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name}@anchor{168f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Group_Template_Name() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Template_Name}@anchor{1192}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Group_Template_Name}@anchor{1690}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Group_Template_Name (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Name_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name_Staticness}@anchor{1193}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Name_Staticness}@anchor{1691}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Name_Staticness (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Prefix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prefix}@anchor{1194}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Prefix}@anchor{1692}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Prefix (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Signature_Prefix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signature_Prefix}@anchor{1195}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signature_Prefix}@anchor{1693}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signature_Prefix (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_External_Pathname() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_External_Pathname}@anchor{1196}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_External_Pathname}@anchor{1694}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_External_Pathname (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Pathname_Suffix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Suffix}@anchor{1197}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Suffix}@anchor{1695}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pathname_Suffix (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Pathname_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Expression}@anchor{1198}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Pathname_Expression}@anchor{1696}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Pathname_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_In_Formal_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_In_Formal_Flag}@anchor{1199}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_In_Formal_Flag}@anchor{1697}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_In_Formal_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Slice_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Slice_Subtype}@anchor{119a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Slice_Subtype}@anchor{1698}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Slice_Subtype (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Suffix() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suffix}@anchor{119b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suffix}@anchor{1699}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suffix (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Index_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype}@anchor{119c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Index_Subtype}@anchor{169a}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter}@anchor{119d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter}@anchor{169b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Parameter_2() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_2}@anchor{119e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_2}@anchor{169c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_2 (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Parameter_3() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_3}@anchor{119f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_3}@anchor{169d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_3 (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Parameter_4() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_4}@anchor{11a0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_4}@anchor{169e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_4 (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Attr_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attr_Chain}@anchor{11a1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attr_Chain}@anchor{169f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attr_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Signal_Attribute_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Attribute_Declaration}@anchor{11a2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Signal_Attribute_Declaration}@anchor{16a0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Attribute_Declaration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Actual_Type() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type}@anchor{11a3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type}@anchor{16a1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Type (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Actual_Type_Definition() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type_Definition}@anchor{11a4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Actual_Type_Definition}@anchor{16a2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Type_Definition (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Chain}@anchor{11a5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Chain}@anchor{16a3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Association_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Individual_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Individual_Association_Chain}@anchor{11a6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Individual_Association_Chain}@anchor{16a4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Individual_Association_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Subprogram_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Association_Chain}@anchor{11a7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subprogram_Association_Chain}@anchor{16a5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Association_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Info}@anchor{11a8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Info}@anchor{16a6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggregate_Info (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Sub_Aggregate_Info() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sub_Aggregate_Info}@anchor{11a9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Sub_Aggregate_Info}@anchor{16a7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Sub_Aggregate_Info (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Aggr_Dynamic_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Dynamic_Flag}@anchor{11aa}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Dynamic_Flag}@anchor{16a8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Dynamic_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Aggr_Min_Length() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Min_Length}@anchor{11ab}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Min_Length}@anchor{16a9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Min_Length (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Aggr_Low_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Low_Limit}@anchor{11ac}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Low_Limit}@anchor{16aa}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Low_Limit (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Aggr_High_Limit() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_High_Limit}@anchor{11ad}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_High_Limit}@anchor{16ab}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_High_Limit (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Aggr_Others_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Others_Flag}@anchor{11ae}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Others_Flag}@anchor{16ac}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Others_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Aggr_Named_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Named_Flag}@anchor{11af}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggr_Named_Flag}@anchor{16ad}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Named_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Aggregate_Expand_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Expand_Flag}@anchor{11b0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Aggregate_Expand_Flag}@anchor{16ae}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggregate_Expand_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Association_Choices_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Choices_Chain}@anchor{11b1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Association_Choices_Chain}@anchor{16af}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Association_Choices_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Case_Statement_Alternative_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Case_Statement_Alternative_Chain}@anchor{11b2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Case_Statement_Alternative_Chain}@anchor{16b0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Case_Statement_Alternative_Chain (kind)
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_Matching_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Matching_Flag}@anchor{16b1}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Matching_Flag (kind)
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@@ -44396,519 +53262,476 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Choice_Staticness() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Staticness}@anchor{11b3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Choice_Staticness}@anchor{16b2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Staticness (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Procedure_Call() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Procedure_Call}@anchor{11b4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Procedure_Call}@anchor{16b3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Procedure_Call (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Implementation() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implementation}@anchor{11b5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implementation}@anchor{16b4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implementation (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Parameter_Association_Chain() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Association_Chain}@anchor{11b6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Parameter_Association_Chain}@anchor{16b5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_Association_Chain (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Method_Object() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Method_Object}@anchor{11b7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Method_Object}@anchor{16b6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Method_Object (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Subtype_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Type_Mark}@anchor{11b8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subtype_Type_Mark}@anchor{16b7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Type_Mark (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Subnature_Nature_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Nature_Mark}@anchor{11b9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Subnature_Nature_Mark}@anchor{16b8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Subnature_Nature_Mark (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Type_Conversion_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Conversion_Subtype}@anchor{11ba}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Conversion_Subtype}@anchor{16b9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Conversion_Subtype (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Mark}@anchor{11bb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Mark}@anchor{16ba}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Mark (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_File_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Type_Mark}@anchor{11bc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_File_Type_Mark}@anchor{16bb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Type_Mark (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Return_Type_Mark() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type_Mark}@anchor{11bd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Return_Type_Mark}@anchor{16bc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Type_Mark (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Disconnect_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Disconnect_Flag}@anchor{11be}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Disconnect_Flag}@anchor{16bd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Disconnect_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Active_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Active_Flag}@anchor{11bf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Active_Flag}@anchor{16be}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Active_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Is_Within_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Within_Flag}@anchor{11c0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Within_Flag}@anchor{16bf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Within_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Type_Marks_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Marks_List}@anchor{11c1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Type_Marks_List}@anchor{16c0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Marks_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Implicit_Alias_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Alias_Flag}@anchor{11c2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Implicit_Alias_Flag}@anchor{16c1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Implicit_Alias_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Alias_Signature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alias_Signature}@anchor{11c3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Alias_Signature}@anchor{16c2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Alias_Signature (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Attribute_Signature() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Signature}@anchor{11c4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Attribute_Signature}@anchor{16c3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Signature (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Overload_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_List}@anchor{11c5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Overload_List}@anchor{16c4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Overload_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Simple_Name_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Identifier}@anchor{11c6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Identifier}@anchor{16c5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Name_Identifier (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Simple_Name_Subtype() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Subtype}@anchor{11c7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Simple_Name_Subtype}@anchor{16c6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Name_Subtype (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Protected_Type_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Body}@anchor{11c8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Body}@anchor{16c7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Protected_Type_Body (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Protected_Type_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Declaration}@anchor{11c9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Protected_Type_Declaration}@anchor{16c8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Protected_Type_Declaration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Use_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Flag}@anchor{11ca}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Use_Flag}@anchor{16c9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Use_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_End_Has_Reserved_Id() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Reserved_Id}@anchor{11cb}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Reserved_Id}@anchor{16ca}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Reserved_Id (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_End_Has_Identifier() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Identifier}@anchor{11cc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Identifier}@anchor{16cb}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Identifier (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_End_Has_Postponed() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Postponed}@anchor{11cd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_End_Has_Postponed}@anchor{16cc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Postponed (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Label() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Label}@anchor{11ce}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Label}@anchor{16cd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Label (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Begin() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Begin}@anchor{11cf}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Begin}@anchor{16ce}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Begin (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_End() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_End}@anchor{11d0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_End}@anchor{16cf}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_End (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Is() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Is}@anchor{11d1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Is}@anchor{16d0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Is (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Pure() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Pure}@anchor{11d2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Pure}@anchor{16d1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Pure (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Body() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Body}@anchor{11d3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Body}@anchor{16d2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Body (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Parameter() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Parameter}@anchor{11d4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Parameter}@anchor{16d3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Parameter (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Component() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Component}@anchor{11d5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Component}@anchor{16d4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Component (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Identifier_List() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Identifier_List}@anchor{11d6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Identifier_List}@anchor{16d5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Identifier_List (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Mode() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Mode}@anchor{11d7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Mode}@anchor{16d6}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Mode (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Class() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Class}@anchor{11d8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Class}@anchor{16d7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Class (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Has_Delay_Mechanism() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Delay_Mechanism}@anchor{11d9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Has_Delay_Mechanism}@anchor{16d8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Delay_Mechanism (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Suspend_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suspend_Flag}@anchor{11da}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Suspend_Flag}@anchor{16d9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_Flag (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Is_Ref() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Ref}@anchor{11db}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Ref}@anchor{16da}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Ref (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Is_Forward_Ref() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Forward_Ref}@anchor{11dc}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Is_Forward_Ref}@anchor{16db}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Forward_Ref (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Psl_Property() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Property}@anchor{11dd}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Property}@anchor{16dc}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Property (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Psl_Sequence() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Sequence}@anchor{11de}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Sequence}@anchor{16dd}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Sequence (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Psl_Declaration() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Declaration}@anchor{11df}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Declaration}@anchor{16de}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Declaration (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Psl_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Expression}@anchor{11e0}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Expression}@anchor{16df}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Psl_Boolean() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Boolean}@anchor{11e1}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Psl_Boolean}@anchor{16e0}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Boolean (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_PSL_Clock() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock}@anchor{11e2}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock}@anchor{16e1}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Clock (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_PSL_NFA() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_NFA}@anchor{11e3}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_NFA}@anchor{16e2}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_NFA (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_PSL_Nbr_States() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Nbr_States}@anchor{11e4}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Nbr_States}@anchor{16e3}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Nbr_States (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_PSL_Clock_Sensitivity() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock_Sensitivity}@anchor{11e5}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Clock_Sensitivity}@anchor{16e4}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Clock_Sensitivity (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_PSL_EOS_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_EOS_Flag}@anchor{11e6}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_EOS_Flag}@anchor{16e5}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_EOS_Flag (kind)
+@*Return type:
+bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
+
+@end deffn
+
+@geindex Has_PSL_Abort_Flag() (in module pyGHDL.libghdl.vhdl.nodes_meta)
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_PSL_Abort_Flag}@anchor{16e6}
+@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Abort_Flag (kind)
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@@ -44916,46 +53739,42 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Count_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Count_Expression}@anchor{11e7}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Count_Expression}@anchor{16e7}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Count_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Clock_Expression() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Clock_Expression}@anchor{11e8}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Clock_Expression}@anchor{16e8}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Clock_Expression (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Default_Clock() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Clock}@anchor{11e9}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Default_Clock}@anchor{16e9}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Clock (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Has_Foreign_Node() (in module pyGHDL.libghdl.vhdl.nodes_meta)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Node}@anchor{11ea}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_meta pyGHDL libghdl vhdl nodes_meta Has_Foreign_Node}@anchor{16ea}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_meta.Has_Foreign_Node (kind)
-
@*Return type:
bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -44976,7 +53795,7 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl nodes_meta,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils doc}@anchor{1387}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils module-pyGHDL libghdl vhdl nodes_utils}@anchor{2d}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyghdl-libghdl-vhdl-nodes-utils}@anchor{1388}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils doc}@anchor{1889}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils module-pyGHDL libghdl vhdl nodes_utils}@anchor{31}@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyghdl-libghdl-vhdl-nodes-utils}@anchor{188a}
@subsubsection pyGHDL.libghdl.vhdl.nodes_utils
@@ -44990,23 +53809,23 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@itemize -
@item
-@ref{1389,,Strip_Denoting_Name()}:
+@ref{188b,,Strip_Denoting_Name()}:
If @code{Name} is a simple or an expanded name, return the denoted declaration.
@item
-@ref{138a,,Get_Entity()}:
+@ref{188c,,Get_Entity()}:
This is a wrapper around @code{Get_Entity_Name} to return the entity declaration
@item
-@ref{138b,,Is_Second_Subprogram_Specification()}:
+@ref{188d,,Is_Second_Subprogram_Specification()}:
Check if @code{Spec} is the subprogram specification of a subprogram body
@item
-@ref{138c,,Get_Entity_From_Entity_Aspect()}:
+@ref{188e,,Get_Entity_From_Entity_Aspect()}:
Extract the entity from @code{Aspect}.
@item
-@ref{138d,,Get_Interface_Of_Formal()}:
+@ref{188f,,Get_Interface_Of_Formal()}:
Get the interface corresponding to the formal name @code{Formal}. This is
@end itemize
@@ -45015,19 +53834,18 @@ Get the interface corresponding to the formal name @code{Formal}. This is
@strong{Functions}
@geindex Strip_Denoting_Name() (in module pyGHDL.libghdl.vhdl.nodes_utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Strip_Denoting_Name}@anchor{1389}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Strip_Denoting_Name}@anchor{188b}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Strip_Denoting_Name (Name)
-
If @code{Name} is a simple or an expanded name, return the denoted declaration.
Otherwise, return @code{Name}.
@*Parameters:
-@code{Name} (@code{~Iir}) – Simple or an expanded name.
+@code{Name} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Simple or an expanded name.
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -45036,19 +53854,18 @@ Denoted declaration.
@end deffn
@geindex Get_Entity() (in module pyGHDL.libghdl.vhdl.nodes_utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity}@anchor{138a}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity}@anchor{188c}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Entity (Decl)
-
This is a wrapper around @code{Get_Entity_Name} to return the entity declaration
of the entity name of @code{Decl}, or @code{Null_Iir} in case of error.
@*Parameters:
-@code{Decl} (@code{~Iir}) – Declaration
+@code{Decl} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Declaration
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -45057,16 +53874,15 @@ Entity
@end deffn
@geindex Is_Second_Subprogram_Specification() (in module pyGHDL.libghdl.vhdl.nodes_utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Is_Second_Subprogram_Specification}@anchor{138b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Is_Second_Subprogram_Specification}@anchor{188d}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Is_Second_Subprogram_Specification (Spec)
-
Check if @code{Spec} is the subprogram specification of a subprogram body
which was previously declared. In that case, the only use of @code{Spec}
is to match the body with its declaration.
@*Parameters:
-@code{Spec} (@code{~Iir}) – Specification
+@code{Spec} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Specification
@*Return type:
@@ -45079,21 +53895,20 @@ bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}
@end deffn
@geindex Get_Entity_From_Entity_Aspect() (in module pyGHDL.libghdl.vhdl.nodes_utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity_From_Entity_Aspect}@anchor{138c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Entity_From_Entity_Aspect}@anchor{188e}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Entity_From_Entity_Aspect (Aspect)
-
Extract the entity from @code{Aspect}.
If @code{Aspect} is a component declaration, return @code{Aspect}. If it’s
open, return @code{Null_Iir}
@*Parameters:
-@code{Aspect} (@code{~Iir}) – Aspect
+@code{Aspect} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Aspect
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -45102,19 +53917,18 @@ Entity
@end deffn
@geindex Get_Interface_Of_Formal() (in module pyGHDL.libghdl.vhdl.nodes_utils)
-@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Interface_Of_Formal}@anchor{138d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl nodes_utils pyGHDL libghdl vhdl nodes_utils Get_Interface_Of_Formal}@anchor{188f}
@deffn {Function} pyGHDL.libghdl.vhdl.nodes_utils.Get_Interface_Of_Formal (Formal)
-
Get the interface corresponding to the formal name @code{Formal}. This is
always an interface, even if the formal is a name.
@*Parameters:
-@code{Formal} (@code{~Iir}) – The formal.
+@code{Formal} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – The formal.
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -45122,7 +53936,7 @@ The corresponding interface.
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -45143,7 +53957,7 @@ The corresponding interface.
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl nodes_utils,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl parse doc}@anchor{138e}@anchor{pyGHDL/pyGHDL libghdl vhdl parse module-pyGHDL libghdl vhdl parse}@anchor{2e}@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyghdl-libghdl-vhdl-parse}@anchor{138f}
+@anchor{pyGHDL/pyGHDL libghdl vhdl parse doc}@anchor{1890}@anchor{pyGHDL/pyGHDL libghdl vhdl parse module-pyGHDL libghdl vhdl parse}@anchor{32}@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyghdl-libghdl-vhdl-parse}@anchor{1891}
@subsubsection pyGHDL.libghdl.vhdl.parse
@@ -45157,7 +53971,7 @@ The corresponding interface.
@itemize -
@item
-@ref{1390,,Flag_Parse_Parenthesis}
+@ref{1892,,Flag_Parse_Parenthesis}
@end itemize
@strong{Functions}
@@ -45166,12 +53980,12 @@ The corresponding interface.
@itemize -
@item
-@ref{1391,,Parse_Design_File()}:
+@ref{1893,,Parse_Design_File()}:
Parse a file.
@end itemize
@geindex Flag_Parse_Parenthesis (in module pyGHDL.libghdl.vhdl.parse)
-@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Flag_Parse_Parenthesis}@anchor{1390}
+@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Flag_Parse_Parenthesis}@anchor{1892}
@deffn {Data} pyGHDL.libghdl.vhdl.parse.Flag_Parse_Parenthesis
@example
@@ -45184,16 +53998,15 @@ c_bool(False)
@strong{Functions}
@geindex Parse_Design_File() (in module pyGHDL.libghdl.vhdl.parse)
-@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Parse_Design_File}@anchor{1391}
+@anchor{pyGHDL/pyGHDL libghdl vhdl parse pyGHDL libghdl vhdl parse Parse_Design_File}@anchor{1893}
@deffn {Function} pyGHDL.libghdl.vhdl.parse.Parse_Design_File ()
-
Parse a file.
..note:: The scanner must have been initialized as for parse_design_unit.
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -45201,7 +54014,7 @@ Return @code{Null_Iir} in case of error. Type: @code{Iir_Design_File}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -45222,7 +54035,7 @@ Return @code{Null_Iir} in case of error. Type: @code{Iir_Design_File}
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl parse,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem doc}@anchor{1392}@anchor{pyGHDL/pyGHDL libghdl vhdl sem module-pyGHDL libghdl vhdl sem}@anchor{2f}@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyghdl-libghdl-vhdl-sem}@anchor{1393}
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem doc}@anchor{1894}@anchor{pyGHDL/pyGHDL libghdl vhdl sem module-pyGHDL libghdl vhdl sem}@anchor{33}@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyghdl-libghdl-vhdl-sem}@anchor{1895}
@subsubsection pyGHDL.libghdl.vhdl.sem
@@ -45236,7 +54049,7 @@ Return @code{Null_Iir} in case of error. Type: @code{Iir_Design_File}
@itemize -
@item
-@ref{1394,,Semantic()}:
+@ref{1896,,Semantic()}:
Do the semantic analysis of design unit @code{DesignUnit}.
@end itemize
@@ -45245,17 +54058,16 @@ Do the semantic analysis of design unit @code{DesignUnit}.
@strong{Functions}
@geindex Semantic() (in module pyGHDL.libghdl.vhdl.sem)
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyGHDL libghdl vhdl sem Semantic}@anchor{1394}
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem pyGHDL libghdl vhdl sem Semantic}@anchor{1896}
@deffn {Function} pyGHDL.libghdl.vhdl.sem.Semantic (DesignUnit)
-
Do the semantic analysis of design unit @code{DesignUnit}.
Also add a few node or change some nodes, when for example an identifier is
changed into an access to the type.
@*Parameters:
-@code{DesignUnit} (@code{~Iir_Design_Unit}) – Design unit to semantically analyze. Type: @code{Iir_Design_Unit}
+@code{DesignUnit} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Design unit to semantically analyze. Type: @code{Iir_Design_Unit}
@*Return type:
@@ -45263,7 +54075,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -45284,7 +54096,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl sem,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib doc}@anchor{1395}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib module-pyGHDL libghdl vhdl sem_lib}@anchor{30}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyghdl-libghdl-vhdl-sem-lib}@anchor{1396}
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib doc}@anchor{1897}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib module-pyGHDL libghdl vhdl sem_lib}@anchor{34}@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyghdl-libghdl-vhdl-sem-lib}@anchor{1898}
@subsubsection pyGHDL.libghdl.vhdl.sem_lib
@@ -45298,15 +54110,15 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{1397,,Load_File()}:
+@ref{1899,,Load_File()}:
Start to analyse a file (i.e. load and parse it).
@item
-@ref{1398,,Finish_Compilation()}:
+@ref{189a,,Finish_Compilation()}:
Analyze @code{Unit}.
@item
-@ref{1399,,Free_Dependence_List()}:
+@ref{189b,,Free_Dependence_List()}:
Free the dependence list of @code{Design}.
@end itemize
@@ -45315,18 +54127,17 @@ Free the dependence list of @code{Design}.
@strong{Functions}
@geindex Load_File() (in module pyGHDL.libghdl.vhdl.sem_lib)
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Load_File}@anchor{1397}
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Load_File}@anchor{1899}
@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Load_File (File)
-
Start to analyse a file (i.e. load and parse it).
@*Parameters:
-@code{File} (@code{~SourceFileEntry}) – File to analyse.
+@code{File} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{SourceFileEntry}, bound= c_uint@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_uint})) – File to analyse.
@*Return type:
-~Iir_Design_File
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_File}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -45335,10 +54146,9 @@ Return @code{Null_Iir} in case of parse error. Type: @code{Iir_Design_File}
@end deffn
@geindex Finish_Compilation() (in module pyGHDL.libghdl.vhdl.sem_lib)
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Finish_Compilation}@anchor{1398}
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Finish_Compilation}@anchor{189a}
@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Finish_Compilation (Unit, Main=False)
-
Analyze @code{Unit}.
@*Parameters:
@@ -45346,7 +54156,7 @@ Analyze @code{Unit}.
@itemize *
@item
-@code{Unit} (@code{~Iir_Design_Unit}) – Design unit to analyze.
+@code{Unit} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Design unit to analyze.
@item
@code{Main} (bool@footnote{https://docs.python.org/3.6/library/functions.html#bool}) – Is main unit.
@@ -45359,14 +54169,13 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex Free_Dependence_List() (in module pyGHDL.libghdl.vhdl.sem_lib)
-@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Free_Dependence_List}@anchor{1399}
+@anchor{pyGHDL/pyGHDL libghdl vhdl sem_lib pyGHDL libghdl vhdl sem_lib Free_Dependence_List}@anchor{189b}
@deffn {Function} pyGHDL.libghdl.vhdl.sem_lib.Free_Dependence_List (Design)
-
Free the dependence list of @code{Design}.
@*Parameters:
-@code{Design} (@code{~Iir_Design_Unit}) – Design unit to free dependencies for.
+@code{Design} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir_Design_Unit}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Design unit to free dependencies for.
@*Return type:
@@ -45374,7 +54183,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -45395,7 +54204,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl sem_lib,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl std_package doc}@anchor{139a}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package module-pyGHDL libghdl vhdl std_package}@anchor{31}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyghdl-libghdl-vhdl-std-package}@anchor{139b}
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package doc}@anchor{189c}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package module-pyGHDL libghdl vhdl std_package}@anchor{35}@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyghdl-libghdl-vhdl-std-package}@anchor{189d}
@subsubsection pyGHDL.libghdl.vhdl.std_package
@@ -45409,49 +54218,49 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{139c,,Std_Location}
+@ref{189e,,Std_Location}
@item
-@ref{139d,,Standard_Package}
+@ref{189f,,Standard_Package}
@item
-@ref{139e,,Character_Type_Definition}
+@ref{18a0,,Character_Type_Definition}
@end itemize
@geindex Std_Location (in module pyGHDL.libghdl.vhdl.std_package)
-@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Std_Location}@anchor{139c}
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Std_Location}@anchor{189e}
@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Std_Location
Virtual location for the @code{std.standard} package. Use @code{.value} to access this variable inside libghdl.
@example
-c_long(0)
+c_int(0)
@end example
@end deffn
@geindex Standard_Package (in module pyGHDL.libghdl.vhdl.std_package)
-@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Standard_Package}@anchor{139d}
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Standard_Package}@anchor{189f}
@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Standard_Package
Virtual package @code{std.package}. Use @code{.value} to access this variable inside libghdl.
@example
-c_long(0)
+c_int(0)
@end example
@end deffn
@geindex Character_Type_Definition (in module pyGHDL.libghdl.vhdl.std_package)
-@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Character_Type_Definition}@anchor{139e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl std_package pyGHDL libghdl vhdl std_package Character_Type_Definition}@anchor{18a0}
@deffn {Data} pyGHDL.libghdl.vhdl.std_package.Character_Type_Definition
Predefined character. Use @code{.value} to access this variable inside libghdl.
@example
-c_long(0)
+c_int(0)
@end example
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -45471,8 +54280,8 @@ c_long(0)
@c # define a hard line break for HTML
-@node pyGHDL libghdl vhdl tokens,,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens doc}@anchor{139f}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens module-pyGHDL libghdl vhdl tokens}@anchor{32}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyghdl-libghdl-vhdl-tokens}@anchor{13a0}
+@node pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl utils,pyGHDL libghdl vhdl std_package,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens doc}@anchor{18a1}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens module-pyGHDL libghdl vhdl tokens}@anchor{36}@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyghdl-libghdl-vhdl-tokens}@anchor{18a2}
@subsubsection pyGHDL.libghdl.vhdl.tokens
@@ -45486,17 +54295,16 @@ c_long(0)
@itemize -
@item
-@ref{106e,,Tok}:
+@ref{156c,,Tok}:
An enumeration.
@end itemize
@c #-----------------------------------
@geindex Tok (class in pyGHDL.libghdl.vhdl.tokens)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok}@anchor{106e}
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok}@anchor{156c}
@deffn {Class} pyGHDL.libghdl.vhdl.tokens.Tok (value)
-
An enumeration.
@subsubheading Inheritance
@@ -45507,1119 +54315,1198 @@ An enumeration.
@geindex Invalid (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Invalid}@anchor{13a1}
-@deffn {Attribute} Invalid = 0
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Invalid}@anchor{18a3}
+@deffn {Attribute} Invalid = 0
@end deffn
@geindex Eof (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Eof}@anchor{13a2}
-@deffn {Attribute} Eof = 1
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Eof}@anchor{18a4}
+@deffn {Attribute} Eof = 1
@end deffn
@geindex Newline (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Newline}@anchor{13a3}
-@deffn {Attribute} Newline = 2
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Newline}@anchor{18a5}
+@deffn {Attribute} Newline = 2
@end deffn
@geindex Block_Comment_Start (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_Start}@anchor{13a4}
-@deffn {Attribute} Block_Comment_Start = 3
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_Start}@anchor{18a6}
+@deffn {Attribute} Block_Comment_Start = 3
@end deffn
@geindex Block_Comment_End (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_End}@anchor{13a5}
-@deffn {Attribute} Block_Comment_End = 4
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_End}@anchor{18a7}
+@deffn {Attribute} Block_Comment_End = 4
@end deffn
@geindex Block_Comment_Text (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_Text}@anchor{13a6}
-@deffn {Attribute} Block_Comment_Text = 5
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block_Comment_Text}@anchor{18a8}
+@deffn {Attribute} Block_Comment_Text = 5
@end deffn
@geindex Line_Comment (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Line_Comment}@anchor{13a7}
-@deffn {Attribute} Line_Comment = 6
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Line_Comment}@anchor{18a9}
+@deffn {Attribute} Line_Comment = 6
@end deffn
@geindex Character (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Character}@anchor{13a8}
-@deffn {Attribute} Character = 7
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Character}@anchor{18aa}
+@deffn {Attribute} Character = 7
@end deffn
@geindex Identifier (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Identifier}@anchor{13a9}
-@deffn {Attribute} Identifier = 8
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Identifier}@anchor{18ab}
+@deffn {Attribute} Identifier = 8
@end deffn
@geindex Integer (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Integer}@anchor{13aa}
-@deffn {Attribute} Integer = 9
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Integer}@anchor{18ac}
+@deffn {Attribute} Integer = 9
@end deffn
@geindex Real (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Real}@anchor{13ab}
-@deffn {Attribute} Real = 10
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Real}@anchor{18ad}
+@deffn {Attribute} Real = 10
@end deffn
@geindex String (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok String}@anchor{13ac}
-@deffn {Attribute} String = 11
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok String}@anchor{18ae}
+@deffn {Attribute} String = 11
@end deffn
@geindex Bit_String (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bit_String}@anchor{13ad}
-@deffn {Attribute} Bit_String = 12
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bit_String}@anchor{18af}
+@deffn {Attribute} Bit_String = 12
@end deffn
@geindex Integer_Letter (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Integer_Letter}@anchor{13ae}
-@deffn {Attribute} Integer_Letter = 13
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Integer_Letter}@anchor{18b0}
+@deffn {Attribute} Integer_Letter = 13
@end deffn
@geindex Left_Paren (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Paren}@anchor{13af}
-@deffn {Attribute} Left_Paren = 14
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Paren}@anchor{18b1}
+@deffn {Attribute} Left_Paren = 14
@end deffn
@geindex Right_Paren (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Paren}@anchor{13b0}
-@deffn {Attribute} Right_Paren = 15
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Paren}@anchor{18b2}
+@deffn {Attribute} Right_Paren = 15
@end deffn
@geindex Left_Bracket (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Bracket}@anchor{13b1}
-@deffn {Attribute} Left_Bracket = 16
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Bracket}@anchor{18b3}
+@deffn {Attribute} Left_Bracket = 16
@end deffn
@geindex Right_Bracket (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Bracket}@anchor{13b2}
-@deffn {Attribute} Right_Bracket = 17
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Bracket}@anchor{18b4}
+@deffn {Attribute} Right_Bracket = 17
@end deffn
@geindex Colon (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Colon}@anchor{13b3}
-@deffn {Attribute} Colon = 18
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Colon}@anchor{18b5}
+@deffn {Attribute} Colon = 18
@end deffn
@geindex Semi_Colon (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Semi_Colon}@anchor{13b4}
-@deffn {Attribute} Semi_Colon = 19
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Semi_Colon}@anchor{18b6}
+@deffn {Attribute} Semi_Colon = 19
@end deffn
@geindex Comma (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Comma}@anchor{13b5}
-@deffn {Attribute} Comma = 20
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Comma}@anchor{18b7}
+@deffn {Attribute} Comma = 20
@end deffn
@geindex Double_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Arrow}@anchor{13b6}
-@deffn {Attribute} Double_Arrow = 21
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Arrow}@anchor{18b8}
+@deffn {Attribute} Double_Arrow = 21
@end deffn
@geindex Tick (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Tick}@anchor{13b7}
-@deffn {Attribute} Tick = 22
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Tick}@anchor{18b9}
+@deffn {Attribute} Tick = 22
@end deffn
@geindex Double_Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Star}@anchor{13b8}
-@deffn {Attribute} Double_Star = 23
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Star}@anchor{18ba}
+@deffn {Attribute} Double_Star = 23
@end deffn
@geindex Assign (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assign}@anchor{13b9}
-@deffn {Attribute} Assign = 24
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assign}@anchor{18bb}
+@deffn {Attribute} Assign = 24
@end deffn
@geindex Bar (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar}@anchor{13ba}
-@deffn {Attribute} Bar = 25
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar}@anchor{18bc}
+@deffn {Attribute} Bar = 25
@end deffn
@geindex Box (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Box}@anchor{13bb}
-@deffn {Attribute} Box = 26
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Box}@anchor{18bd}
+@deffn {Attribute} Box = 26
@end deffn
@geindex Dot (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Dot}@anchor{13bc}
-@deffn {Attribute} Dot = 27
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Dot}@anchor{18be}
+@deffn {Attribute} Dot = 27
@end deffn
@geindex Equal_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equal_Equal}@anchor{13bd}
-@deffn {Attribute} Equal_Equal = 28
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equal_Equal}@anchor{18bf}
+@deffn {Attribute} Equal_Equal = 28
@end deffn
@geindex Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equal}@anchor{13be}
-@deffn {Attribute} Equal = 29
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equal}@anchor{18c0}
+@deffn {Attribute} Equal = 29
@end deffn
@geindex Not_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Not_Equal}@anchor{13bf}
-@deffn {Attribute} Not_Equal = 30
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Not_Equal}@anchor{18c1}
+@deffn {Attribute} Not_Equal = 30
@end deffn
@geindex Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Less}@anchor{13c0}
-@deffn {Attribute} Less = 31
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Less}@anchor{18c2}
+@deffn {Attribute} Less = 31
@end deffn
@geindex Less_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Less_Equal}@anchor{13c1}
-@deffn {Attribute} Less_Equal = 32
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Less_Equal}@anchor{18c3}
+@deffn {Attribute} Less_Equal = 32
@end deffn
@geindex Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Greater}@anchor{13c2}
-@deffn {Attribute} Greater = 33
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Greater}@anchor{18c4}
+@deffn {Attribute} Greater = 33
@end deffn
@geindex Greater_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Greater_Equal}@anchor{13c3}
-@deffn {Attribute} Greater_Equal = 34
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Greater_Equal}@anchor{18c5}
+@deffn {Attribute} Greater_Equal = 34
@end deffn
@geindex Match_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Equal}@anchor{13c4}
-@deffn {Attribute} Match_Equal = 35
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Equal}@anchor{18c6}
+@deffn {Attribute} Match_Equal = 35
@end deffn
@geindex Match_Not_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Not_Equal}@anchor{13c5}
-@deffn {Attribute} Match_Not_Equal = 36
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Not_Equal}@anchor{18c7}
+@deffn {Attribute} Match_Not_Equal = 36
@end deffn
@geindex Match_Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Less}@anchor{13c6}
-@deffn {Attribute} Match_Less = 37
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Less}@anchor{18c8}
+@deffn {Attribute} Match_Less = 37
@end deffn
@geindex Match_Less_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Less_Equal}@anchor{13c7}
-@deffn {Attribute} Match_Less_Equal = 38
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Less_Equal}@anchor{18c9}
+@deffn {Attribute} Match_Less_Equal = 38
@end deffn
@geindex Match_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Greater}@anchor{13c8}
-@deffn {Attribute} Match_Greater = 39
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Greater}@anchor{18ca}
+@deffn {Attribute} Match_Greater = 39
@end deffn
@geindex Match_Greater_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Greater_Equal}@anchor{13c9}
-@deffn {Attribute} Match_Greater_Equal = 40
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Match_Greater_Equal}@anchor{18cb}
+@deffn {Attribute} Match_Greater_Equal = 40
@end deffn
@geindex Plus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Plus}@anchor{13ca}
-@deffn {Attribute} Plus = 41
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Plus}@anchor{18cc}
+@deffn {Attribute} Plus = 41
@end deffn
@geindex Minus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Minus}@anchor{13cb}
-@deffn {Attribute} Minus = 42
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Minus}@anchor{18cd}
+@deffn {Attribute} Minus = 42
@end deffn
@geindex Ampersand (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Ampersand}@anchor{13cc}
-@deffn {Attribute} Ampersand = 43
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Ampersand}@anchor{18ce}
+@deffn {Attribute} Ampersand = 43
+@end deffn
+
+@geindex Question_Mark (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Question_Mark}@anchor{18cf}
+@deffn {Attribute} Question_Mark = 44
@end deffn
@geindex Condition (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Condition}@anchor{13cd}
-@deffn {Attribute} Condition = 44
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Condition}@anchor{18d0}
+@deffn {Attribute} Condition = 45
@end deffn
@geindex Double_Less (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Less}@anchor{13ce}
-@deffn {Attribute} Double_Less = 45
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Less}@anchor{18d1}
+@deffn {Attribute} Double_Less = 46
@end deffn
@geindex Double_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Greater}@anchor{13cf}
-@deffn {Attribute} Double_Greater = 46
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Double_Greater}@anchor{18d2}
+@deffn {Attribute} Double_Greater = 47
@end deffn
@geindex Caret (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Caret}@anchor{13d0}
-@deffn {Attribute} Caret = 47
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Caret}@anchor{18d3}
+@deffn {Attribute} Caret = 48
@end deffn
@geindex And_And (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok And_And}@anchor{13d1}
-@deffn {Attribute} And_And = 48
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok And_And}@anchor{18d4}
+@deffn {Attribute} And_And = 49
@end deffn
@geindex Bar_Bar (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Bar}@anchor{13d2}
-@deffn {Attribute} Bar_Bar = 49
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Bar}@anchor{18d5}
+@deffn {Attribute} Bar_Bar = 50
@end deffn
@geindex Left_Curly (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Curly}@anchor{13d3}
-@deffn {Attribute} Left_Curly = 50
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Left_Curly}@anchor{18d6}
+@deffn {Attribute} Left_Curly = 51
@end deffn
@geindex Right_Curly (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Curly}@anchor{13d4}
-@deffn {Attribute} Right_Curly = 51
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Right_Curly}@anchor{18d7}
+@deffn {Attribute} Right_Curly = 52
@end deffn
@geindex Exclam_Mark (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Exclam_Mark}@anchor{13d5}
-@deffn {Attribute} Exclam_Mark = 52
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Exclam_Mark}@anchor{18d8}
+@deffn {Attribute} Exclam_Mark = 53
@end deffn
@geindex Brack_Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Star}@anchor{13d6}
-@deffn {Attribute} Brack_Star = 53
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Star}@anchor{18d9}
+@deffn {Attribute} Brack_Star = 54
@end deffn
@geindex Brack_Plus_Brack (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Plus_Brack}@anchor{13d7}
-@deffn {Attribute} Brack_Plus_Brack = 54
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Plus_Brack}@anchor{18da}
+@deffn {Attribute} Brack_Plus_Brack = 55
@end deffn
@geindex Brack_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Arrow}@anchor{13d8}
-@deffn {Attribute} Brack_Arrow = 55
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Arrow}@anchor{18db}
+@deffn {Attribute} Brack_Arrow = 56
@end deffn
@geindex Brack_Equal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Equal}@anchor{13d9}
-@deffn {Attribute} Brack_Equal = 56
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Brack_Equal}@anchor{18dc}
+@deffn {Attribute} Brack_Equal = 57
@end deffn
@geindex Bar_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Arrow}@anchor{13da}
-@deffn {Attribute} Bar_Arrow = 57
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Arrow}@anchor{18dd}
+@deffn {Attribute} Bar_Arrow = 58
@end deffn
@geindex Bar_Double_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Double_Arrow}@anchor{13db}
-@deffn {Attribute} Bar_Double_Arrow = 58
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bar_Double_Arrow}@anchor{18de}
+@deffn {Attribute} Bar_Double_Arrow = 59
@end deffn
@geindex Minus_Greater (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Minus_Greater}@anchor{13dc}
-@deffn {Attribute} Minus_Greater = 59
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Minus_Greater}@anchor{18df}
+@deffn {Attribute} Minus_Greater = 60
@end deffn
@geindex Equiv_Arrow (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equiv_Arrow}@anchor{13dd}
-@deffn {Attribute} Equiv_Arrow = 60
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Equiv_Arrow}@anchor{18e0}
+@deffn {Attribute} Equiv_Arrow = 61
@end deffn
@geindex Arobase (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Arobase}@anchor{13de}
-@deffn {Attribute} Arobase = 61
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Arobase}@anchor{18e1}
+@deffn {Attribute} Arobase = 62
@end deffn
@geindex Star (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Star}@anchor{13df}
-@deffn {Attribute} Star = 62
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Star}@anchor{18e2}
+@deffn {Attribute} Star = 63
@end deffn
@geindex Slash (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Slash}@anchor{13e0}
-@deffn {Attribute} Slash = 63
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Slash}@anchor{18e3}
+@deffn {Attribute} Slash = 64
@end deffn
@geindex Mod (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Mod}@anchor{13e1}
-@deffn {Attribute} Mod = 64
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Mod}@anchor{18e4}
+@deffn {Attribute} Mod = 65
@end deffn
@geindex Rem (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rem}@anchor{13e2}
-@deffn {Attribute} Rem = 65
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rem}@anchor{18e5}
+@deffn {Attribute} Rem = 66
@end deffn
@geindex Abs (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Abs}@anchor{13e3}
-@deffn {Attribute} Abs = 66
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Abs}@anchor{18e6}
+@deffn {Attribute} Abs = 67
@end deffn
@geindex Not (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Not}@anchor{13e4}
-@deffn {Attribute} Not = 67
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Not}@anchor{18e7}
+@deffn {Attribute} Not = 68
@end deffn
@geindex Access (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Access}@anchor{13e5}
-@deffn {Attribute} Access = 68
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Access}@anchor{18e8}
+@deffn {Attribute} Access = 69
@end deffn
@geindex After (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok After}@anchor{13e6}
-@deffn {Attribute} After = 69
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok After}@anchor{18e9}
+@deffn {Attribute} After = 70
@end deffn
@geindex Alias (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Alias}@anchor{13e7}
-@deffn {Attribute} Alias = 70
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Alias}@anchor{18ea}
+@deffn {Attribute} Alias = 71
@end deffn
@geindex All (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok All}@anchor{13e8}
-@deffn {Attribute} All = 71
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok All}@anchor{18eb}
+@deffn {Attribute} All = 72
@end deffn
@geindex Architecture (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Architecture}@anchor{13e9}
-@deffn {Attribute} Architecture = 72
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Architecture}@anchor{18ec}
+@deffn {Attribute} Architecture = 73
@end deffn
@geindex Array (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Array}@anchor{13ea}
-@deffn {Attribute} Array = 73
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Array}@anchor{18ed}
+@deffn {Attribute} Array = 74
@end deffn
@geindex Assert (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assert}@anchor{13eb}
-@deffn {Attribute} Assert = 74
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assert}@anchor{18ee}
+@deffn {Attribute} Assert = 75
@end deffn
@geindex Attribute (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Attribute}@anchor{13ec}
-@deffn {Attribute} Attribute = 75
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Attribute}@anchor{18ef}
+@deffn {Attribute} Attribute = 76
@end deffn
@geindex Begin (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Begin}@anchor{13ed}
-@deffn {Attribute} Begin = 76
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Begin}@anchor{18f0}
+@deffn {Attribute} Begin = 77
@end deffn
@geindex Block (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block}@anchor{13ee}
-@deffn {Attribute} Block = 77
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Block}@anchor{18f1}
+@deffn {Attribute} Block = 78
@end deffn
@geindex Body (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Body}@anchor{13ef}
-@deffn {Attribute} Body = 78
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Body}@anchor{18f2}
+@deffn {Attribute} Body = 79
@end deffn
@geindex Buffer (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Buffer}@anchor{13f0}
-@deffn {Attribute} Buffer = 79
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Buffer}@anchor{18f3}
+@deffn {Attribute} Buffer = 80
@end deffn
@geindex Bus (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bus}@anchor{13f1}
-@deffn {Attribute} Bus = 80
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Bus}@anchor{18f4}
+@deffn {Attribute} Bus = 81
@end deffn
@geindex Case (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Case}@anchor{13f2}
-@deffn {Attribute} Case = 81
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Case}@anchor{18f5}
+@deffn {Attribute} Case = 82
@end deffn
@geindex Component (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Component}@anchor{13f3}
-@deffn {Attribute} Component = 82
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Component}@anchor{18f6}
+@deffn {Attribute} Component = 83
@end deffn
@geindex Configuration (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Configuration}@anchor{13f4}
-@deffn {Attribute} Configuration = 83
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Configuration}@anchor{18f7}
+@deffn {Attribute} Configuration = 84
@end deffn
@geindex Constant (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Constant}@anchor{13f5}
-@deffn {Attribute} Constant = 84
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Constant}@anchor{18f8}
+@deffn {Attribute} Constant = 85
@end deffn
@geindex Disconnect (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Disconnect}@anchor{13f6}
-@deffn {Attribute} Disconnect = 85
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Disconnect}@anchor{18f9}
+@deffn {Attribute} Disconnect = 86
@end deffn
@geindex Downto (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Downto}@anchor{13f7}
-@deffn {Attribute} Downto = 86
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Downto}@anchor{18fa}
+@deffn {Attribute} Downto = 87
@end deffn
@geindex Else (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Else}@anchor{13f8}
-@deffn {Attribute} Else = 87
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Else}@anchor{18fb}
+@deffn {Attribute} Else = 88
@end deffn
@geindex Elsif (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Elsif}@anchor{13f9}
-@deffn {Attribute} Elsif = 88
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Elsif}@anchor{18fc}
+@deffn {Attribute} Elsif = 89
@end deffn
@geindex End (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok End}@anchor{13fa}
-@deffn {Attribute} End = 89
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok End}@anchor{18fd}
+@deffn {Attribute} End = 90
@end deffn
@geindex Entity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Entity}@anchor{13fb}
-@deffn {Attribute} Entity = 90
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Entity}@anchor{18fe}
+@deffn {Attribute} Entity = 91
@end deffn
@geindex Exit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Exit}@anchor{13fc}
-@deffn {Attribute} Exit = 91
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Exit}@anchor{18ff}
+@deffn {Attribute} Exit = 92
@end deffn
@geindex File (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok File}@anchor{13fd}
-@deffn {Attribute} File = 92
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok File}@anchor{1900}
+@deffn {Attribute} File = 93
@end deffn
@geindex For (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok For}@anchor{13fe}
-@deffn {Attribute} For = 93
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok For}@anchor{1901}
+@deffn {Attribute} For = 94
@end deffn
@geindex Function (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Function}@anchor{13ff}
-@deffn {Attribute} Function = 94
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Function}@anchor{1902}
+@deffn {Attribute} Function = 95
@end deffn
@geindex Generate (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Generate}@anchor{1400}
-@deffn {Attribute} Generate = 95
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Generate}@anchor{1903}
+@deffn {Attribute} Generate = 96
@end deffn
@geindex Generic (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Generic}@anchor{1401}
-@deffn {Attribute} Generic = 96
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Generic}@anchor{1904}
+@deffn {Attribute} Generic = 97
@end deffn
@geindex Guarded (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Guarded}@anchor{1402}
-@deffn {Attribute} Guarded = 97
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Guarded}@anchor{1905}
+@deffn {Attribute} Guarded = 98
@end deffn
@geindex If (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok If}@anchor{1403}
-@deffn {Attribute} If = 98
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok If}@anchor{1906}
+@deffn {Attribute} If = 99
@end deffn
@geindex In (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok In}@anchor{1404}
-@deffn {Attribute} In = 99
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok In}@anchor{1907}
+@deffn {Attribute} In = 100
@end deffn
@geindex Inout (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inout}@anchor{1405}
-@deffn {Attribute} Inout = 100
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inout}@anchor{1908}
+@deffn {Attribute} Inout = 101
@end deffn
@geindex Is (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Is}@anchor{1406}
-@deffn {Attribute} Is = 101
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Is}@anchor{1909}
+@deffn {Attribute} Is = 102
@end deffn
@geindex Label (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Label}@anchor{1407}
-@deffn {Attribute} Label = 102
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Label}@anchor{190a}
+@deffn {Attribute} Label = 103
@end deffn
@geindex Library (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Library}@anchor{1408}
-@deffn {Attribute} Library = 103
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Library}@anchor{190b}
+@deffn {Attribute} Library = 104
@end deffn
@geindex Linkage (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Linkage}@anchor{1409}
-@deffn {Attribute} Linkage = 104
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Linkage}@anchor{190c}
+@deffn {Attribute} Linkage = 105
@end deffn
@geindex Loop (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Loop}@anchor{140a}
-@deffn {Attribute} Loop = 105
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Loop}@anchor{190d}
+@deffn {Attribute} Loop = 106
@end deffn
@geindex Map (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Map}@anchor{140b}
-@deffn {Attribute} Map = 106
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Map}@anchor{190e}
+@deffn {Attribute} Map = 107
@end deffn
@geindex New (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok New}@anchor{140c}
-@deffn {Attribute} New = 107
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok New}@anchor{190f}
+@deffn {Attribute} New = 108
@end deffn
@geindex Next (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next}@anchor{140d}
-@deffn {Attribute} Next = 108
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next}@anchor{1910}
+@deffn {Attribute} Next = 109
@end deffn
@geindex Null (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Null}@anchor{140e}
-@deffn {Attribute} Null = 109
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Null}@anchor{1911}
+@deffn {Attribute} Null = 110
@end deffn
@geindex Of (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Of}@anchor{140f}
-@deffn {Attribute} Of = 110
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Of}@anchor{1912}
+@deffn {Attribute} Of = 111
@end deffn
@geindex On (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok On}@anchor{1410}
-@deffn {Attribute} On = 111
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok On}@anchor{1913}
+@deffn {Attribute} On = 112
@end deffn
@geindex Open (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Open}@anchor{1411}
-@deffn {Attribute} Open = 112
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Open}@anchor{1914}
+@deffn {Attribute} Open = 113
@end deffn
@geindex Others (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Others}@anchor{1412}
-@deffn {Attribute} Others = 113
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Others}@anchor{1915}
+@deffn {Attribute} Others = 114
@end deffn
@geindex Out (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Out}@anchor{1413}
-@deffn {Attribute} Out = 114
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Out}@anchor{1916}
+@deffn {Attribute} Out = 115
@end deffn
@geindex Package (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Package}@anchor{1414}
-@deffn {Attribute} Package = 115
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Package}@anchor{1917}
+@deffn {Attribute} Package = 116
@end deffn
@geindex Port (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Port}@anchor{1415}
-@deffn {Attribute} Port = 116
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Port}@anchor{1918}
+@deffn {Attribute} Port = 117
@end deffn
@geindex Procedure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Procedure}@anchor{1416}
-@deffn {Attribute} Procedure = 117
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Procedure}@anchor{1919}
+@deffn {Attribute} Procedure = 118
@end deffn
@geindex Process (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Process}@anchor{1417}
-@deffn {Attribute} Process = 118
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Process}@anchor{191a}
+@deffn {Attribute} Process = 119
@end deffn
@geindex Range (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Range}@anchor{1418}
-@deffn {Attribute} Range = 119
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Range}@anchor{191b}
+@deffn {Attribute} Range = 120
@end deffn
@geindex Record (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Record}@anchor{1419}
-@deffn {Attribute} Record = 120
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Record}@anchor{191c}
+@deffn {Attribute} Record = 121
@end deffn
@geindex Register (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Register}@anchor{141a}
-@deffn {Attribute} Register = 121
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Register}@anchor{191d}
+@deffn {Attribute} Register = 122
@end deffn
@geindex Report (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Report}@anchor{141b}
-@deffn {Attribute} Report = 122
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Report}@anchor{191e}
+@deffn {Attribute} Report = 123
@end deffn
@geindex Return (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Return}@anchor{141c}
-@deffn {Attribute} Return = 123
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Return}@anchor{191f}
+@deffn {Attribute} Return = 124
@end deffn
@geindex Select (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Select}@anchor{141d}
-@deffn {Attribute} Select = 124
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Select}@anchor{1920}
+@deffn {Attribute} Select = 125
@end deffn
@geindex Severity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Severity}@anchor{141e}
-@deffn {Attribute} Severity = 125
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Severity}@anchor{1921}
+@deffn {Attribute} Severity = 126
@end deffn
@geindex Signal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Signal}@anchor{141f}
-@deffn {Attribute} Signal = 126
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Signal}@anchor{1922}
+@deffn {Attribute} Signal = 127
@end deffn
@geindex Subtype (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Subtype}@anchor{1420}
-@deffn {Attribute} Subtype = 127
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Subtype}@anchor{1923}
+@deffn {Attribute} Subtype = 128
@end deffn
@geindex Then (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Then}@anchor{1421}
-@deffn {Attribute} Then = 128
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Then}@anchor{1924}
+@deffn {Attribute} Then = 129
@end deffn
@geindex To (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok To}@anchor{1422}
-@deffn {Attribute} To = 129
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok To}@anchor{1925}
+@deffn {Attribute} To = 130
@end deffn
@geindex Transport (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Transport}@anchor{1423}
-@deffn {Attribute} Transport = 130
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Transport}@anchor{1926}
+@deffn {Attribute} Transport = 131
@end deffn
@geindex Type (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Type}@anchor{1424}
-@deffn {Attribute} Type = 131
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Type}@anchor{1927}
+@deffn {Attribute} Type = 132
@end deffn
@geindex Units (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Units}@anchor{1425}
-@deffn {Attribute} Units = 132
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Units}@anchor{1928}
+@deffn {Attribute} Units = 133
@end deffn
@geindex Until (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until}@anchor{1426}
-@deffn {Attribute} Until = 133
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until}@anchor{1929}
+@deffn {Attribute} Until = 134
@end deffn
@geindex Use (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Use}@anchor{1427}
-@deffn {Attribute} Use = 134
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Use}@anchor{192a}
+@deffn {Attribute} Use = 135
@end deffn
@geindex Variable (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Variable}@anchor{1428}
-@deffn {Attribute} Variable = 135
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Variable}@anchor{192b}
+@deffn {Attribute} Variable = 136
@end deffn
@geindex Wait (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Wait}@anchor{1429}
-@deffn {Attribute} Wait = 136
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Wait}@anchor{192c}
+@deffn {Attribute} Wait = 137
@end deffn
@geindex When (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok When}@anchor{142a}
-@deffn {Attribute} When = 137
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok When}@anchor{192d}
+@deffn {Attribute} When = 138
@end deffn
@geindex While (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok While}@anchor{142b}
-@deffn {Attribute} While = 138
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok While}@anchor{192e}
+@deffn {Attribute} While = 139
@end deffn
@geindex With (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok With}@anchor{142c}
-@deffn {Attribute} With = 139
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok With}@anchor{192f}
+@deffn {Attribute} With = 140
@end deffn
@geindex And (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok And}@anchor{142d}
-@deffn {Attribute} And = 140
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok And}@anchor{1930}
+@deffn {Attribute} And = 141
@end deffn
@geindex Or (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Or}@anchor{142e}
-@deffn {Attribute} Or = 141
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Or}@anchor{1931}
+@deffn {Attribute} Or = 142
@end deffn
@geindex Xor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Xor}@anchor{142f}
-@deffn {Attribute} Xor = 142
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Xor}@anchor{1932}
+@deffn {Attribute} Xor = 143
@end deffn
@geindex Nand (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nand}@anchor{1430}
-@deffn {Attribute} Nand = 143
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nand}@anchor{1933}
+@deffn {Attribute} Nand = 144
@end deffn
@geindex Nor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nor}@anchor{1431}
-@deffn {Attribute} Nor = 144
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nor}@anchor{1934}
+@deffn {Attribute} Nor = 145
@end deffn
@geindex Xnor (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Xnor}@anchor{1432}
-@deffn {Attribute} Xnor = 145
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Xnor}@anchor{1935}
+@deffn {Attribute} Xnor = 146
@end deffn
@geindex Group (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Group}@anchor{1433}
-@deffn {Attribute} Group = 146
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Group}@anchor{1936}
+@deffn {Attribute} Group = 147
@end deffn
@geindex Impure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Impure}@anchor{1434}
-@deffn {Attribute} Impure = 147
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Impure}@anchor{1937}
+@deffn {Attribute} Impure = 148
@end deffn
@geindex Inertial (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inertial}@anchor{1435}
-@deffn {Attribute} Inertial = 148
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inertial}@anchor{1938}
+@deffn {Attribute} Inertial = 149
@end deffn
@geindex Literal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Literal}@anchor{1436}
-@deffn {Attribute} Literal = 149
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Literal}@anchor{1939}
+@deffn {Attribute} Literal = 150
@end deffn
@geindex Postponed (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Postponed}@anchor{1437}
-@deffn {Attribute} Postponed = 150
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Postponed}@anchor{193a}
+@deffn {Attribute} Postponed = 151
@end deffn
@geindex Pure (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Pure}@anchor{1438}
-@deffn {Attribute} Pure = 151
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Pure}@anchor{193b}
+@deffn {Attribute} Pure = 152
@end deffn
@geindex Reject (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Reject}@anchor{1439}
-@deffn {Attribute} Reject = 152
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Reject}@anchor{193c}
+@deffn {Attribute} Reject = 153
@end deffn
@geindex Shared (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Shared}@anchor{143a}
-@deffn {Attribute} Shared = 153
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Shared}@anchor{193d}
+@deffn {Attribute} Shared = 154
@end deffn
@geindex Unaffected (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Unaffected}@anchor{143b}
-@deffn {Attribute} Unaffected = 154
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Unaffected}@anchor{193e}
+@deffn {Attribute} Unaffected = 155
@end deffn
@geindex Sll (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sll}@anchor{143c}
-@deffn {Attribute} Sll = 155
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sll}@anchor{193f}
+@deffn {Attribute} Sll = 156
@end deffn
@geindex Sla (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sla}@anchor{143d}
-@deffn {Attribute} Sla = 156
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sla}@anchor{1940}
+@deffn {Attribute} Sla = 157
@end deffn
@geindex Sra (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sra}@anchor{143e}
-@deffn {Attribute} Sra = 157
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sra}@anchor{1941}
+@deffn {Attribute} Sra = 158
@end deffn
@geindex Srl (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Srl}@anchor{143f}
-@deffn {Attribute} Srl = 158
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Srl}@anchor{1942}
+@deffn {Attribute} Srl = 159
@end deffn
@geindex Rol (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rol}@anchor{1440}
-@deffn {Attribute} Rol = 159
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rol}@anchor{1943}
+@deffn {Attribute} Rol = 160
@end deffn
@geindex Ror (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Ror}@anchor{1441}
-@deffn {Attribute} Ror = 160
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Ror}@anchor{1944}
+@deffn {Attribute} Ror = 161
@end deffn
@geindex Protected (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Protected}@anchor{1442}
-@deffn {Attribute} Protected = 161
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Protected}@anchor{1945}
+@deffn {Attribute} Protected = 162
@end deffn
@geindex Assume (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assume}@anchor{1443}
-@deffn {Attribute} Assume = 162
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Assume}@anchor{1946}
+@deffn {Attribute} Assume = 163
@end deffn
@geindex Context (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Context}@anchor{1444}
-@deffn {Attribute} Context = 163
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Context}@anchor{1947}
+@deffn {Attribute} Context = 164
@end deffn
@geindex Cover (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Cover}@anchor{1445}
-@deffn {Attribute} Cover = 164
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Cover}@anchor{1948}
+@deffn {Attribute} Cover = 165
@end deffn
@geindex Default (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Default}@anchor{1446}
-@deffn {Attribute} Default = 165
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Default}@anchor{1949}
+@deffn {Attribute} Default = 166
@end deffn
@geindex Force (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Force}@anchor{1447}
-@deffn {Attribute} Force = 166
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Force}@anchor{194a}
+@deffn {Attribute} Force = 167
@end deffn
@geindex Parameter (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Parameter}@anchor{1448}
-@deffn {Attribute} Parameter = 167
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Parameter}@anchor{194b}
+@deffn {Attribute} Parameter = 168
@end deffn
@geindex Property (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Property}@anchor{1449}
-@deffn {Attribute} Property = 168
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Property}@anchor{194c}
+@deffn {Attribute} Property = 169
@end deffn
@geindex Release (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Release}@anchor{144a}
-@deffn {Attribute} Release = 169
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Release}@anchor{194d}
+@deffn {Attribute} Release = 170
@end deffn
@geindex Restrict (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Restrict}@anchor{144b}
-@deffn {Attribute} Restrict = 170
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Restrict}@anchor{194e}
+@deffn {Attribute} Restrict = 171
@end deffn
@geindex Restrict_Guarantee (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Restrict_Guarantee}@anchor{144c}
-@deffn {Attribute} Restrict_Guarantee = 171
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Restrict_Guarantee}@anchor{194f}
+@deffn {Attribute} Restrict_Guarantee = 172
@end deffn
@geindex Sequence (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sequence}@anchor{144d}
-@deffn {Attribute} Sequence = 172
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sequence}@anchor{1950}
+@deffn {Attribute} Sequence = 173
+@end deffn
+
+@geindex Inherit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inherit}@anchor{1951}
+@deffn {Attribute} Inherit = 174
@end deffn
@geindex Vmode (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vmode}@anchor{144e}
-@deffn {Attribute} Vmode = 173
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vmode}@anchor{1952}
+@deffn {Attribute} Vmode = 175
@end deffn
@geindex Vprop (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vprop}@anchor{144f}
-@deffn {Attribute} Vprop = 174
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vprop}@anchor{1953}
+@deffn {Attribute} Vprop = 176
@end deffn
@geindex Vunit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vunit}@anchor{1450}
-@deffn {Attribute} Vunit = 175
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Vunit}@anchor{1954}
+@deffn {Attribute} Vunit = 177
@end deffn
@geindex Across (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Across}@anchor{1451}
-@deffn {Attribute} Across = 176
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Across}@anchor{1955}
+@deffn {Attribute} Across = 178
@end deffn
@geindex Break (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Break}@anchor{1452}
-@deffn {Attribute} Break = 177
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Break}@anchor{1956}
+@deffn {Attribute} Break = 179
@end deffn
@geindex Limit (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Limit}@anchor{1453}
-@deffn {Attribute} Limit = 178
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Limit}@anchor{1957}
+@deffn {Attribute} Limit = 180
@end deffn
@geindex Nature (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nature}@anchor{1454}
-@deffn {Attribute} Nature = 179
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Nature}@anchor{1958}
+@deffn {Attribute} Nature = 181
@end deffn
@geindex Noise (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Noise}@anchor{1455}
-@deffn {Attribute} Noise = 180
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Noise}@anchor{1959}
+@deffn {Attribute} Noise = 182
@end deffn
@geindex Procedural (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Procedural}@anchor{1456}
-@deffn {Attribute} Procedural = 181
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Procedural}@anchor{195a}
+@deffn {Attribute} Procedural = 183
@end deffn
@geindex Quantity (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Quantity}@anchor{1457}
-@deffn {Attribute} Quantity = 182
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Quantity}@anchor{195b}
+@deffn {Attribute} Quantity = 184
@end deffn
@geindex Reference (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Reference}@anchor{1458}
-@deffn {Attribute} Reference = 183
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Reference}@anchor{195c}
+@deffn {Attribute} Reference = 185
@end deffn
@geindex Spectrum (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Spectrum}@anchor{1459}
-@deffn {Attribute} Spectrum = 184
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Spectrum}@anchor{195d}
+@deffn {Attribute} Spectrum = 186
@end deffn
@geindex Subnature (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Subnature}@anchor{145a}
-@deffn {Attribute} Subnature = 185
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Subnature}@anchor{195e}
+@deffn {Attribute} Subnature = 187
@end deffn
@geindex Terminal (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Terminal}@anchor{145b}
-@deffn {Attribute} Terminal = 186
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Terminal}@anchor{195f}
+@deffn {Attribute} Terminal = 188
@end deffn
@geindex Through (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Through}@anchor{145c}
-@deffn {Attribute} Through = 187
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Through}@anchor{1960}
+@deffn {Attribute} Through = 189
@end deffn
@geindex Tolerance (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Tolerance}@anchor{145d}
-@deffn {Attribute} Tolerance = 188
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Tolerance}@anchor{1961}
+@deffn {Attribute} Tolerance = 190
@end deffn
@geindex Psl_Clock (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Clock}@anchor{145e}
-@deffn {Attribute} Psl_Clock = 189
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Clock}@anchor{1962}
+@deffn {Attribute} Psl_Clock = 191
@end deffn
@geindex Psl_Endpoint (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Endpoint}@anchor{145f}
-@deffn {Attribute} Psl_Endpoint = 190
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Endpoint}@anchor{1963}
+@deffn {Attribute} Psl_Endpoint = 192
@end deffn
@geindex Psl_Const (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Const}@anchor{1460}
-@deffn {Attribute} Psl_Const = 191
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Const}@anchor{1964}
+@deffn {Attribute} Psl_Const = 193
@end deffn
@geindex Psl_Boolean (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Boolean}@anchor{1461}
-@deffn {Attribute} Psl_Boolean = 192
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Psl_Boolean}@anchor{1965}
+@deffn {Attribute} Psl_Boolean = 194
@end deffn
@geindex Inf (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inf}@anchor{1462}
-@deffn {Attribute} Inf = 193
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Inf}@anchor{1966}
+@deffn {Attribute} Inf = 195
@end deffn
@geindex Within (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Within}@anchor{1463}
-@deffn {Attribute} Within = 194
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Within}@anchor{1967}
+@deffn {Attribute} Within = 196
@end deffn
@geindex Abort (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Abort}@anchor{1464}
-@deffn {Attribute} Abort = 195
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Abort}@anchor{1968}
+@deffn {Attribute} Abort = 197
+@end deffn
+
+@geindex Async_Abort (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Async_Abort}@anchor{1969}
+@deffn {Attribute} Async_Abort = 198
+@end deffn
+
+@geindex Sync_Abort (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Sync_Abort}@anchor{196a}
+@deffn {Attribute} Sync_Abort = 199
@end deffn
@geindex Before (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before}@anchor{1465}
-@deffn {Attribute} Before = 196
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before}@anchor{196b}
+@deffn {Attribute} Before = 200
@end deffn
@geindex Before_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Em}@anchor{1466}
-@deffn {Attribute} Before_Em = 197
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Em}@anchor{196c}
+@deffn {Attribute} Before_Em = 201
@end deffn
@geindex Before_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Un}@anchor{1467}
-@deffn {Attribute} Before_Un = 198
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Un}@anchor{196d}
+@deffn {Attribute} Before_Un = 202
@end deffn
@geindex Before_Em_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Em_Un}@anchor{1468}
-@deffn {Attribute} Before_Em_Un = 199
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Before_Em_Un}@anchor{196e}
+@deffn {Attribute} Before_Em_Un = 203
@end deffn
@geindex Always (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Always}@anchor{1469}
-@deffn {Attribute} Always = 200
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Always}@anchor{196f}
+@deffn {Attribute} Always = 204
@end deffn
@geindex Never (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Never}@anchor{146a}
-@deffn {Attribute} Never = 201
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Never}@anchor{1970}
+@deffn {Attribute} Never = 205
@end deffn
@geindex Eventually_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Eventually_Em}@anchor{146b}
-@deffn {Attribute} Eventually_Em = 202
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Eventually_Em}@anchor{1971}
+@deffn {Attribute} Eventually_Em = 206
@end deffn
@geindex Next_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Em}@anchor{146c}
-@deffn {Attribute} Next_Em = 203
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Em}@anchor{1972}
+@deffn {Attribute} Next_Em = 207
@end deffn
@geindex Next_A (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_A}@anchor{146d}
-@deffn {Attribute} Next_A = 204
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_A}@anchor{1973}
+@deffn {Attribute} Next_A = 208
@end deffn
@geindex Next_A_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_A_Em}@anchor{146e}
-@deffn {Attribute} Next_A_Em = 205
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_A_Em}@anchor{1974}
+@deffn {Attribute} Next_A_Em = 209
@end deffn
@geindex Next_E (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_E}@anchor{146f}
-@deffn {Attribute} Next_E = 206
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_E}@anchor{1975}
+@deffn {Attribute} Next_E = 210
@end deffn
@geindex Next_E_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_E_Em}@anchor{1470}
-@deffn {Attribute} Next_E_Em = 207
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_E_Em}@anchor{1976}
+@deffn {Attribute} Next_E_Em = 211
@end deffn
@geindex Next_Event (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event}@anchor{1471}
-@deffn {Attribute} Next_Event = 208
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event}@anchor{1977}
+@deffn {Attribute} Next_Event = 212
@end deffn
@geindex Next_Event_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_Em}@anchor{1472}
-@deffn {Attribute} Next_Event_Em = 209
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_Em}@anchor{1978}
+@deffn {Attribute} Next_Event_Em = 213
@end deffn
@geindex Next_Event_A (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_A}@anchor{1473}
-@deffn {Attribute} Next_Event_A = 210
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_A}@anchor{1979}
+@deffn {Attribute} Next_Event_A = 214
@end deffn
@geindex Next_Event_A_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_A_Em}@anchor{1474}
-@deffn {Attribute} Next_Event_A_Em = 211
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_A_Em}@anchor{197a}
+@deffn {Attribute} Next_Event_A_Em = 215
@end deffn
@geindex Next_Event_E (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_E}@anchor{1475}
-@deffn {Attribute} Next_Event_E = 212
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_E}@anchor{197b}
+@deffn {Attribute} Next_Event_E = 216
@end deffn
@geindex Next_Event_E_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_E_Em}@anchor{1476}
-@deffn {Attribute} Next_Event_E_Em = 213
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Next_Event_E_Em}@anchor{197c}
+@deffn {Attribute} Next_Event_E_Em = 217
@end deffn
@geindex Until_Em (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Em}@anchor{1477}
-@deffn {Attribute} Until_Em = 214
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Em}@anchor{197d}
+@deffn {Attribute} Until_Em = 218
@end deffn
@geindex Until_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Un}@anchor{1478}
-@deffn {Attribute} Until_Un = 215
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Un}@anchor{197e}
+@deffn {Attribute} Until_Un = 219
@end deffn
@geindex Until_Em_Un (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Em_Un}@anchor{1479}
-@deffn {Attribute} Until_Em_Un = 216
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Until_Em_Un}@anchor{197f}
+@deffn {Attribute} Until_Em_Un = 220
@end deffn
@geindex Prev (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Prev}@anchor{147a}
-@deffn {Attribute} Prev = 217
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Prev}@anchor{1980}
+@deffn {Attribute} Prev = 221
@end deffn
@geindex Stable (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Stable}@anchor{147b}
-@deffn {Attribute} Stable = 218
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Stable}@anchor{1981}
+@deffn {Attribute} Stable = 222
@end deffn
@geindex Fell (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Fell}@anchor{147c}
-@deffn {Attribute} Fell = 219
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Fell}@anchor{1982}
+@deffn {Attribute} Fell = 223
@end deffn
@geindex Rose (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rose}@anchor{147d}
-@deffn {Attribute} Rose = 220
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Rose}@anchor{1983}
+@deffn {Attribute} Rose = 224
@end deffn
@geindex Onehot (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Onehot}@anchor{147e}
-@deffn {Attribute} Onehot = 221
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Onehot}@anchor{1984}
+@deffn {Attribute} Onehot = 225
@end deffn
@geindex Onehot0 (pyGHDL.libghdl.vhdl.tokens.Tok attribute)
-@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Onehot0}@anchor{147f}
-@deffn {Attribute} Onehot0 = 222
+@anchor{pyGHDL/pyGHDL libghdl vhdl tokens pyGHDL libghdl vhdl tokens Tok Onehot0}@anchor{1985}
+@deffn {Attribute} Onehot0 = 226
+@end deffn
@end deffn
+
+@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # <file> is used to denote the special path
+@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c This data file has been placed in the public domain.
+
+@c Derived from the Unicode character mappings available from
+@c <http://www.w3.org/2003/entities/xml/>.
+@c Processed by unicode2rstsubs.py, part of Docutils:
+@c <http://docutils.sourceforge.net>.
+
+@c # define a hard line break for HTML
+
+@node pyGHDL libghdl vhdl utils,,pyGHDL libghdl vhdl tokens,pyGHDL libghdl vhdl
+@anchor{pyGHDL/pyGHDL libghdl vhdl utils doc}@anchor{1986}@anchor{pyGHDL/pyGHDL libghdl vhdl utils module-pyGHDL libghdl vhdl utils}@anchor{37}@anchor{pyGHDL/pyGHDL libghdl vhdl utils pyghdl-libghdl-vhdl-utils}@anchor{1987}
+@subsubsection pyGHDL.libghdl.vhdl.utils
+
+
+@geindex module; pyGHDL.libghdl.vhdl.utils
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+
+@itemize -
+
+@item
+@ref{1988,,Get_Source_Identifier()}:
+Like @code{Get_Identifier} but return a @code{NameId} for the same casing as it appears in the source file.
+@end itemize
+
+@c #-----------------------------------
+
+@strong{Functions}
+
+@geindex Get_Source_Identifier() (in module pyGHDL.libghdl.vhdl.utils)
+@anchor{pyGHDL/pyGHDL libghdl vhdl utils pyGHDL libghdl vhdl utils Get_Source_Identifier}@anchor{1988}
+@deffn {Function} pyGHDL.libghdl.vhdl.utils.Get_Source_Identifier (Decl)
+
+Like @code{Get_Identifier} but return a @code{NameId} for the same casing as it appears in the source file.
+Not useful for analysis as VHDL is case insensitive, but could be useful for error messages or tooling.
+
+@*Parameters:
+@code{Decl} (TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})) – Iir Node. Type: @code{Iir}
+
+
+@*Return type:
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{NameId}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
+
@end deffn
@c #-----------------------------------
@@ -46632,31 +55519,31 @@ An enumeration.
@itemize -
@item
-@ref{1480,,finalize()}:
+@ref{1989,,finalize()}:
Free all the memory, be ready for a new initialization.
@item
-@ref{1481,,initialize()}:
+@ref{198a,,initialize()}:
Initialize or re-initialize the shared library.
@item
-@ref{1482,,set_option()}:
+@ref{198b,,set_option()}:
Set option @code{opt}.
@item
-@ref{1483,,analyze_init()}:
+@ref{198c,,analyze_init()}:
Initialize the analyzer.
@item
-@ref{1484,,analyze_init_status()}:
+@ref{198d,,analyze_init_status()}:
Initialize the analyzer.
@item
-@ref{1485,,analyze_file()}:
+@ref{198e,,analyze_file()}:
Analyze a given filename @code{fname}.
@item
-@ref{1486,,disp_config()}:
+@ref{198f,,disp_config()}:
Display the configured prefixes for libghdl.
@end itemize
@@ -46665,10 +55552,9 @@ Display the configured prefixes for libghdl.
@strong{Functions}
@geindex finalize() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl finalize}@anchor{1480}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl finalize}@anchor{1989}
@deffn {Function} pyGHDL.libghdl.finalize ()
-
Free all the memory, be ready for a new initialization.
@*Return type:
@@ -46677,10 +55563,9 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex initialize() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl initialize}@anchor{1481}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl initialize}@anchor{198a}
@deffn {Function} pyGHDL.libghdl.initialize ()
-
Initialize or re-initialize the shared library.
@*Return type:
@@ -46689,10 +55574,9 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex set_option() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl set_option}@anchor{1482}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl set_option}@anchor{198b}
@deffn {Function} pyGHDL.libghdl.set_option (Opt)
-
Set option @code{opt}.
@*Parameters:
@@ -46709,14 +55593,13 @@ Return @code{True}, if the option is known and handled.
@end deffn
@geindex analyze_init() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init}@anchor{1483}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init}@anchor{198c}
@deffn {Function} pyGHDL.libghdl.analyze_init ()
-
Initialize the analyzer.
-Deprecated since version 1.0.0: Deprecated as it may raise an exception. Use @ref{1484,,analyze_init_status()}.
+Deprecated since version 1.0.0: Deprecated as it may raise an exception. Use @ref{198d,,analyze_init_status()}.
@*Return type:
@@ -46725,10 +55608,9 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
@geindex analyze_init_status() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init_status}@anchor{1484}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_init_status}@anchor{198d}
@deffn {Function} pyGHDL.libghdl.analyze_init_status ()
-
Initialize the analyzer.
@*Return type:
@@ -46741,10 +55623,9 @@ Returns 0 in case of success.
@end deffn
@geindex analyze_file() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_file}@anchor{1485}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl analyze_file}@anchor{198e}
@deffn {Function} pyGHDL.libghdl.analyze_file (fname)
-
Analyze a given filename @code{fname}.
@*Parameters:
@@ -46752,7 +55633,7 @@ Analyze a given filename @code{fname}.
@*Return type:
-~Iir
+TypeVar@footnote{https://docs.python.org/3.6/library/typing.html#typing.TypeVar}(@code{Iir}, bound= c_int@footnote{https://docs.python.org/3.6/library/ctypes.html#ctypes.c_int})
@*Returns:
@@ -46761,10 +55642,9 @@ Internal Intermediate Representation (IIR)
@end deffn
@geindex disp_config() (in module pyGHDL.libghdl)
-@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl disp_config}@anchor{1486}
+@anchor{pyGHDL/pyGHDL libghdl pyGHDL libghdl disp_config}@anchor{198f}
@deffn {Function} pyGHDL.libghdl.disp_config ()
-
Display the configured prefixes for libghdl.
@*Return type:
@@ -46772,7 +55652,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -46793,7 +55673,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL lsp,,pyGHDL libghdl,pyGHDL
-@anchor{pyGHDL/pyGHDL lsp doc}@anchor{1487}@anchor{pyGHDL/pyGHDL lsp module-pyGHDL lsp}@anchor{33}@anchor{pyGHDL/pyGHDL lsp pyghdl-lsp}@anchor{1488}
+@anchor{pyGHDL/pyGHDL lsp doc}@anchor{1990}@anchor{pyGHDL/pyGHDL lsp module-pyGHDL lsp}@anchor{38}@anchor{pyGHDL/pyGHDL lsp pyghdl-lsp}@anchor{1991}
@section pyGHDL.lsp
@@ -46803,7 +55683,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@strong{Submodules}
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -46836,7 +55716,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@end menu
@node pyGHDL lsp document,pyGHDL lsp lsp,,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp document doc}@anchor{1489}@anchor{pyGHDL/pyGHDL lsp document module-pyGHDL lsp document}@anchor{34}@anchor{pyGHDL/pyGHDL lsp document pyghdl-lsp-document}@anchor{148a}
+@anchor{pyGHDL/pyGHDL lsp document doc}@anchor{1992}@anchor{pyGHDL/pyGHDL lsp document module-pyGHDL lsp document}@anchor{39}@anchor{pyGHDL/pyGHDL lsp document pyghdl-lsp-document}@anchor{1993}
@subsection pyGHDL.lsp.document
@@ -46844,7 +55724,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c #-----------------------------------
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -46865,7 +55745,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL lsp lsp,pyGHDL lsp lsptools,pyGHDL lsp document,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp lsp doc}@anchor{148b}@anchor{pyGHDL/pyGHDL lsp lsp module-pyGHDL lsp lsp}@anchor{35}@anchor{pyGHDL/pyGHDL lsp lsp pyghdl-lsp-lsp}@anchor{148c}
+@anchor{pyGHDL/pyGHDL lsp lsp doc}@anchor{1994}@anchor{pyGHDL/pyGHDL lsp lsp module-pyGHDL lsp lsp}@anchor{3a}@anchor{pyGHDL/pyGHDL lsp lsp pyghdl-lsp-lsp}@anchor{1995}
@subsection pyGHDL.lsp.lsp
@@ -46873,7 +55753,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c #-----------------------------------
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -46894,7 +55774,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL lsp lsptools,pyGHDL lsp references,pyGHDL lsp lsp,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp lsptools doc}@anchor{148d}@anchor{pyGHDL/pyGHDL lsp lsptools module-pyGHDL lsp lsptools}@anchor{36}@anchor{pyGHDL/pyGHDL lsp lsptools pyghdl-lsp-lsptools}@anchor{148e}
+@anchor{pyGHDL/pyGHDL lsp lsptools doc}@anchor{1996}@anchor{pyGHDL/pyGHDL lsp lsptools module-pyGHDL lsp lsptools}@anchor{3b}@anchor{pyGHDL/pyGHDL lsp lsptools pyghdl-lsp-lsptools}@anchor{1997}
@subsection pyGHDL.lsp.lsptools
@@ -46902,7 +55782,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c #-----------------------------------
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -46923,7 +55803,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL lsp references,pyGHDL lsp symbols,pyGHDL lsp lsptools,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp references doc}@anchor{148f}@anchor{pyGHDL/pyGHDL lsp references module-pyGHDL lsp references}@anchor{37}@anchor{pyGHDL/pyGHDL lsp references pyghdl-lsp-references}@anchor{1490}
+@anchor{pyGHDL/pyGHDL lsp references doc}@anchor{1998}@anchor{pyGHDL/pyGHDL lsp references module-pyGHDL lsp references}@anchor{3c}@anchor{pyGHDL/pyGHDL lsp references pyghdl-lsp-references}@anchor{1999}
@subsection pyGHDL.lsp.references
@@ -46931,7 +55811,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c #-----------------------------------
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -46952,7 +55832,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL lsp symbols,pyGHDL lsp version,pyGHDL lsp references,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp symbols doc}@anchor{1491}@anchor{pyGHDL/pyGHDL lsp symbols module-pyGHDL lsp symbols}@anchor{38}@anchor{pyGHDL/pyGHDL lsp symbols pyghdl-lsp-symbols}@anchor{1492}
+@anchor{pyGHDL/pyGHDL lsp symbols doc}@anchor{199a}@anchor{pyGHDL/pyGHDL lsp symbols module-pyGHDL lsp symbols}@anchor{3d}@anchor{pyGHDL/pyGHDL lsp symbols pyghdl-lsp-symbols}@anchor{199b}
@subsection pyGHDL.lsp.symbols
@@ -46960,7 +55840,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c #-----------------------------------
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -46981,7 +55861,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL lsp version,pyGHDL lsp vhdl_ls,pyGHDL lsp symbols,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp version doc}@anchor{1493}@anchor{pyGHDL/pyGHDL lsp version module-pyGHDL lsp version}@anchor{39}@anchor{pyGHDL/pyGHDL lsp version pyghdl-lsp-version}@anchor{1494}
+@anchor{pyGHDL/pyGHDL lsp version doc}@anchor{199c}@anchor{pyGHDL/pyGHDL lsp version module-pyGHDL lsp version}@anchor{3e}@anchor{pyGHDL/pyGHDL lsp version pyghdl-lsp-version}@anchor{199d}
@subsection pyGHDL.lsp.version
@@ -46989,7 +55869,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c #-----------------------------------
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -47010,7 +55890,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL lsp vhdl_ls,pyGHDL lsp workspace,pyGHDL lsp version,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp vhdl_ls doc}@anchor{1495}@anchor{pyGHDL/pyGHDL lsp vhdl_ls module-pyGHDL lsp vhdl_ls}@anchor{3a}@anchor{pyGHDL/pyGHDL lsp vhdl_ls pyghdl-lsp-vhdl-ls}@anchor{1496}
+@anchor{pyGHDL/pyGHDL lsp vhdl_ls doc}@anchor{199e}@anchor{pyGHDL/pyGHDL lsp vhdl_ls module-pyGHDL lsp vhdl_ls}@anchor{3f}@anchor{pyGHDL/pyGHDL lsp vhdl_ls pyghdl-lsp-vhdl-ls}@anchor{199f}
@subsection pyGHDL.lsp.vhdl_ls
@@ -47018,7 +55898,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c #-----------------------------------
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -47039,7 +55919,7 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@c # define a hard line break for HTML
@node pyGHDL lsp workspace,,pyGHDL lsp vhdl_ls,pyGHDL lsp
-@anchor{pyGHDL/pyGHDL lsp workspace doc}@anchor{1497}@anchor{pyGHDL/pyGHDL lsp workspace module-pyGHDL lsp workspace}@anchor{3b}@anchor{pyGHDL/pyGHDL lsp workspace pyghdl-lsp-workspace}@anchor{1498}
+@anchor{pyGHDL/pyGHDL lsp workspace doc}@anchor{19a0}@anchor{pyGHDL/pyGHDL lsp workspace module-pyGHDL lsp workspace}@anchor{40}@anchor{pyGHDL/pyGHDL lsp workspace pyghdl-lsp-workspace}@anchor{19a1}
@subsection pyGHDL.lsp.workspace
@@ -47057,17 +55937,16 @@ None@footnote{https://docs.python.org/3.6/library/constants.html#None}
@itemize -
@item
-@ref{1499,,GHDLBaseException}:
+@ref{19a2,,GHDLBaseException}:
Common base class for all non-exit exceptions.
@end itemize
@c #-----------------------------------
@geindex GHDLBaseException
-@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException}@anchor{1499}
+@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException}@anchor{19a2}
@deffn {Exception} pyGHDL.GHDLBaseException
-
@subheading Inheritance
@image{inheritance-a60b3e2d87738be6bc5777692be4010afda80e33,,,[graphviz],png}
@@ -47075,13 +55954,22 @@ Common base class for all non-exit exceptions.
@subheading Members
+@geindex message (pyGHDL.GHDLBaseException property)
+@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException message}@anchor{19a3}
+@deffn {Property} message: str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@*Return type:
+str@footnote{https://docs.python.org/3.6/library/stdtypes.html#str}
+
+@end deffn
+
@geindex args (pyGHDL.GHDLBaseException attribute)
-@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException args}@anchor{149a}
+@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException args}@anchor{19a4}
@deffn {Attribute} args
@end deffn
@geindex with_traceback() (pyGHDL.GHDLBaseException method)
-@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException with_traceback}@anchor{149b}
+@anchor{pyGHDL/pyGHDL pyGHDL GHDLBaseException with_traceback}@anchor{19a5}
@deffn {Method} with_traceback ()
Exception.with_traceback(tb) –
@@ -47089,7 +55977,7 @@ set self.__traceback__ to tb and return self.
@end deffn
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -47110,7 +55998,7 @@ set self.__traceback__ to tb and return self.
@c # define a hard line break for HTML
@node GHDL Waveform GHW,Debugging,pyGHDL,Top
-@anchor{ghw/index doc}@anchor{149c}@anchor{ghw/index ghdl-waveform-ghw}@anchor{149d}@anchor{ghw/index ghw}@anchor{131}
+@anchor{ghw/index doc}@anchor{19a6}@anchor{ghw/index ghdl-waveform-ghw}@anchor{19a7}@anchor{ghw/index ghw}@anchor{4a}
@chapter GHDL Waveform (GHW)
@@ -47145,14 +56033,14 @@ Kaitai Struct (see kaitai.io@footnote{https://kaitai.io/}).
@end menu
@node libghw,ghwdump,,GHDL Waveform GHW
-@anchor{ghw/index libghw}@anchor{149e}
+@anchor{ghw/index libghw}@anchor{19a8}
@section libghw
GHW reading features are provided as a shared library, which is built and installed with GHDL by default.
@node ghwdump,,libghw,GHDL Waveform GHW
-@anchor{ghw/index ghwdump}@anchor{149f}
+@anchor{ghw/index ghwdump}@anchor{19a9}
@section ghwdump
@@ -47160,7 +56048,7 @@ For debugging and learning purposes, ghwdump uses ghdlib for dumping the content
ghwdump is also built and installed with GHDL by default.
It’s used in the GHDL test suite for catching regressions.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -47181,7 +56069,7 @@ It’s used in the GHDL test suite for catching regressions.
@c # define a hard line break for HTML
@node Debugging,Coding Style,GHDL Waveform GHW,Top
-@anchor{development/Debugging doc}@anchor{14a0}@anchor{development/Debugging debugging}@anchor{14a1}@anchor{development/Debugging dev-debugging}@anchor{11a}
+@anchor{development/Debugging doc}@anchor{19aa}@anchor{development/Debugging debugging}@anchor{19ab}@anchor{development/Debugging dev-debugging}@anchor{120}
@chapter Debugging
@@ -47191,94 +56079,94 @@ It’s used in the GHDL test suite for catching regressions.
@end menu
@node Simulation and runtime debugging options,,,Debugging
-@anchor{development/Debugging simulation-and-runtime-debugging-options}@anchor{14a2}
+@anchor{development/Debugging simulation-and-runtime-debugging-options}@anchor{19ac}
@section Simulation and runtime debugging options
-Besides the options described in @ref{bf,,Options}, @cite{GHDL} passes any debugging options (those that begin with
+Besides the options described in @ref{c5,,Options}, @cite{GHDL} passes any debugging options (those that begin with
@code{-g}) and optimizations options (those that begin with @code{-O} or @code{-f}) to @cite{GCC}. Refer to the @cite{GCC} manual for
details. Moreover, some debugging options are also available, but not described here. The --help@footnote{https://docs.python.org/3.6/using/cmdline.html#cmdoption-help} option lists
all options available, including the debugging ones.
@geindex command line option; --trace-signals
-@anchor{development/Debugging cmdoption-trace-signals}@anchor{14a3}
+@anchor{development/Debugging cmdoption-trace-signals}@anchor{19ad}
@deffn {Option} @w{-}@w{-}trace@w{-}signals
Display signals after each cycle.
@end deffn
@geindex command line option; --trace-processes
-@anchor{development/Debugging cmdoption-trace-processes}@anchor{14a4}
+@anchor{development/Debugging cmdoption-trace-processes}@anchor{19ae}
@deffn {Option} @w{-}@w{-}trace@w{-}processes
Display process name before each cycle.
@end deffn
@geindex command line option; --stats
-@anchor{development/Debugging cmdoption-stats}@anchor{14a5}
+@anchor{development/Debugging cmdoption-stats}@anchor{19af}
@deffn {Option} @w{-}@w{-}stats
Display run-time statistics.
@end deffn
@geindex command line option; --disp-order
-@anchor{development/Debugging cmdoption-disp-order}@anchor{14a6}
+@anchor{development/Debugging cmdoption-disp-order}@anchor{19b0}
@deffn {Option} @w{-}@w{-}disp@w{-}order
Display signals order.
@end deffn
@geindex command line option; --disp-sources
-@anchor{development/Debugging cmdoption-disp-sources}@anchor{14a7}
+@anchor{development/Debugging cmdoption-disp-sources}@anchor{19b1}
@deffn {Option} @w{-}@w{-}disp@w{-}sources
Display sources while displaying signals.
@end deffn
@geindex command line option; --disp-sig-types
-@anchor{development/Debugging cmdoption-disp-sig-types}@anchor{14a8}
+@anchor{development/Debugging cmdoption-disp-sig-types}@anchor{19b2}
@deffn {Option} @w{-}@w{-}disp@w{-}sig@w{-}types
Display signal types.
@end deffn
@geindex command line option; --disp-signals-map
-@anchor{development/Debugging cmdoption-disp-signals-map}@anchor{14a9}
+@anchor{development/Debugging cmdoption-disp-signals-map}@anchor{19b3}
@deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}map
Display map bw declared signals and internal signals.
@end deffn
@geindex command line option; --disp-signals-table
-@anchor{development/Debugging cmdoption-disp-signals-table}@anchor{14aa}
+@anchor{development/Debugging cmdoption-disp-signals-table}@anchor{19b4}
@deffn {Option} @w{-}@w{-}disp@w{-}signals@w{-}table
Display internal signals.
@end deffn
@geindex command line option; --checks
-@anchor{development/Debugging cmdoption-checks}@anchor{14ab}
+@anchor{development/Debugging cmdoption-checks}@anchor{19b5}
@deffn {Option} @w{-}@w{-}checks
Do internal checks after each process run.
@end deffn
-@geindex command line option; --activity=<LEVEL>
-@anchor{development/Debugging cmdoption-activity}@anchor{14ac}
+@geindex command line option; --activity
+@anchor{development/Debugging cmdoption-activity}@anchor{19b6}
@deffn {Option} @w{-}@w{-}activity=<LEVEL>
Watch activity of LEVEL signals: LEVEL is @code{all}, @code{min} (default) or @code{none} (unsafe).
@end deffn
@geindex command line option; --dump-rti
-@anchor{development/Debugging cmdoption-dump-rti}@anchor{14ad}
+@anchor{development/Debugging cmdoption-dump-rti}@anchor{19b7}
@deffn {Option} @w{-}@w{-}dump@w{-}rti
Dump Run Time Information (RTI).
@end deffn
@geindex command line option; --bootstrap
-@anchor{development/Debugging cmdoption-bootstrap}@anchor{14ae}
+@anchor{development/Debugging cmdoption-bootstrap}@anchor{19b8}
@deffn {Option} @w{-}@w{-}bootstrap
Allow @code{--work=std}
@@ -47290,7 +56178,7 @@ Allow @code{--work=std}
@end menu
@node GNU Debugger GDB,,,Simulation and runtime debugging options
-@anchor{development/Debugging gnu-debugger-gdb}@anchor{14af}
+@anchor{development/Debugging gnu-debugger-gdb}@anchor{19b9}
@subsection GNU Debugger (GDB)
@@ -47312,7 +56200,7 @@ GDB can be useful to catch a runtime error, such as indexing an array beyond its
When the breakpoint is hit, use the @code{where} or @code{bt} command to display the stack frames.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -47333,7 +56221,7 @@ When the breakpoint is hit, use the @code{where} or @code{bt} command to display
@c # define a hard line break for HTML
@node Coding Style,Scripts,Debugging,Top
-@anchor{development/CodingStyle doc}@anchor{14b0}@anchor{development/CodingStyle coding-style}@anchor{14b1}@anchor{development/CodingStyle dev-style}@anchor{6e}
+@anchor{development/CodingStyle doc}@anchor{19ba}@anchor{development/CodingStyle coding-style}@anchor{19bb}@anchor{development/CodingStyle dev-style}@anchor{74}
@chapter Coding Style
@@ -47346,7 +56234,7 @@ When the breakpoint is hit, use the @code{where} or @code{bt} command to display
@end menu
@node Ada,Shell,,Coding Style
-@anchor{development/CodingStyle ada}@anchor{14b2}
+@anchor{development/CodingStyle ada}@anchor{19bc}
@section Ada
@@ -47528,7 +56416,7 @@ not use a constant.
@end itemize
@node Shell,Guidelines to edit the documentation,Ada,Coding Style
-@anchor{development/CodingStyle shell}@anchor{14b3}
+@anchor{development/CodingStyle shell}@anchor{19bd}
@section Shell
@@ -47537,7 +56425,7 @@ Ubuntu uses @cite{dash} instead of @cite{bash} when a shell script is run. As a
they are sourced in a @cite{bash} shell. The same applies to the scripts in @cite{testsuite}.
@node Guidelines to edit the documentation,Documentation configuration,Shell,Coding Style
-@anchor{development/CodingStyle guidelines-to-edit-the-documentation}@anchor{14b4}
+@anchor{development/CodingStyle guidelines-to-edit-the-documentation}@anchor{19be}
@section Guidelines to edit the documentation
@@ -47630,7 +56518,7 @@ Please keep errors to a minimum.
@end menu
@node Guidelines to edit section ‘Building’,,,Guidelines to edit the documentation
-@anchor{development/CodingStyle guidelines-to-edit-section-building}@anchor{14b5}
+@anchor{development/CodingStyle guidelines-to-edit-section-building}@anchor{19bf}
@subsection Guidelines to edit section ‘Building’
@@ -47657,7 +56545,7 @@ llvm-del (LLVM development package)
The goal is also to explain what a user is installing and what the few lines in the build description do. Now they know the name, can search for similar names if they have another package manager or distro or can ask Google/Wikipedia. We often find many build receipts with cryptic shell code and to execute this unknown stuff with sudo is not comfortable. We would like to know what it does before hitting enter.
@node Documentation configuration,,Guidelines to edit the documentation,Coding Style
-@anchor{development/CodingStyle documentation-configuration}@anchor{14b6}
+@anchor{development/CodingStyle documentation-configuration}@anchor{19c0}
@section Documentation configuration
@@ -47683,7 +56571,7 @@ Create “parts” (LaTeX terminology / chapter headlines) in navigation bar. [#
@itemize *
@item
-To decompress the inventory file: @cite{curl -s http://ghdl.readthedocs.io/en/latest/objects.inv | tail -n+5 | openssl zlib -d}. From how-to-uncompress-zlib-data-in-unix@footnote{http://unix.stackexchange.com/questions/22834/how-to-uncompress-zlib-data-in-unix}.
+To decompress the inventory file: @code{curl -s http://ghdl.github.io/ghdl/objects.inv | tail -n+5 | openssl zlib -d}. From how-to-uncompress-zlib-data-in-unix@footnote{http://unix.stackexchange.com/questions/22834/how-to-uncompress-zlib-data-in-unix}.
@item
External ref and link to section:
@@ -47703,7 +56591,7 @@ External ref to option (no link):
@end table
@end itemize
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -47724,7 +56612,7 @@ External ref to option (no link):
@c # define a hard line break for HTML
@node Scripts,Overview,Coding Style,Top
-@anchor{development/Scripts doc}@anchor{14b7}@anchor{development/Scripts dev-scripts}@anchor{14b8}@anchor{development/Scripts scripts}@anchor{14b9}
+@anchor{development/Scripts doc}@anchor{19c1}@anchor{development/Scripts dev-scripts}@anchor{19c2}@anchor{development/Scripts scripts}@anchor{19c3}
@chapter Scripts
@@ -47740,7 +56628,7 @@ External ref to option (no link):
@end menu
@node pnodes,pnodespy,,Scripts
-@anchor{development/Scripts cmdref-pnodes}@anchor{14ba}@anchor{development/Scripts pnodes}@anchor{14bb}
+@anchor{development/Scripts cmdref-pnodes}@anchor{19c4}@anchor{development/Scripts pnodes}@anchor{19c5}
@section pnodes
@@ -47750,8 +56638,9 @@ Meta-grammar processor
usage: pnodes [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
[--node-file NODE_FILE] [--template-file TEMPLATE_FILE]
[--meta-basename META_BASENAME] [--kind-type KIND_TYPE]
- [--kind-prefix KIND_PREFIX] [--kind-range-prefix KIND_RANGE_PREFIX]
- [--node-type NODE_TYPE] [--keep-order]
+ [--kind-prefix KIND_PREFIX]
+ [--kind-range-prefix KIND_RANGE_PREFIX] [--node-type NODE_TYPE]
+ [--keep-order]
@{disp-nodes,disp-kinds,disp-formats,disp-funcs,disp-types,get_format,body,meta_specs,meta_body,class-kinds,libghdl-nodes,libghdl-meta,libghdl-names,libghdl-tokens,libghdl-elocs,libghdl-errorout@}
@end example
@@ -47762,100 +56651,100 @@ usage: pnodes [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
@end menu
@node positional arguments,optional arguments<2>,,pnodes
-@anchor{development/Scripts positional-arguments}@anchor{14bc}
+@anchor{development/Scripts positional-arguments}@anchor{19c6}
@subsection positional arguments
@geindex pnodes command line option; action
-@anchor{development/Scripts cmdoption-pnodes-arg-action}@anchor{14bd}
+@anchor{development/Scripts cmdoption-pnodes-arg-action}@anchor{19c7}
@deffn {Option} action
@end deffn
@node optional arguments<2>,,positional arguments,pnodes
-@anchor{development/Scripts optional-arguments}@anchor{14be}
+@anchor{development/Scripts optional-arguments}@anchor{19c8}
@subsection optional arguments
@geindex pnodes command line option; -h
@geindex pnodes command line option; --help
-@anchor{development/Scripts cmdoption-pnodes-h}@anchor{14bf}@anchor{development/Scripts cmdoption-pnodes-help}@anchor{14c0}
+@anchor{development/Scripts cmdoption-pnodes-h}@anchor{19c9}@anchor{development/Scripts cmdoption-pnodes-help}@anchor{19ca}
@deffn {Option} @w{-}h, @w{-}@w{-}help
show this help message and exit
@end deffn
-@geindex pnodes command line option; --field-file <field_file>
-@anchor{development/Scripts cmdoption-pnodes-field-file}@anchor{14c1}
+@geindex pnodes command line option; --field-file
+@anchor{development/Scripts cmdoption-pnodes-field-file}@anchor{19cb}
@deffn {Option} @w{-}@w{-}field@w{-}file <field_file>
specify file which defines fields
@end deffn
-@geindex pnodes command line option; --kind-file <kind_file>
-@anchor{development/Scripts cmdoption-pnodes-kind-file}@anchor{14c2}
+@geindex pnodes command line option; --kind-file
+@anchor{development/Scripts cmdoption-pnodes-kind-file}@anchor{19cc}
@deffn {Option} @w{-}@w{-}kind@w{-}file <kind_file>
specify file which defines nodes kind
@end deffn
-@geindex pnodes command line option; --node-file <node_file>
-@anchor{development/Scripts cmdoption-pnodes-node-file}@anchor{14c3}
+@geindex pnodes command line option; --node-file
+@anchor{development/Scripts cmdoption-pnodes-node-file}@anchor{19cd}
@deffn {Option} @w{-}@w{-}node@w{-}file <node_file>
specify file which defines nodes and methods
@end deffn
-@geindex pnodes command line option; --template-file <template_file>
-@anchor{development/Scripts cmdoption-pnodes-template-file}@anchor{14c4}
+@geindex pnodes command line option; --template-file
+@anchor{development/Scripts cmdoption-pnodes-template-file}@anchor{19ce}
@deffn {Option} @w{-}@w{-}template@w{-}file <template_file>
specify template body file
@end deffn
-@geindex pnodes command line option; --meta-basename <meta_basename>
-@anchor{development/Scripts cmdoption-pnodes-meta-basename}@anchor{14c5}
+@geindex pnodes command line option; --meta-basename
+@anchor{development/Scripts cmdoption-pnodes-meta-basename}@anchor{19cf}
@deffn {Option} @w{-}@w{-}meta@w{-}basename <meta_basename>
specify base name of meta files
@end deffn
-@geindex pnodes command line option; --kind-type <kind_type>
-@anchor{development/Scripts cmdoption-pnodes-kind-type}@anchor{14c6}
+@geindex pnodes command line option; --kind-type
+@anchor{development/Scripts cmdoption-pnodes-kind-type}@anchor{19d0}
@deffn {Option} @w{-}@w{-}kind@w{-}type <kind_type>
name of kind type
@end deffn
-@geindex pnodes command line option; --kind-prefix <kind_prefix>
-@anchor{development/Scripts cmdoption-pnodes-kind-prefix}@anchor{14c7}
+@geindex pnodes command line option; --kind-prefix
+@anchor{development/Scripts cmdoption-pnodes-kind-prefix}@anchor{19d1}
@deffn {Option} @w{-}@w{-}kind@w{-}prefix <kind_prefix>
prefix for kind literals
@end deffn
-@geindex pnodes command line option; --kind-range-prefix <kind_range_prefix>
-@anchor{development/Scripts cmdoption-pnodes-kind-range-prefix}@anchor{14c8}
+@geindex pnodes command line option; --kind-range-prefix
+@anchor{development/Scripts cmdoption-pnodes-kind-range-prefix}@anchor{19d2}
@deffn {Option} @w{-}@w{-}kind@w{-}range@w{-}prefix <kind_range_prefix>
prefix for kind subtype (range)
@end deffn
-@geindex pnodes command line option; --node-type <node_type>
-@anchor{development/Scripts cmdoption-pnodes-node-type}@anchor{14c9}
+@geindex pnodes command line option; --node-type
+@anchor{development/Scripts cmdoption-pnodes-node-type}@anchor{19d3}
@deffn {Option} @w{-}@w{-}node@w{-}type <node_type>
name of the node type
@end deffn
@geindex pnodes command line option; --keep-order
-@anchor{development/Scripts cmdoption-pnodes-keep-order}@anchor{14ca}
+@anchor{development/Scripts cmdoption-pnodes-keep-order}@anchor{19d4}
@deffn {Option} @w{-}@w{-}keep@w{-}order
keep field order of nodes
@end deffn
@node pnodespy,,pnodes,Scripts
-@anchor{development/Scripts cmdref-pnodespy}@anchor{14cb}@anchor{development/Scripts pnodespy}@anchor{14cc}
+@anchor{development/Scripts cmdref-pnodespy}@anchor{19d5}@anchor{development/Scripts pnodespy}@anchor{19d6}
@section pnodespy
@@ -47865,7 +56754,8 @@ Meta-grammar processor
usage: pnodespy [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
[--node-file NODE_FILE] [--template-file TEMPLATE_FILE]
[--meta-basename META_BASENAME] [--kind-type KIND_TYPE]
- [--kind-prefix KIND_PREFIX] [--kind-range-prefix KIND_RANGE_PREFIX]
+ [--kind-prefix KIND_PREFIX]
+ [--kind-range-prefix KIND_RANGE_PREFIX]
[--node-type NODE_TYPE] [--keep-order]
@{disp-nodes,disp-kinds,disp-formats,disp-funcs,disp-types,get_format,body,meta_specs,meta_body,class-kinds,libghdl-nodes,libghdl-meta,libghdl-names,libghdl-tokens,libghdl-elocs,libghdl-errorout@}
@end example
@@ -47877,99 +56767,99 @@ usage: pnodespy [-h] [--field-file FIELD_FILE] [--kind-file KIND_FILE]
@end menu
@node positional arguments<2>,optional arguments<3>,,pnodespy
-@anchor{development/Scripts id1}@anchor{14cd}
+@anchor{development/Scripts id1}@anchor{19d7}
@subsection positional arguments
@geindex pnodespy command line option; action
-@anchor{development/Scripts cmdoption-pnodespy-arg-action}@anchor{14ce}
+@anchor{development/Scripts cmdoption-pnodespy-arg-action}@anchor{19d8}
@deffn {Option} action
@end deffn
@node optional arguments<3>,,positional arguments<2>,pnodespy
-@anchor{development/Scripts id2}@anchor{14cf}
+@anchor{development/Scripts id2}@anchor{19d9}
@subsection optional arguments
@geindex pnodespy command line option; -h
@geindex pnodespy command line option; --help
-@anchor{development/Scripts cmdoption-pnodespy-h}@anchor{14d0}@anchor{development/Scripts cmdoption-pnodespy-help}@anchor{14d1}
+@anchor{development/Scripts cmdoption-pnodespy-h}@anchor{19da}@anchor{development/Scripts cmdoption-pnodespy-help}@anchor{19db}
@deffn {Option} @w{-}h, @w{-}@w{-}help
show this help message and exit
@end deffn
-@geindex pnodespy command line option; --field-file <field_file>
-@anchor{development/Scripts cmdoption-pnodespy-field-file}@anchor{14d2}
+@geindex pnodespy command line option; --field-file
+@anchor{development/Scripts cmdoption-pnodespy-field-file}@anchor{19dc}
@deffn {Option} @w{-}@w{-}field@w{-}file <field_file>
specify file which defines fields
@end deffn
-@geindex pnodespy command line option; --kind-file <kind_file>
-@anchor{development/Scripts cmdoption-pnodespy-kind-file}@anchor{14d3}
+@geindex pnodespy command line option; --kind-file
+@anchor{development/Scripts cmdoption-pnodespy-kind-file}@anchor{19dd}
@deffn {Option} @w{-}@w{-}kind@w{-}file <kind_file>
specify file which defines nodes kind
@end deffn
-@geindex pnodespy command line option; --node-file <node_file>
-@anchor{development/Scripts cmdoption-pnodespy-node-file}@anchor{14d4}
+@geindex pnodespy command line option; --node-file
+@anchor{development/Scripts cmdoption-pnodespy-node-file}@anchor{19de}
@deffn {Option} @w{-}@w{-}node@w{-}file <node_file>
specify file which defines nodes and methods
@end deffn
-@geindex pnodespy command line option; --template-file <template_file>
-@anchor{development/Scripts cmdoption-pnodespy-template-file}@anchor{14d5}
+@geindex pnodespy command line option; --template-file
+@anchor{development/Scripts cmdoption-pnodespy-template-file}@anchor{19df}
@deffn {Option} @w{-}@w{-}template@w{-}file <template_file>
specify template body file
@end deffn
-@geindex pnodespy command line option; --meta-basename <meta_basename>
-@anchor{development/Scripts cmdoption-pnodespy-meta-basename}@anchor{14d6}
+@geindex pnodespy command line option; --meta-basename
+@anchor{development/Scripts cmdoption-pnodespy-meta-basename}@anchor{19e0}
@deffn {Option} @w{-}@w{-}meta@w{-}basename <meta_basename>
specify base name of meta files
@end deffn
-@geindex pnodespy command line option; --kind-type <kind_type>
-@anchor{development/Scripts cmdoption-pnodespy-kind-type}@anchor{14d7}
+@geindex pnodespy command line option; --kind-type
+@anchor{development/Scripts cmdoption-pnodespy-kind-type}@anchor{19e1}
@deffn {Option} @w{-}@w{-}kind@w{-}type <kind_type>
name of kind type
@end deffn
-@geindex pnodespy command line option; --kind-prefix <kind_prefix>
-@anchor{development/Scripts cmdoption-pnodespy-kind-prefix}@anchor{14d8}
+@geindex pnodespy command line option; --kind-prefix
+@anchor{development/Scripts cmdoption-pnodespy-kind-prefix}@anchor{19e2}
@deffn {Option} @w{-}@w{-}kind@w{-}prefix <kind_prefix>
prefix for kind literals
@end deffn
-@geindex pnodespy command line option; --kind-range-prefix <kind_range_prefix>
-@anchor{development/Scripts cmdoption-pnodespy-kind-range-prefix}@anchor{14d9}
+@geindex pnodespy command line option; --kind-range-prefix
+@anchor{development/Scripts cmdoption-pnodespy-kind-range-prefix}@anchor{19e3}
@deffn {Option} @w{-}@w{-}kind@w{-}range@w{-}prefix <kind_range_prefix>
prefix for kind subtype (range)
@end deffn
-@geindex pnodespy command line option; --node-type <node_type>
-@anchor{development/Scripts cmdoption-pnodespy-node-type}@anchor{14da}
+@geindex pnodespy command line option; --node-type
+@anchor{development/Scripts cmdoption-pnodespy-node-type}@anchor{19e4}
@deffn {Option} @w{-}@w{-}node@w{-}type <node_type>
name of the node type
@end deffn
@geindex pnodespy command line option; --keep-order
-@anchor{development/Scripts cmdoption-pnodespy-keep-order}@anchor{14db}
+@anchor{development/Scripts cmdoption-pnodespy-keep-order}@anchor{19e5}
@deffn {Option} @w{-}@w{-}keep@w{-}order
keep field order of nodes
@end deffn
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -47990,11 +56880,19 @@ keep field order of nodes
@c # define a hard line break for HTML
@node Overview,Front-end,Scripts,Top
-@anchor{internals/Overview doc}@anchor{14dc}@anchor{internals/Overview int-overview}@anchor{13d}@anchor{internals/Overview overview}@anchor{14dd}
+@anchor{internals/index doc}@anchor{19e6}@anchor{internals/index int-overview}@anchor{143}@anchor{internals/index overview}@anchor{19e7}
@chapter Overview
-@image{GHDL-figures/internals,,,,png}
+
+@float Figure
+
+@image{GHDL-figures/internals,418.0pt,,,png}
+
+@caption{Architecture overview of the GHDL toolkit (CLI, libghdl, pyGHDL and ghdl-yosys-plugin).}
+
+@end float
+
@cite{GHDL} is architectured like a traditionnal compiler. It has:
@@ -48005,10 +56903,12 @@ keep field order of nodes
a driver (sources in src/ghdldrv@footnote{https://github.com/ghdl/ghdl/blob/master/src/ghdldrv}) to call the programs (compiler, assembler, linker) if needed.
@item
-a runtime library named GRT (sources in src/grt@footnote{https://github.com/ghdl/ghdl/blob/master/src/grt}) to help execution at run-time. This ensures that execution is cycle-accurate.
+a runtime library named GRT (sources in src/grt@footnote{https://github.com/ghdl/ghdl/blob/master/src/grt}) to help execution at run-time.
+This ensures that execution is cycle-accurate.
@item
-a front-end (sources in src/vhdl@footnote{https://github.com/ghdl/ghdl/blob/master/src/vhdl}) to parse and analyse VHDL. See @ref{14de,,Front-end} and @ref{14df,,AST}.
+a front-end (sources in src/vhdl@footnote{https://github.com/ghdl/ghdl/blob/master/src/vhdl}) to parse and analyse VHDL.
+See @ref{19e8,,Front-end} and @ref{19e9,,AST}.
@item
multiple back-ends to generate either code or netlists.
@@ -48017,18 +56917,23 @@ multiple back-ends to generate either code or netlists.
@itemize *
@item
-Three for @ref{c4,,simulation} (sources are in src/ortho@footnote{https://github.com/ghdl/ghdl/blob/master/src/ortho}): mcode, LLVM and GCC. See @ref{4b,,Building GHDL from Sources}.
+Three for @ref{ca,,simulation} (sources are in src/ortho@footnote{https://github.com/ghdl/ghdl/blob/master/src/ortho}): mcode, LLVM and GCC.
+See @ref{51,,Building GHDL from Sources}.
@item
-Two for @ref{44,,synthesis} (sources in src/synth@footnote{https://github.com/ghdl/ghdl/blob/master/src/synth}): @ref{137,,–synth} and @ref{66,,Yosys plugin}.
+Two for @ref{49,,synthesis} (sources in src/synth@footnote{https://github.com/ghdl/ghdl/blob/master/src/synth}):
+@ref{13d,,–synth} and @ref{6c,,Yosys plugin}.
@end itemize
@end itemize
-The architecture is modular. For example, the front-end in the @code{libghdl} library is used for the language server.
+The architecture is modular and some of the components are available through the shared library @code{libghdl}.
+@code{libghdl} is used by the @ref{6c,,Yosys plugin}, the Language Server Protocol (LSP) server, and to fill the data of
+pyVHDLModel (see The pyVHDLModel Documentation@footnote{https://vhdl.github.io/pyVHDLModel/index.html}).
-The main work is performed by the front-end, which is documented in the next chapter.
+The main work with regard to understanding the VHDL language is performed by the front-end, which is documented in the
+next section.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -48049,7 +56954,7 @@ The main work is performed by the front-end, which is documented in the next cha
@c # define a hard line break for HTML
@node Front-end,AST,Overview,Top
-@anchor{internals/Frontend doc}@anchor{14e0}@anchor{internals/Frontend front-end}@anchor{14e1}@anchor{internals/Frontend int-frontend}@anchor{14de}
+@anchor{internals/Frontend doc}@anchor{19ea}@anchor{internals/Frontend front-end}@anchor{19eb}@anchor{internals/Frontend int-frontend}@anchor{19e8}
@chapter Front-end
@@ -48079,7 +56984,7 @@ the location after the token are available to store it in the parser tree.
The main client of the scanner is the parser.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -48100,7 +57005,7 @@ The main client of the scanner is the parser.
@c # define a hard line break for HTML
@node AST,Run Time Information RTI,Front-end,Top
-@anchor{internals/AST doc}@anchor{14e2}@anchor{internals/AST ast}@anchor{14e3}@anchor{internals/AST int-ast}@anchor{14df}
+@anchor{internals/AST doc}@anchor{19ec}@anchor{internals/AST ast}@anchor{19ed}@anchor{internals/AST int-ast}@anchor{19e9}
@chapter AST
@@ -48114,7 +57019,7 @@ The main client of the scanner is the parser.
@end menu
@node Introduction,The AST in GHDL,,AST
-@anchor{internals/AST introduction}@anchor{14e4}
+@anchor{internals/AST introduction}@anchor{19ee}
@section Introduction
@@ -48152,7 +57057,7 @@ Finally, it is not a tree anymore because new links are added during semantic
analysis. Simple names are linked to their declaration.
@node The AST in GHDL,Why a meta-model ?,Introduction,AST
-@anchor{internals/AST the-ast-in-ghdl}@anchor{14e5}
+@anchor{internals/AST the-ast-in-ghdl}@anchor{19ef}
@section The AST in GHDL
@@ -48199,7 +57104,7 @@ The accessors for the node are generated automatically by the python
script @code{src/scripts/pnodes.py}.
@node Why a meta-model ?,Dealing with ownership,The AST in GHDL,AST
-@anchor{internals/AST why-a-meta-model}@anchor{14e6}
+@anchor{internals/AST why-a-meta-model}@anchor{19f0}
@section Why a meta-model ?
@@ -48237,7 +57142,7 @@ The meta-model API is mostly automatically generated by the python
script.
@node Dealing with ownership,Node Type,Why a meta-model ?,AST
-@anchor{internals/AST dealing-with-ownership}@anchor{14e7}
+@anchor{internals/AST dealing-with-ownership}@anchor{19f1}
@section Dealing with ownership
@@ -48281,13 +57186,13 @@ indeed this is an important notion. The implementation of the Rust
AST has to be investigated.
@node Node Type,,Dealing with ownership,AST
-@anchor{internals/AST node-type}@anchor{14e8}
+@anchor{internals/AST node-type}@anchor{19f2}
@section Node Type
TBC: 32-bit, extensions.
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -48308,7 +57213,7 @@ TBC: 32-bit, extensions.
@c # define a hard line break for HTML
@node Run Time Information RTI,GNATdoc,AST,Top
-@anchor{internals/RTI doc}@anchor{14e9}@anchor{internals/RTI run-time-information-rti}@anchor{14ea}
+@anchor{internals/RTI doc}@anchor{19f3}@anchor{internals/RTI run-time-information-rti}@anchor{19f4}
@chapter Run Time Information (RTI)
@@ -48329,7 +57234,7 @@ to GHDL trying to understand the organization of the RTI.
@end menu
@node Useful Definitions,RTI Nodes / RTII Nodes,,Run Time Information RTI
-@anchor{internals/RTI useful-definitions}@anchor{14eb}
+@anchor{internals/RTI useful-definitions}@anchor{19f5}
@section Useful Definitions
@@ -48377,7 +57282,7 @@ of signals, ports, generics, constants and their subcomponents.
@end quotation
@node RTI Nodes / RTII Nodes,,Useful Definitions,Run Time Information RTI
-@anchor{internals/RTI rti-nodes-rtii-nodes}@anchor{14ec}
+@anchor{internals/RTI rti-nodes-rtii-nodes}@anchor{19f6}
@section RTI Nodes / RTII Nodes
@@ -48469,7 +57374,7 @@ This document will now review that main classes of RTI Nodes.
@end menu
@node Architecture RTI Ghdl_Rtin_Block,Entity RTI Ghdl_Rtin_Block,,RTI Nodes / RTII Nodes
-@anchor{internals/RTI architecture-rti-ghdl-rtin-block}@anchor{14ed}
+@anchor{internals/RTI architecture-rti-ghdl-rtin-block}@anchor{19f7}
@subsection Architecture RTI (Ghdl_Rtin_Block)
@@ -48486,7 +57391,7 @@ store which of the possible architectures of this entity was
instantiated.
@node Entity RTI Ghdl_Rtin_Block,Other Blocks Package/Process Ghdl_Rtin_Block,Architecture RTI Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
-@anchor{internals/RTI entity-rti-ghdl-rtin-block}@anchor{14ee}
+@anchor{internals/RTI entity-rti-ghdl-rtin-block}@anchor{19f8}
@subsection Entity RTI (Ghdl_Rtin_Block)
@@ -48496,14 +57401,14 @@ accessed via the architecture’s @cite{Parent} element. The generics and
ports can be accessed as the children of the entity.
@node Other Blocks Package/Process Ghdl_Rtin_Block,if_generate / case_generate Ghdl_Rtin_Block,Entity RTI Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
-@anchor{internals/RTI other-blocks-package-process-ghdl-rtin-block}@anchor{14ef}
+@anchor{internals/RTI other-blocks-package-process-ghdl-rtin-block}@anchor{19f9}
@subsection Other Blocks (Package/Process) (Ghdl_Rtin_Block)
The block just loops over it’s children.
@node if_generate / case_generate Ghdl_Rtin_Block,for_generate Ghdl_Rtin_Generate,Other Blocks Package/Process Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
-@anchor{internals/RTI if-generate-case-generate-ghdl-rtin-block}@anchor{14f0}
+@anchor{internals/RTI if-generate-case-generate-ghdl-rtin-block}@anchor{19fa}
@subsection if_generate / case_generate (Ghdl_Rtin_Block)
@@ -48523,7 +57428,7 @@ The child node is then created from the RTI node
@cite{instance_pointer}.
@node for_generate Ghdl_Rtin_Generate,instance Ghdl_Rtin_Instance,if_generate / case_generate Ghdl_Rtin_Block,RTI Nodes / RTII Nodes
-@anchor{internals/RTI for-generate-ghdl-rtin-generate}@anchor{14f1}
+@anchor{internals/RTI for-generate-ghdl-rtin-generate}@anchor{19fb}
@subsection for_generate (Ghdl_Rtin_Generate)
@@ -48569,7 +57474,7 @@ There might be a bug if the for-generate loop uses a type that wasn’t
defined implicitly.
@node instance Ghdl_Rtin_Instance,Port Ghdl_Rtin_Object,for_generate Ghdl_Rtin_Generate,RTI Nodes / RTII Nodes
-@anchor{internals/RTI instance-ghdl-rtin-instance}@anchor{14f2}
+@anchor{internals/RTI instance-ghdl-rtin-instance}@anchor{19fc}
@subsection instance (Ghdl_Rtin_Instance)
@@ -48581,12 +57486,12 @@ of the architecture. The architecture context also contains a single
item, which is a pointer to the architecture RTI Node.
@node Port Ghdl_Rtin_Object,Array Kinds,instance Ghdl_Rtin_Instance,RTI Nodes / RTII Nodes
-@anchor{internals/RTI port-ghdl-rtin-object}@anchor{14f3}
+@anchor{internals/RTI port-ghdl-rtin-object}@anchor{19fd}
@subsection Port (Ghdl_Rtin_Object)
@node Array Kinds,Object_To_Base_Bound,Port Ghdl_Rtin_Object,RTI Nodes / RTII Nodes
-@anchor{internals/RTI array-kinds}@anchor{14f4}
+@anchor{internals/RTI array-kinds}@anchor{19fe}
@subsection Array Kinds
@@ -48604,7 +57509,7 @@ A Type_Array together with the bounds.
@end table
@node Object_To_Base_Bound,Array_Type Ghdl_Rtin_Type_Array,Array Kinds,RTI Nodes / RTII Nodes
-@anchor{internals/RTI object-to-base-bound}@anchor{14f5}
+@anchor{internals/RTI object-to-base-bound}@anchor{19ff}
@subsection Object_To_Base_Bound
@@ -48624,7 +57529,7 @@ record. The contains @cite{Bounds} which points to the bounds, and @cite{Base}
which points to the complex context location.
@node Array_Type Ghdl_Rtin_Type_Array,Array Subtype Ghdl_Rtin_Subtype_Array,Object_To_Base_Bound,RTI Nodes / RTII Nodes
-@anchor{internals/RTI array-type-ghdl-rtin-type-array}@anchor{14f6}
+@anchor{internals/RTI array-type-ghdl-rtin-type-array}@anchor{1a00}
@subsection Array_Type (Ghdl_Rtin_Type_Array)
@@ -48670,7 +57575,7 @@ Points to the bounds of the array.
@end table
@node Array Subtype Ghdl_Rtin_Subtype_Array,Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,Array_Type Ghdl_Rtin_Type_Array,RTI Nodes / RTII Nodes
-@anchor{internals/RTI array-subtype-ghdl-rtin-subtype-array}@anchor{14f7}
+@anchor{internals/RTI array-subtype-ghdl-rtin-subtype-array}@anchor{1a01}
@subsection Array Subtype (Ghdl_Rtin_Subtype_Array)
@@ -48693,7 +57598,7 @@ a value size, a signal sizes, and the bounds.
@end table
@node Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,Record Kinds,Array Subtype Ghdl_Rtin_Subtype_Array,RTI Nodes / RTII Nodes
-@anchor{internals/RTI port-signal-generic-constant-variable-ghdl-rtin-object}@anchor{14f8}
+@anchor{internals/RTI port-signal-generic-constant-variable-ghdl-rtin-object}@anchor{1a02}
@subsection Port / Signal / Generic / Constant / Variable (Ghdl_Rtin_Object)
@@ -48748,7 +57653,7 @@ this layer of indirection is necessary.
@end table
@node Record Kinds,Record Type Ghdl_Rtin_Type_Record,Port / Signal / Generic / Constant / Variable Ghdl_Rtin_Object,RTI Nodes / RTII Nodes
-@anchor{internals/RTI record-kinds}@anchor{14f9}
+@anchor{internals/RTI record-kinds}@anchor{1a03}
@subsection Record Kinds
@@ -48782,7 +57687,7 @@ unbound arrays have been bound.
@end quotation
@node Record Type Ghdl_Rtin_Type_Record,Record Type Ghdl_Rtin_Type_Record<2>,Record Kinds,RTI Nodes / RTII Nodes
-@anchor{internals/RTI record-type-ghdl-rtin-type-record}@anchor{14fa}
+@anchor{internals/RTI record-type-ghdl-rtin-type-record}@anchor{1a04}
@subsection Record Type (Ghdl_Rtin_Type_Record)
@@ -48812,7 +57717,7 @@ of the elements will be relative to.
@end quotation
@node Record Type Ghdl_Rtin_Type_Record<2>,Element Type Ghdl_Rtin_Element,Record Type Ghdl_Rtin_Type_Record,RTI Nodes / RTII Nodes
-@anchor{internals/RTI id1}@anchor{14fb}
+@anchor{internals/RTI id1}@anchor{1a05}
@subsection Record Type (Ghdl_Rtin_Type_Record)
@@ -48820,7 +57725,7 @@ For an unbounded record the Layout is not used, but rather a @cite{Bounds} must
given.
@node Element Type Ghdl_Rtin_Element,Examples,Record Type Ghdl_Rtin_Type_Record<2>,RTI Nodes / RTII Nodes
-@anchor{internals/RTI element-type-ghdl-rtin-element}@anchor{14fc}
+@anchor{internals/RTI element-type-ghdl-rtin-element}@anchor{1a06}
@subsection Element Type (Ghdl_Rtin_Element)
@@ -48851,7 +57756,7 @@ when the unbounded record is given bounds.
@end table
@node Examples,,Element Type Ghdl_Rtin_Element,RTI Nodes / RTII Nodes
-@anchor{internals/RTI examples}@anchor{14fd}
+@anchor{internals/RTI examples}@anchor{1a07}
@subsection Examples
@@ -48975,7 +57880,7 @@ end arch;
- x(?) (B+8)
@end example
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -48998,11 +57903,11 @@ end arch;
@c # This file is a placeholder and will be replaced
@node GNATdoc,Index<2>,Run Time Information RTI,Top
-@anchor{gnatdoc/index doc}@anchor{14fe}@anchor{gnatdoc/index gnatdoc}@anchor{14ff}
+@anchor{gnatdoc/index doc}@anchor{1a08}@anchor{gnatdoc/index gnatdoc}@anchor{1a09}
@chapter GNATdoc
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -49025,11 +57930,11 @@ end arch;
@c # This file is a placeholder and will be replaced
@node Index<2>,Python Module Index<2>,GNATdoc,Top
-@anchor{genindex doc}@anchor{1500}@anchor{genindex index}@anchor{1501}
+@anchor{genindex doc}@anchor{1a0a}@anchor{genindex index}@anchor{1a0b}
@chapter Index
-@c # Load pre-defined aliases and graphical characters like © from docutils
+@c # Load pre-defined aliases and graphical characters like © from docutils
@c # <file> is used to denote the special path
@c # <Python>\Lib\site-packages\docutils\parsers\rst\include
@@ -49052,7 +57957,7 @@ end arch;
@c This file is a placeholder and will be replaced
@node Python Module Index<2>,Python Module Index,Index<2>,Top
-@anchor{py-modindex doc}@anchor{1502}@anchor{py-modindex python-module-index}@anchor{1503}
+@anchor{py-modindex doc}@anchor{1a0c}@anchor{py-modindex python-module-index}@anchor{1a0d}
@chapter Python Module Index
@@ -49063,64 +57968,69 @@ end arch;
@menu
* pyGHDL: 0.
* pyGHDL.cli: 1.
-* pyGHDL.cli.DOM: 2.
+* pyGHDL.cli.dom: 2.
* pyGHDL.cli.lsp: 3.
* pyGHDL.dom: 4.
* pyGHDL.dom._Translate: 5.
* pyGHDL.dom._Utils: 6.
* pyGHDL.dom.Aggregates: 7.
-* pyGHDL.dom.Common: 8.
-* pyGHDL.dom.DesignUnit: 9.
-* pyGHDL.dom.Expression: a.
-* pyGHDL.dom.formatting: b.
-* pyGHDL.dom.formatting.prettyprint: c.
-* pyGHDL.dom.InterfaceItem: d.
-* pyGHDL.dom.Literal: e.
-* pyGHDL.dom.Misc: f.
-* pyGHDL.dom.NonStandard: 10.
-* pyGHDL.dom.Object: 11.
-* pyGHDL.dom.Range: 12.
-* pyGHDL.dom.Subprogram: 13.
-* pyGHDL.dom.Symbol: 14.
-* pyGHDL.dom.Type: 15.
-* pyGHDL.libghdl: 16.
-* pyGHDL.libghdl._decorator: 17.
-* pyGHDL.libghdl._types: 18.
-* pyGHDL.libghdl.errorout: 19.
-* pyGHDL.libghdl.errorout_console: 1a.
-* pyGHDL.libghdl.errorout_memory: 1b.
-* pyGHDL.libghdl.files_map: 1c.
-* pyGHDL.libghdl.files_map_editor: 1d.
-* pyGHDL.libghdl.flags: 1e.
-* pyGHDL.libghdl.libraries: 1f.
-* pyGHDL.libghdl.name_table: 20.
-* pyGHDL.libghdl.std_names: 21.
-* pyGHDL.libghdl.utils: 22.
-* pyGHDL.libghdl.version: 23.
-* pyGHDL.libghdl.vhdl: 24.
-* pyGHDL.libghdl.vhdl.canon: 25.
-* pyGHDL.libghdl.vhdl.elocations: 26.
-* pyGHDL.libghdl.vhdl.flists: 27.
-* pyGHDL.libghdl.vhdl.formatters: 28.
-* pyGHDL.libghdl.vhdl.ieee: 29.
-* pyGHDL.libghdl.vhdl.lists: 2a.
-* pyGHDL.libghdl.vhdl.nodes: 2b.
-* pyGHDL.libghdl.vhdl.nodes_meta: 2c.
-* pyGHDL.libghdl.vhdl.nodes_utils: 2d.
-* pyGHDL.libghdl.vhdl.parse: 2e.
-* pyGHDL.libghdl.vhdl.sem: 2f.
-* pyGHDL.libghdl.vhdl.sem_lib: 30.
-* pyGHDL.libghdl.vhdl.std_package: 31.
-* pyGHDL.libghdl.vhdl.tokens: 32.
-* pyGHDL.lsp: 33.
-* pyGHDL.lsp.document: 34.
-* pyGHDL.lsp.lsp: 35.
-* pyGHDL.lsp.lsptools: 36.
-* pyGHDL.lsp.references: 37.
-* pyGHDL.lsp.symbols: 38.
-* pyGHDL.lsp.version: 39.
-* pyGHDL.lsp.vhdl_ls: 3a.
-* pyGHDL.lsp.workspace: 3b.
+* pyGHDL.dom.Attribute: 8.
+* pyGHDL.dom.Concurrent: 9.
+* pyGHDL.dom.DesignUnit: a.
+* pyGHDL.dom.Expression: b.
+* pyGHDL.dom.formatting: c.
+* pyGHDL.dom.formatting.prettyprint: d.
+* pyGHDL.dom.InterfaceItem: e.
+* pyGHDL.dom.Literal: f.
+* pyGHDL.dom.Misc: 10.
+* pyGHDL.dom.Names: 11.
+* pyGHDL.dom.NonStandard: 12.
+* pyGHDL.dom.Object: 13.
+* pyGHDL.dom.PSL: 14.
+* pyGHDL.dom.Range: 15.
+* pyGHDL.dom.Sequential: 16.
+* pyGHDL.dom.Subprogram: 17.
+* pyGHDL.dom.Symbol: 18.
+* pyGHDL.dom.Type: 19.
+* pyGHDL.libghdl: 1a.
+* pyGHDL.libghdl._decorator: 1b.
+* pyGHDL.libghdl._types: 1c.
+* pyGHDL.libghdl.errorout: 1d.
+* pyGHDL.libghdl.errorout_console: 1e.
+* pyGHDL.libghdl.errorout_memory: 1f.
+* pyGHDL.libghdl.files_map: 20.
+* pyGHDL.libghdl.files_map_editor: 21.
+* pyGHDL.libghdl.flags: 22.
+* pyGHDL.libghdl.libraries: 23.
+* pyGHDL.libghdl.name_table: 24.
+* pyGHDL.libghdl.std_names: 25.
+* pyGHDL.libghdl.str_table: 26.
+* pyGHDL.libghdl.utils: 27.
+* pyGHDL.libghdl.vhdl: 28.
+* pyGHDL.libghdl.vhdl.canon: 29.
+* pyGHDL.libghdl.vhdl.elocations: 2a.
+* pyGHDL.libghdl.vhdl.flists: 2b.
+* pyGHDL.libghdl.vhdl.formatters: 2c.
+* pyGHDL.libghdl.vhdl.ieee: 2d.
+* pyGHDL.libghdl.vhdl.lists: 2e.
+* pyGHDL.libghdl.vhdl.nodes: 2f.
+* pyGHDL.libghdl.vhdl.nodes_meta: 30.
+* pyGHDL.libghdl.vhdl.nodes_utils: 31.
+* pyGHDL.libghdl.vhdl.parse: 32.
+* pyGHDL.libghdl.vhdl.sem: 33.
+* pyGHDL.libghdl.vhdl.sem_lib: 34.
+* pyGHDL.libghdl.vhdl.std_package: 35.
+* pyGHDL.libghdl.vhdl.tokens: 36.
+* pyGHDL.libghdl.vhdl.utils: 37.
+* pyGHDL.lsp: 38.
+* pyGHDL.lsp.document: 39.
+* pyGHDL.lsp.lsp: 3a.
+* pyGHDL.lsp.lsptools: 3b.
+* pyGHDL.lsp.references: 3c.
+* pyGHDL.lsp.symbols: 3d.
+* pyGHDL.lsp.version: 3e.
+* pyGHDL.lsp.vhdl_ls: 3f.
+* pyGHDL.lsp.workspace: 40.
@end menu
diff --git a/doc/licenses.rst b/doc/licenses.rst
index 6247a3351..ce934fe4e 100644
--- a/doc/licenses.rst
+++ b/doc/licenses.rst
@@ -31,7 +31,7 @@ The following packages are copyrighted by third parties (see corresponding sourc
GNU GPLv2
=========
-GHDL is copyright |copy| 2002 - 2021 Tristan Gingold.
+GHDL is copyright |copy| 2002 - 2022 Tristan Gingold.
This program is free software; you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation; either version 2 of the License, or (at your option) any later version.